From 21266306fa60aafad1486167811e984b67992120 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 5 Apr 2023 12:24:35 +0100 Subject: [PATCH 1/5] [LSD] BasicWatch added (pratica05 | part4) --- .../lsd/pratica05/BasicWatch/BasicWatch.vhd | 176 ++++++++++++++++++ .../pratica05/BasicWatch/Bin7SegDecoder.vhd | 35 ++++ .../lsd/pratica05/BasicWatch/ClkDividerN.vhd | 32 ++++ .../BasicWatch/ClkEnableGenerator.vhd | 29 +++ .../lsd/pratica05/BasicWatch/Counter4Bits.vhd | 43 +++++ .../BasicWatch/output_files/BasicWatch.sof | Bin 0 -> 3541732 bytes 6 files changed, 315 insertions(+) create mode 100644 1ano/2semestre/lsd/pratica05/BasicWatch/BasicWatch.vhd create mode 100644 1ano/2semestre/lsd/pratica05/BasicWatch/Bin7SegDecoder.vhd create mode 100644 1ano/2semestre/lsd/pratica05/BasicWatch/ClkDividerN.vhd create mode 100644 1ano/2semestre/lsd/pratica05/BasicWatch/ClkEnableGenerator.vhd create mode 100644 1ano/2semestre/lsd/pratica05/BasicWatch/Counter4Bits.vhd create mode 100644 1ano/2semestre/lsd/pratica05/BasicWatch/output_files/BasicWatch.sof diff --git a/1ano/2semestre/lsd/pratica05/BasicWatch/BasicWatch.vhd b/1ano/2semestre/lsd/pratica05/BasicWatch/BasicWatch.vhd new file mode 100644 index 0000000..f6a0723 --- /dev/null +++ b/1ano/2semestre/lsd/pratica05/BasicWatch/BasicWatch.vhd @@ -0,0 +1,176 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity BasicWatch is + port(SW : in std_logic_vector(0 downto 0); + CLOCK_50 : in std_logic; + KEY : in std_logic_vector(3 downto 0); + HEX2 : out std_logic_vector(6 downto 0); + HEX3 : out std_logic_vector(6 downto 0); + HEX4 : out std_logic_vector(6 downto 0); + HEX5 : out std_logic_vector(6 downto 0); + HEX6 : out std_logic_vector(6 downto 0); + HEX7 : out std_logic_vector(6 downto 0); + LEDG : out std_logic_vector(8 downto 8)); +end BasicWatch; + +architecture Structural of BasicWatch is + + -- Global enable signal + signal s_enable : std_logic; + + -- Global reset signal + signal s_globalRst : std_logic; + + -- Individual reset for the seconds counters ('1' while setting min/hours) + signal s_sReset : std_logic; + + -- Control signals + signal s_mode : std_logic; -- s_mode='0'-normal operation; s_mode='1'-set min/hours + signal s_hSet : std_logic; -- s_hSet='1'-set (fast increment) hours + signal s_mSet : std_logic; -- s_mSet='1'-set (fast increment) minutes + + -- Base 4 Hz clock signal + signal s_clk4Hz : std_logic; + + -- Global enable (always '1' while setting min/hours; + -- otherwise always repeating '1', '0', '0', '0') + signal s_globalEnb : std_logic; + + -- Binary values of each counter + signal s_sUnitsBin, s_sTensBin : std_logic_vector(3 downto 0); + signal s_mUnitsBin, s_mTensBin : std_logic_vector(3 downto 0); + signal s_hUnitsBin, s_hTensBin : std_logic_vector(3 downto 0); + signal s_hUnitsMax : natural := 9; + + -- Terminal count flags of each counter + signal s_sUnitsTerm, s_sTensTerm : std_logic; + signal s_mUnitsTerm, s_mTensTerm : std_logic; + signal s_hUnitsTerm : std_logic; + + -- Enable signals of each counter + signal s_sUnitsEnb, s_sTensEnb : std_logic; + signal s_mUnitsEnb, s_mTensEnb : std_logic; + signal s_hUnitsEnb, s_hTensEnb : std_logic; + +begin + s_globalRst <= not KEY(3); + s_sReset <= s_globalRst or s_mode; + s_enable <= SW(0); + + s_mode <= not KEY(2); + s_hSet <= not KEY(1); + s_mSet <= not KEY(0); + + clk_div_4hz : entity work.ClkDividerN(RTL) + generic map(k => 12500000) + port map(clkIn => CLOCK_50, + clkOut => s_clk4Hz); + + clk_enb_gen : entity work.ClkEnableGenerator(RTL) + port map(clkIn4Hz => s_clk4Hz, + mode => s_mode, + clkEnable => s_globalEnb, + tick1Hz => LEDG(8)); + + s_sUnitsEnb <= '1'; + + s_units_cnt : entity work.Counter4Bits(RTL) + port map(MAX => 9, + reset => s_sReset, + clk => s_clk4Hz, + enable1 => s_globalEnb, + enable2 => s_sUnitsEnb, + valOut => s_sUnitsBin, + termCnt => s_sUnitsTerm); + + s_sTensEnb <= s_sUnitsTerm; + + s_tens_cnt : entity work.Counter4Bits(RTL) + port map(MAX => 5, + reset => s_sReset, + clk => s_clk4Hz, + enable1 => s_globalEnb, + enable2 => s_sTensEnb, + valOut => s_sTensBin, + termCnt => s_sTensTerm); + + s_mUnitsEnb <= ((s_sTensTerm and s_sUnitsTerm) and not s_mode) or + (s_mode and s_mSet); + + m_units_cnt : entity work.Counter4Bits(RTL) + port map(MAX => 9, + reset => s_globalRst, + clk => s_clk4Hz, + enable1 => s_globalEnb, + enable2 => s_mUnitsEnb, + valOut => s_mUnitsBin, + termCnt => s_mUnitsTerm); + + s_mTensEnb <= (s_mUnitsTerm and s_mUnitsEnb); + + m_tens_cnt : entity work.Counter4Bits(RTL) + port map(MAX => 5, + reset => s_globalRst, + clk => s_clk4Hz, + enable1 => s_globalEnb, + enable2 => s_mTensEnb, + valOut => s_mTensBin, + termCnt => s_mTensTerm); + + s_hUnitsEnb <= ((s_mTensTerm and s_mTensEnb) and not s_mode) or + (s_mode and s_hSet); + + s_hUnitsMax <= 3 when (s_hTensBin = "0010") else 9; + + h_units_cnt : entity work.Counter4Bits(RTL) + port map(MAX => s_hUnitsMax, + reset => s_globalRst, + clk => s_clk4Hz, + enable1 => s_globalEnb, + enable2 => s_hUnitsEnb, + valOut => s_hUnitsBin, + termCnt => s_hUnitsTerm); + + s_hTensEnb <= (s_hUnitsTerm and s_hUnitsEnb); + + h_tens_cnt : entity work.Counter4Bits(RTL) + port map(MAX => 2, + reset => s_globalRst, + clk => s_clk4Hz, + enable1 => s_globalEnb, + enable2 => s_hTensEnb, + valOut => s_hTensBin, + termCnt => open); + + s_units_decod : entity work.Bin7SegDecoder(RTL) + port map(enable => s_enable, + binInput => s_sUnitsBin, + decOut_n => HEX2); + + s_tens_decod : entity work.Bin7SegDecoder(RTL) + port map(enable => s_enable, + binInput => s_sTensBin, + decOut_n => HEX3); + + m_units_decod : entity work.Bin7SegDecoder(RTL) + port map(enable => s_enable, + binInput => s_mUnitsBin, + decOut_n => HEX4); + + m_tens_decod : entity work.Bin7SegDecoder(RTL) + port map(enable => s_enable, + binInput => s_mTensBin, + decOut_n => HEX5); + + h_units_decod : entity work.Bin7SegDecoder(RTL) + port map(enable => s_enable, + binInput => s_hUnitsBin, + decOut_n => HEX6); + + h_tens_decod : entity work.Bin7SegDecoder(RTL) + port map(enable => s_enable, + binInput => s_hTensBin, + decOut_n => HEX7); +end Structural; diff --git a/1ano/2semestre/lsd/pratica05/BasicWatch/Bin7SegDecoder.vhd b/1ano/2semestre/lsd/pratica05/BasicWatch/Bin7SegDecoder.vhd new file mode 100644 index 0000000..bf0c9c4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica05/BasicWatch/Bin7SegDecoder.vhd @@ -0,0 +1,35 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Bin7SegDecoder is + port(enable : in std_logic; + binInput : in std_logic_vector(3 downto 0); + decOut_n : out std_logic_vector(6 downto 0)); +end Bin7SegDecoder; + +architecture RTL of Bin7SegDecoder is + + signal s_decOut_n : std_logic_vector(6 downto 0); + +begin + with binInput select + s_decOut_n <= "1111001" when "0001", --1 + "0100100" when "0010", --2 + "0110000" when "0011", --3 + "0011001" when "0100", --4 + "0010010" when "0101", --5 + "0000010" when "0110", --6 + "1111000" when "0111", --7 + "0000000" when "1000", --8 + "0010000" when "1001", --9 + "0001000" when "1010", --A + "0000011" when "1011", --b + "1000110" when "1100", --C + "0100001" when "1101", --d + "0000110" when "1110", --E + "0001110" when "1111", --F + "1000000" when others; --0 + + decOut_n <= s_decOut_n when (enable = '1') else + "0111111"; +end RTL; diff --git a/1ano/2semestre/lsd/pratica05/BasicWatch/ClkDividerN.vhd b/1ano/2semestre/lsd/pratica05/BasicWatch/ClkDividerN.vhd new file mode 100644 index 0000000..00cd9d6 --- /dev/null +++ b/1ano/2semestre/lsd/pratica05/BasicWatch/ClkDividerN.vhd @@ -0,0 +1,32 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity ClkDividerN is + generic(k : natural); + port(clkIn : in std_logic; + clkOut : out std_logic); +end ClkDividerN; + +architecture RTL of ClkDividerN is + + signal s_divCounter : natural; + +begin + assert(K >= 2); + + process(clkIn) + begin + if (rising_edge(clkIn)) then + if (s_divCounter = k - 1) then + clkOut <= '0'; + s_divCounter <= 0; + else + if (s_divCounter = (k / 2 - 1)) then + clkOut <= '1'; + end if; + s_divCounter <= s_divCounter + 1; + end if; + end if; + end process; +end RTL; diff --git a/1ano/2semestre/lsd/pratica05/BasicWatch/ClkEnableGenerator.vhd b/1ano/2semestre/lsd/pratica05/BasicWatch/ClkEnableGenerator.vhd new file mode 100644 index 0000000..2c0d307 --- /dev/null +++ b/1ano/2semestre/lsd/pratica05/BasicWatch/ClkEnableGenerator.vhd @@ -0,0 +1,29 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity ClkEnableGenerator is + port(clkIn4Hz : in std_logic; + mode : in std_logic; + clkEnable : out std_logic; + tick1Hz : out std_logic); +end ClkEnableGenerator; + +architecture RTL of ClkEnableGenerator is + + signal s_counter : unsigned(1 downto 0); + +begin + process(clkIn4Hz) + begin + if (rising_edge(clkIn4Hz)) then + s_counter <= s_counter + 1; + end if; + end process; + + clkEnable <= '1' when (mode ='1') else + '1' when (mode ='0') and (s_counter = "00") else + '0'; + + tick1Hz <= s_counter(1); +end RTL; diff --git a/1ano/2semestre/lsd/pratica05/BasicWatch/Counter4Bits.vhd b/1ano/2semestre/lsd/pratica05/BasicWatch/Counter4Bits.vhd new file mode 100644 index 0000000..45bd67a --- /dev/null +++ b/1ano/2semestre/lsd/pratica05/BasicWatch/Counter4Bits.vhd @@ -0,0 +1,43 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity Counter4Bits is + port(MAX : natural := 9; + reset : in std_logic; + clk : in std_logic; + enable1 : in std_logic; + enable2 : in std_logic; + valOut : out std_logic_vector(3 downto 0); + termCnt : out std_logic); +end Counter4Bits; + +architecture RTL of Counter4Bits is + + signal s_value : unsigned(3 downto 0); + +begin + process(reset, clk) + begin + if (rising_edge(clk)) then + if (reset = '1') then + s_value <= (others => '0'); + termCnt <= '0'; + elsif ((enable1 = '1') and (enable2 = '1')) then + if (to_integer(s_value) = MAX) then + s_value <= (others => '0'); + termCnt <= '0'; + else + s_value <= s_value + 1; + if (to_integer(s_value) = MAX - 1) then + termCnt <= '1'; + else + termCnt <= '0'; + end if; + end if; + end if; + end if; + end process; + + valOut <= std_logic_vector(s_value); +end RTL; diff --git a/1ano/2semestre/lsd/pratica05/BasicWatch/output_files/BasicWatch.sof b/1ano/2semestre/lsd/pratica05/BasicWatch/output_files/BasicWatch.sof new file mode 100644 index 0000000000000000000000000000000000000000..ba81490e7a5caff26c1f9971faf8d02440d865d9 GIT binary patch literal 3541732 zcmeFa&yQ@!a_83~MM@@!0X-&&yWk=qcyS4v5+z8`44^R*Gmvc920X^VzgDU@k53~G zBt1g!$uMRW@L#}%7lv1N!Q~j{ic91E8C)56=e2iQ7#_!``kcId`b1=AcUM+d_l+Pc zyUzJWd?Vs}vQFjAuI~O9|J9#eVgH^ze&g!Du<^hCyPy5-umA2>^3VVFo4@{r{Ig&F z^|#;r)i3^5{@Y*t?XSN1<=@C3eD%A(|GU30|Mc&^`KzzxkN@B+`TgJjJ^TL;zOtwP z;y;)F@|$1(LjLsYZ+>mQSMOc@10(#?fBuL6>`#CH_y6e6{@@?~vp>Fi|Cs8(`I}#V z^XtF*#n)H=@amj|KeBQ{HwqD^S}Jrum19P|K&G-V`sknpZ}Nt{eSz@kN?fT z{kM4jpZ~*`Ute8)&eN;^%O1b{yI+6%cfbDgzp!q9^?U#HXTSR9=l|W$e*N>m{M~>3 z^*2|4@~gl4`p>`p+h6|cU;O;nfBwzi{KYT-laECHWI4feJ0g+%_1Ay&=U;#GuYU2X zU;p_(`s!c)li&G+um8~>{Nq3T+1LO0XMgxdU;X@#fA+JV|Lo_#^LxkW-@6h1CuFU} zM#iyx{fqzco1g#UpZv~7z~7N?fA;IY{3pM2qrzYP@-Kcz{_a=5_}icV@@sSd>VNvP z#nwzW*#G4B_O0Ah;?sr_7P(i#n)&yy{^S4ekB|}jfBui(xV{*_ZI}OO@9FhdJzg?< zE?2*8m#$pdm&N7Up*@y*?agn?MIc-o7fxPRvH;Za$<=RO$NnbR?#!_=0`G1cE(^C7 zuj{#P|2OSWt}Od?Np{q?V>PQz$KK$2{hR;Udq2GX&Hwc+*Xq(|Ts*G1u0A*_s?>E8 z;5j-Sje_r{%BGs@=8fs?rB;ufAhUXTIM}q$iLTW-aQk9hN4~Ah?hor_IktcEvef5G zO>bvat**LsD>8?TdPn7nY6`4)Fnc!=9Al4VuZ`3*mF+t4F5`yF0XGituvs@xjJmCS+D)u7nx0ix085fKL!~? zop`Wq+UG>q>Wl35#W+UZsBF0`HhXX0TNeI03F`D*ySU#xS)042-*9oNwz;G~etGXW z-nhr!xMYU48@)-?f4<=|ci!`>RM!)t+_+?Y!W;MN+FO;9@DofffoGM{o>q;o3$`Du5 zK6mN6jClxdG{Yq>_OKsTUw=B=o?QQa0edps?;zJQqtvLkNp^KKDkHdSn-(_g7Ak~$ zCF@Yb*FVK>6mgQh3n_ahICym(16@Fm(76Hqk0;xbM@MsDwi`GZWze_B;Gp9SDr=z;XG;^K6>hUpcW^a$# zSLRLy&aOb-Z%-om%zM&vx!(#(BNTJ4*dy5B7}AoxkwLCyiYpmAJ0Edn1UHS)nB71j z+$|ZPX=3PAQeajQh`(;6-Wi1rUEaXX4y}%>cdkkI%`e^Qh`(;6_}}jK;GW@ zs4lp6ZICPX!J0}6s4Ha%D9DH@PEUOwOlZOtNI^F3aiVL3Tsd!>N(!heWe6z9h$&8+ z3!D45Bf6zeDv%1K0;xbMkP18%1(ZVl)z0om-?}}0G0r6m*)GWuxUsZ*adrF5+aJnX zIN~qg8x()|#Xu$2Zy%&(-&yGehi(hETw0`HV!sSYc@oy;1Juzo{nR|7f)A~gvVcg_@MJrbqm&*@CNewv)0@cJY#ldgIQsi(VIfNnR4}CjB7ePu-8=i5A5-+ zJ@kDWKDWmkdtCjNJ^qnBstYIEX7Phuq{DVe_I2#ftU=clt?}bqEo`_fu=chA-n1wy z_En_Qo@*`mn645;PY%7hVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHq1oG-q)|si{Gjv8 zUGza5Ck>X9vTez9dw9Yl?j^Hqxo6Iz@AA&-#>LuyrXuLO_ zn4KWA!Z4#Zh1jobO@{|24DCv*3$9%o3Zx*L_BheCL9U#)O(g}?l`;erWW*Gw&4sPsjS(O>t%BtK zEh?m?0;xbMkP4&%sX!`_3Zw$>paS{*va?|pnb4`N~;S^ zb*B!ypN+T^r=edD~P{KwT+A zKtV=KajG+IlSF_vXLi%m9131LKsY;Mf>S$5>_Ti>Dv%1K0;xbMkP4&%O$8LxU+wIc zFij%BFUGk9f3sZ@;p~m&&x@1|D-@c3O`XAr)`Wo$yPBO0TAIm~sY zf0z9jb-!F=p&PgTMw<)t(|e7VM8V0w3QKtW<%bVCFIBf-jR|icuRm+eO~Er}XEvA> zh8evn#G5I%`%D!c*lVif?}>bC4}IT;&+YNX9#{Mc3;wW2b>U>&EPjxSbl5J*zK;Ew zHRzh6HGX`ng$?h8$~< zF*T-1%@aL2G<#cY9033ksFHB?ELV zUOE?I{nc8R)f@z;ur3!+LPkt+@>LO_n4KWA!Z4#Zh1jobO@{|24DCv*3$9%o3Zx*L_BheCL9U#) zO(g}?l`;erWW*Gw&4sPsjS(O>t%BtKEh?m?0;xbMkP4&%sX!`_3Zw$>paS{*va?|pnb4`N~;S^b*B!ypN+T^r=edD~P{KwT+AKtV=KajG+IlSF_vXLi%m9131LKsY;Mf>S$5 z>_Ti>Dv%1K0;xbMkP4&%O$8LxU+wIcFij%BFUGk9f3sZ@;p~m&&x@1|D-@c3O`XAr)`Wo$yPBO0TAIm~sYf0z9jb-!F=p&PgTMw<)t(|e7VM8V0w3QKtW z<%bVCFIBf-jR|icuRm+eO~Er}XEvA>h8evn#G5I%`%D!c*lVif?}>bC4}IT;&+YNX z9#{Mc3;wW2b>U>&EPjxSbl5J*zK;EwHRzh6HGX`ng$?h8$~Y9033ksFHB?ELVUOE?I{nc8R)f@z;ur3!+LPkt+@>LO_n4KWA z!Z4#Zh1jobO@{|24DCv*3$9%o3Zx*L_BheCL9U#)O(g}?l`;erWW*Gw&4sPsjS(O>t%BtKEh?m? z0;xbMkP4&%sX!`_3Zw$>paS{*va?bWomQ$y#>TdPM%9oFRnN=VmC4aLb< zMSx;4(%kd3$Z6RJ~w_*_Htr1uV!%cS{Cnni#s@2y(d;qFM(B zEh`2J4lY*+C`i|gQ*o-*Y?27j=FEB(6oeqN!mtB`vpp;3AUL&?#4f~AN9>`C$6FUp zbnOlW8JEK6Yq$!NpT8}Lw93KrSYyzhDGsjY2LX!N2{J1TYdy+AW#gb{f~fs3 zOC9i}r22 znq6fE3LZOvZP_84vVTivVF>GT0VQO_6enL50gBm|{ilN0qwRx{yd{tVZ`$KT*V
P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+5Sx|?JUs>E>6M%nrvg_wZ*vknVFi@h z{ngHH3Da%!i*YVl$aYDNz>Ve4i|bd|jWcf-R|`k{<$HtT4-pus#QN=nwCp=8z2MMo z;g(B_6inKGMAtn0f~lit`l)$D1s_^+tU+XOFNT8jtkc_?MB(wfyv`teiObl8UPm-W z{c@P=O#d$XG3tJ~#zHr4`;9gi=%@D@FNuPae-)PS_{$F;TnT7cmkTH%U3>jmYizO(EV)x&5cCa4~yLmHa)CZ|$M)+wi$P-q_=cKViWi_NXqLY@5Xoa*+<( zCE3@pKeGm1Q?$m9Z?&-DvcTHg26)q=tk_qPPJ6Dk;A6T<5Is5c>WVdvDC>E(>%FOF z!_|;u4Kk+2G^u%_Cx>QlYm!DC5%Gi0FL%)gahx<*PRh0=)9v92kGPl2vgMvRi@wV{ zv$t;Y6FDqz)+b`SGmYLk;t~RK;vKKT@#3}D6xF4B*$(D0$R!JzzfR&+S=Tm4*UgzV zXyJ&z@V5Ag!HUbSvJfb^ckRRGQqL_|yQDbS>gMRUE4YA~`M}U^z*aZG+LVIT9u0P+ z#HRJOURWiI-=zUVjlz(Y3Zw$5Kq`<5qyq1v0z%XAa%Ho`7}95u>rDH%R`RBWx@KOM zNJ39;$pBr8m(GP)f3?(!gcVh&|O{*Zw`*Y#>`i%hPpt5n$ zGr^&qBz7S-Efq)wQh`(;6-WhAfm9$BcvcFqw!V1F@0XqJ5*^N#DHEjv@1z1k*Mpl9 zU4ht78RR<8KA2cRb&qCOnSnU?B?B}~4W0A(TPsvZy({-H0_n4Q|4_k35C?I zlp&xXU2Fd;93kFKs~``bx9#3)5+R#g2S8dXkP4&%slXFeV0rVuoa$v_DLRdtH^^DG zyw%U5@AA&_S8*W-1jkNzL4Z3bJQUz&bgDFn)#X- zDDpJD*}N5!(F~Wk*dy4O8}4_IYnf3>MqWO`6F0U|88Kap*)3EE_tS#(Y|1_uNrfS- z%LSB>5mTIeRRkzzC&;WYtQ)GzL1p8hXM#gJN$f%_CJcilxOQ!jYkc0mh+k3NVsNOM z?kY1-@Yn(C{yt#Sp4q%T2;I7JD98oPTgo|^@jHMo%J{X@v+T_*;@3=^S;lWhengwv z+r9hB_3gc-yAfD!JU{u-wJZHcRs2Mu3a1C8s7)Y{o8`*>wYQEV~s(3ra1V!g8~$@U&KCGp~fp=XjfWY zaBUisagggg^H!`N{|YT{4LJBE12jzyowI*y5(=qZDMLU(x@Kx9PQEGvy+=H=w_fhVQ-NotK;Capaye(-lb*}{R!|zD zm~+J*!3M{Wmh6oTaxGI_$=KQXh$|zwX@th?1`6SB$pB3gL#OP66(fc`uFEZ03F(@J zDo(yC0u-|oWL6mNw+}|B*rSsqb|DrMhIXaZ1=p?(a*fa1{QPY}^mU%_Jk}VrXNrS6 zZw~?#vp;;^!Y>T%B(cj94nenhK->sX!`_3Zw$5Kq`<5qynkH^Ho4- zZE#a!NQFVJ@qMty&hk(}?vBrKTgk!&3b$l{u1(p$C95!mb-92NGGdC8uZjT0>=&^Q zMu{zf6nN7fC%V?|T8Lj=?5T3RNwWJcL(bcRNaxtUy%I95m#1*Brd19uV%`=iNlOJ% zfm9$BNCi@XRNx6Kkbej2Y!~lvwoI8Q6-WhAfmGm$C?M3?-ub95xOQ!jEB9}kN(!he zWe6z9h$&9~-lG7yX%*xm_Q5FR>Pi^el~xyAyEe#`^R}s^fVxtKfP##e;`G$#?MS2P zlL}mp0&+Q}WF@JrDSH`!VW%xyC{_Zu^Zk7wD(=8ZU{0 zlYbSK@c7FQA9P--ZowK8-auY|)|#7wXUxuQFe?l*dQ*ruQ*Qq$D_qQ8Qzd^-twgKL>C@c0= zq|=^jE%=zO5=2i9y}DwJBg%SS?Rsyj*>E-FSc8nIF->Zo=*gkk+nS_NM@0Of^UGcI zK^!LymXoq=$#i>o!Xxe_vuwF%&Z6(~&g`w5{6r4RoArs&VzA<}t1JWx?p^z^xzuwD)-EXy zwz@ew?g}oTW{7_3w6!BERlqs+>!yh7B8I(vHohU%W4jSQ&^V^ zC?O-JIQgmwP|Qw{Sz(ybn?meYwx+`a6NYxB)dknC4RYnYZ7M0Cu9P95AS0$Y`LjcS z+_VaELGzY!(o%s`AQeajQh}?h{JF@p&4R<(GG(Gv;N4STS!cg{jc3PCR{^R2K76`8 zWaaOk0yb~Wj$?c0qq^YVwLz}j2Wu)Rpsti5pdcfrI6d`!Frf)oAO+d9$BC{Da^<{j zDk-3@lp&xXBc?cQE^Pg7i~zZ56=Ydg7M`!)2v80x8wWiT9NI}@7h=;=fm9$BNCi@X zR3H^d1yX@$r2uQ|i?{rK+1W19;cS^QQ7Z6GDj;+{xGB*Ui2am7uJi1Ji4|1$Xm*tu zh=X4;K-1LFIiJ6^LWR`3f=}?{U8aV@?W-a{ZdwH)$gD8jb04hPn?meYwx+`a6NYxB z)dkn4ePrk$*LmjcODibW!9cNxUot?`UKu)N-WHQkNbO1)0t(W#_OHSb;@z|g^6+`v z?yV*fvbl8tq@@C>Kq`<5JW&OfH~-72UM7~J)3|wqoMp>f{Ve(}@66u1$UhpOqWG6MyV9kA~212*lM&D(>} ztt*FuT+qCwoRb;91NfqhUpqa^-pnF?&BU2y{AT1ww5h$_yRTf|-dnmGf#t^YlRusL zniwc{YHtJi(E(MD|MY3jK9}{aAV+)Wqq^YQwLz}& zeX!iWEr`DEm-0N;7_?`KgTFf{Kr#CT?SlHhFKeh%u z&*UN#^XN40nd^jQi8`(J9`VfHdbtx%1)h}xdA~i$<(zp>dM@`{L1~1FcblpUu1#Za zWRPo_;tF5gMR8*rl@Z)Dvc&8L3gLcQkd}#oQ})4%5knr=OxapYj-WguP*jf zIrcTI8ZIaoC0Y1?9UixXnkdI|??5}tQ0=k2X4*j3CN1SeN>1&Oa0YNw?F zsX!`_3Zw$5Kq~O`70AB>b+&7GI9sMnlnSH*sX!|5L=+I}9Nd%`YH5%w_ivkO3aBe( z2q?&iDNg=wlK{DC6@(zO!m#caDhHK~gPsWv?If`av6wInlHl64L9U#)O(g}?l`;er zWW*GwI@2~u1ZZ<+H$Bav;I#vUvm+)rwUfjy#HOVJsX!`_3Zw$5Kq}BwKtcW0&Ta|Q zBm(?moJ;UG+a(ds-dO&;xVnAjZ4jgvVcg_@MJrbqm&*@CNewv)0@cJY#ldgIQsi z(VIfNnR2_&RN;ZWrb_;v$hY>;_igyx9&hY%#hPPWbB2f0Xx?UL;4*q>R0 zt|?mM$G2M8a9LpOZ3Db%QC94$NT)s5TJSMlC5WCJdUeGbN0jxv+V$R4v*BvUu?87a zW17@F(UU{7w>3$lj)?d{=a;+agE&qaEGK2#lIiyFgh$*5@Se^L9R3H-&)C=7V4ULSt1EN zxg`U1EnYeoV*S-xm(?5ur?4&;P(nsbaq?9WpqQN?v%)Z=H-*@*Y)yv;CJgOLs|&7O z8|2D)+f-6OT`5CAK}Jk*@@IztxoH*Tg61vdq@@C>Kq`<5qykr0`E!wHn+1onWy(aU zz`Liwvd(_@8qbcOt^!j3efV^H$jaY61#I4$9mn?0M|Hu!YlB?557tytKwT+AKtV=K zaeC_eU_ukFKnk*Hj}u)RsiAW|e`|#bsdojR;K#d64TalRMS$G2 z3PO-sVYuf$ShF{U*sp9&hX*DM?MkZ)u1)*M&_S;A%-fe%P^^Q2Vh_J$fTq1NbjrLf zCZUkpl`;erq-*V8g(JkfX%*z*^S0evO(JA->i|eg1yX@jAQgC`3M_B_ms7n=EJder z^9DJ~mbdy@^j+SWy>*kPLn`o`6v+GSNv`J1ds5zSolC@K#YNf77n02Cw9F!gcjjwi zpzbo@({Yg_ejI6rOI+*`Y|IV!JIJ-nC?#XOQ+3?1j4LC!Ycacp3gK?a09~814@OpD z2Ac;7h%lcMmaBx#1(qeOdkSq6ZH>%w}5Kg#KhJb>MnBr7- zt~N;oXme(_-DM61uN@$q9WlYFog{W4788a+5?q_cWE|u=&%6~Y$iG6%TLTV$$pB4L zL+5KW$siU6-WhAfdK`UMeBg)nOtOI9-YQLbDgj(QK!}3Bc9n? zFL&apz_U^y@3$wpoHOr9&*gqAD2-6exnhrCgJVcbHY*0XmMN}e?CgBRl@Z)DLSuFV zg>biIfToF|Q})4%5knr=b)N7%))=&BibI`gH@OZ1bn{G2s~``bx3CC9J4x(9Y+5Ri z3Zw$5Kq`<5qynixDv%1K0;xbMkP4&%_fbFy8r+l^;veK1-v?{#EDsgr?)V({cPw0> za7za0+LZlUvI;|3mkTH%Bc?d{st8cbenI@6n(A7+YaxDhv8T$huVLM=e8Be| z+U$l39&0^?gPN9up5?r~bp?BZfeVAHxq@_N11@Q)Kq`<5qynixDv%01X$A7{K%MRK z9nO|16Qu&FKq`<5JP`$iItMo;hFTir%Kh7>ngZ%d83GD2Vv3W$+ay44S_L7gCw|iZICPHZBt1Bb)^ge1sO5Lsm`=b5&_zr*-cM#D0uAv z;p~VBPVFSI3$babKq`<5qynixDv$~^6;M!rwX<8oG>HJe80QlF&2~wIvp1GMFRpH% zc^ial)4n$}{t$tIO1R%XNXx#n(hCmV7H+w;NWrB2M|91@FPJ)drk|QeRPdoC#~MV2 z8<*!6TQ7g7w>62v<9B(TLHH7vu?fA7XpH*hFxQ#>UG`(t{c??kZrt`8Z7$GH?=@Z$ z1tHStGgWwCuc?y1C-SX5 z^nDvXx5pcMT=6F?_`@F6g_CWw_(3kxVY?*zI`(JQplgcO`0=e4He421d)okST9g(0 zD$;4swHAC#R|%pghhAN=#t~&buXepR)oi#La;!nd)R-nUPxR!_>}^fbs3RhN(D~&q z`XG*z2Fpp=wq&|JJmC@dl3BLgGiT9vd1v<4O@1PW<<0s;Y>N*oc!4# zKyF$ExuAJVIccdtDv%1K0;#~&RsLM$*=E7vY?(4qD)8lU}e;+>G z9n5Kxd2Q=FdqKA6yiE0BV0+T%pm2Dx(H zHkA}mSIQ7jkP%ayHW#*jH%5TmvSy^~GC$zwB(6=y0}74@guV5SyW?z~d?)q#xXr=vK;p${^Qy_QAvo zs(UoM$_&K8FBza|YUrHL-&&zU>RrJn`0*}NL*e#S5g<3Mf)Hd@81A_b*6d9o_A6V{ z;eiQ5yVB}{Ytue5bdc*j^Y*0`6zgE1*uyUwplPoRoicBWNhqXtr3?WD>00|&;Rx|= zS_OIdylwYZlL*<|IsnpAfm9$BNClp#0?V8KdOVMfEyg|;g<*j}eeV2D;Z{6hS zkP18}1@eA-lB+rMp7bp4x2JC6;=YeD^MxcQnWGL#an7CW)Xdk!K#?ctO^*8-HU>Km z3c}15o(%VkBh#hWBiQ6yUCcr8+9cVtLik!w;o$a0A?HGyvJXZ!VF>GT z0VQO_6enL50gBlPGAj(LPRc=Lirc87wDPvNXxyRk2J zGr0y4+_jk9LWOWQEkM_v*}Odn9lLTU2vV9AhDV#XBtNn7JAg0B__fos?9D9V*G!yQ z#&1S`M4Q^%z5C)dcu|(?+uN68=4;}1F6X#7=kio4kP4&%sX!`_3Zw#;t3a-APjPL^ zDM%uY=d!*P8f@=;R2N*kHpsR7i%H>&cdCvbIL&=9L|XTqcphsE+B3z$*Ia;N_6ynv z8>qCp&{WfyVePJk_|?UpD#yNt^+G*h-b$0*Kpbj4g@c-wgO;g*bM|jdA0hLqQ{mud zT`r(_b}tuDBBZIEkx-sb0T z3!<;{gy*rwpgmI@+(#XeWtXh)qicQh`(;6-WhAfm9$BNCi@XR3H^d z1yX@j;64fnL4%tTL;QnWjMMIvpEoQekA$F~&aIhxf zdDn8@-nxQa#mqr)ay3_w_AOKf{d8rwckfP}w->nc&b) z61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3J3u%)VuDjUN$f&w zS}KqVqynixDv%1K0!;-J)L-rFmM~2sz%RzR1b?$#65;HP<SSWxy9DY-|1~l zqVV`#US|-##AR$kuOk|xemTr_rhk|H7^j+SWy>*kH$YFW2J`vlUY4pw!mk^K>?|2oC7q7jhs4m^hb})}Y zE?LO@brP@2y0$sGZqBSh3rGBgx5ZBkR$O+Kg+Rf*Yace3dTznmCB?y3H%G@^!3EUJ z2Zn9~wz>({rWCC9Xs{zCHm$ey!YWz(E)5uJ6o#}^;8GMQmr_X9kqV>&slYp-fY4>U zT-hu!h6EYpI@A8GmAq-8u9=r5lF*Y|GCv91lWW*FFUljq0 z*$FZ$3^RIDi2cgeba-IG(5|$);M%o8uAH|`B?Z)#G6WQ4#1togb_kH0RzWUk-cn9l zDv%1K0;xbMaCMbG7kRc>a5!70Oq2?|dkQS;?02v6?D**_AobsePq&Ax{M}Q)=B?Rr zZ0~$j7yP?6$d&tGO(g}?l`;erWW*Gwr@jv+G~o)QAe;6$(X~OYoVQIS1=N)?1QcY% z6sOIFt>29iAUCaoEbGd`^Yt46%0Xr0pl53Hzm52vY#@@b)J1Nv4ZLz&8{*7aqvq9 zXqp;2=kvE#sE~SB@Ckmr%hXV~eN_a=O{*XTnH7e6?t?XZQ;7Y_)^vDa!qBd?y5QQh zj|?5;I?ud)X$8eP7%2AeO9p7#D?_Ku+hP(5sa+{UKta0J{#7_ayqi`*9zJi|z11W_ zHn$Fdv{WD!NCi@XC#t~m=6^ZW%fwQ28aHo{vut^*pGDv0o!MJAc{-#5&q;y2-=5@Z z&b%i*i~H@Vo4B~|W6XRZ$w}s@LsFb`Cp$IsH8D`+33`*`zJ`s#j)Q_QvxO(a{o=@U zDfS39`BoQmP`oxtcCigMg1Z*8Tc{Ae)>AmRy-~=y(5CEzkxdxFx?DgB88OAlS4Dtg zc7n_b!>W^VP}w->nc&b)61yzYT*V%0>~9Mq&yd}rAmdXwYu9e)TUYn{o=0h~rtTZ*86l z4bn`!+f-d}?b;yM@-HTZFW#v-e&95&tXoW*-B7{9Eg7I|&uky8A%~*HMeKtWDjBI% z@Z;KcjTz=n0wr`eJ?)$i6hrC;VdE8rThqkQUD`s(^41_ccS{Cnni@K1-da6E>aZ>s zP(r$9YA8;=DgqR<6J%Bx5OCiL9c{Pi>a7|~KeQx|Q zZEA0i*;wXI1yX@jAQc!;VEM<^fajT9WMUqj#yxYLuq;uh)!rkX*;_An;;F#1QXucQ zC%K$6?@7<)ek&-AP|Uevk6?piNK5uc2Dz3gu4MH0WY&$AMr8yyjVv*{fkL=jGC|u`M1VGD)~lc(1eq0v9Uz?TSuqE}shuQt zA(lE~4_!Rox^SXvcPPlX6h2?WRhazzZ9$|}4xYyvgZ4~ua5X;&P|Qw{Sz%b~Q4T5_ z2R#!U+DT%UFqxbD&HDl<^<*a2+I4%w9bTQUnnSeFYZAtRVj+62Dx(HHkA}mSIQ7jkP%ayp7gvO=`wv%fm9$BNCi@XR3H_&SOxO$K%MQ< z9L|<06Qu&FKq`<5JP`$iI^7Ky1=(zuaH4C2T)7X{R8l})DMLU(Moe+qTv#qf;jA#Y zX%*yB_HV5ep`Lc7)dknC4RYnYZ7M0Cu9P95AS0$YJ?VM7ynaTSO`lZYVid@EdohRB{>2&mUb_$Zl8Jkp^k+k{_?#+@rPdwRAT-1 zL0a~mm0ob@ws6a(MG7YEKcZ_Me!2@$ z48oVVj7{iuL}S!1hq=!5@3J4G?w4yUbmO+)Xmf#ndav=4C^-37VF{1F{P02NrRo-} zG2sp5^=GZQDR{>0%m%Z_XV3!4?P zfkN$W$pBr8m(GP)f3?}(hPaJEdDC>3}o6%e{^?|f7jT${#z${^Qy_QAvo@^^grJg1p3xFrKLO%0v% z`CF?;NFCPY0!m2NObx}!S4Dtgc7n_b!}@_(<)E^0&@>|#9NI}@7h*AC7$m{9X&)In z$aS81`_c-kn(ityQ0(EC4A8V!hEAEc#R?Tt?+QM_k0rK$6;7O1Dd^$zw%uDzB4i8O z2TV%^Qh`(;6?lRQEN}joQ@KnmMW=D|206=?xB6N1UEZ0!b(5zT!OSEh*ImjcOn)yPKSv{3m#PH61O$^js27HEG1TUK55*K>}8*{_`4stCsO34`S zR2?^d;>rl_TFh>tLbzKpK-Z@1gOODj!n#~Q2^lfP$yY^yV)o@`UGTc+{;fp{;q4@` z3$d6m43gm5wLz}&dHW*gEuydUgy*rwpgmI@+ z7V&E)&Mf0MBR`@|?d{%u<@)yC(%lFwH=dvT>CD%}K(SMM8_1t7sX!`_3Zw$5Kq`<5 z+)aU8-`-6x-@EvyPjmKJtZ!|e33AX(yxUY=aP8V4*Z4kIqq1%>IJi4L$89A81rN7m zfUf2K?Uf=@)wrveIS5X!<_c1|To*hrVQ@VZWJAJ4W7^c-9<#B`oeHD^sX!_)punGpi%iU;)3|4@6P6|F zwAy>bGkfdhPCONORtn_(_9T~c<~`}T+;0V?5o)tTwBO(u(vr=JL9S(rt3~v7KH|y< zZW^KSzKVfDxLYzn)5K6;r?_BZx>n3X@Cxg40VSks7OFV;st8cbzD)Xp*SayK98@+A zT2>4c9NI}@7h*{ld+6fv)`b&YyF)?7rSR3R-Prv6Z9$}Up77k&NkK+TadI_32vE#U zkXd0^>roCW8wWiT9NI}@7h;d;j!$w(1yX@jAQeajQh`(;6-WhAfm9$BNCi@XRA5{I z!F6y`Vu*E+>pc6nR9yFHc9j_@cA*{;qCp&{WsjT?_H6i#=72eGS(Q!p3(*2<}?UZlOZ>T2JAirsbe#Id5-W!Jc5?!r*GI zAo2AYzMVjmmI|Z-sX!`_3Zw$5z{M(%e+TMpm*#M`OqnPZNCi@XRN#pyAk;ayDKXU2 zAXo0+Hq{hRSIQ7jkP%ay{M{x2a?>gZL1u+v-7i!QDjNqq6CBz}Vi#gDVHhOAwQGZ1 zId7Xv3aBe(2q?&iDNc2!ZITGk=FDz-nnS^B2MA|JOmJ!^iCu_YUq8p^IivzlMS1|D- z@c3O`XAr)`Wo$yPBO0TAIm~sYf0z9jb-!F=p&PgTMw<)t(|e7VM8V0w3QKtW<%bVC zFIBf-jR|icuRm+eO~Er}XEvA>h8evn#G5I{G%1{2)A1a8O_lsTk#Fsx@7wUXJ>J;k zia%k&ANHs&oNSxL4|0(X+a=l8u|Km0T~oBik8icG;j+Nm+Xi^kqO90gkxqNAwcumA zN)SCc^y-Q=jwtJSwd=j9X2aExV+}H<#x$vUq9=!DZ)=i99TD+^&M$Y-2XUMiqlh{w6)pbIQgmwP|Qw{Sz%bWDV2lD#zE7JTySV7iCu`rgkg{b*QR}B=pfg5=Iu)> zsA{^a%s{b+Uot?`UKu)N-WDrVNWCkVbeIe15$X-?Uxg!t$LtTEx5dZ@lEf|)n3f8p z0;xbM@I)0@-uy48dYM>?PUGf{dX_D3^|R=^yfb_2CQpY{;5jLf_uG?P&6)S4yx%&P zXxUtHkViT-^Mxd{dMdMs;hp)K7^u4p_;g(4h#yCq;Sv{n1RHb1{SI<1GfK%A?^GQ( ze&Wgq?pn-lp+dM@GC#6aC;z$aZ&fm9$BNCi@X zR3H_&90hWHdx~pOPC*iJJeT#Y&|rJ#qq^YQwLz}jzilchpsti5pdcfrIJx^^R|4dw zRgeqX2NUXPS6W?gZ5oqtkn242R;(cZ3N3F9IQS(4G))bi^L+=CP)O}c83GE@HB&=z z@>LNaH?4vYWL6juaNj;i)0(|0p?+m+x+eL3K|VKrQTB3THLqrI7beauv(Jq`rcLeb zF&oR=sX!`_3Zw!93M`A(0nanJ$izH4jeF)gVOgS1tG!1&v$tOE#8ZK1r9j?qPjWeD z-jklo{Z>#Kp_p^U9>E63kd|y#400_~T*=tk`G_kcxM_sO>;?+qZpi>m6GQhKK`xg< zRO{fNWyL_j!Q~181?ie`Do(YUO%egxoLTpu6oeqN!mtB`vpp;3AUL&?#4f~Q!Z1jJ zYu5(3#^-H*{62v<9B(TLHH7vu?fA7XpH*hFxQ#>UG`(t{c??kZrt`8Z7$GH z?=@Z$1tHStGgWwCuc?y1 zC-SX5^nDvXx5pcMT=6F?_`@F6g_CWw_(3kxVY?*zI`(JQplgcO`0=e4He421d)okS zT9g(0D$;4swHAC#R|%pghhAN=#t~&buXepR)oi#La;!nd)R-nUPxR!_>}^fbs3RhN z(D~&q`XG*z2Fpp=wq&|JJmC@dl3BLgGiT9vd1v<4O@1PW<<0s;Y>N* zoc!4#KyF$ExuAJVIccdtDv%1K0;#~&RsLM$*=E7vY?(4qD)8lU} ze;+>G9n5Kxd2Q=FdqKA6yiE0BV0+T%pm z2Dx(HHkA}mSIQ7jkP%ayHW#*jH%5TmvSy^~GC$zwB(6=y0}74@guV5SyW?z~d?)q#xXr=vK;p${^Qy z_QAvos(UoM$_&K8FBza|YUrHL-&&zU>RrJn`0*}NL*e#S5g<3Mf)Hd@81A_b*6d9o z_A6V{;eiQ5yVB}{Ytue5bdc*j^Y*0`6zgE1*uyUwplPoRoicBWNhqXtr3?WD>00|& z;Rx|=S_OIdylwYZlL*<|IsnpAfm9$BNClp#0?V8KdOVMfEyg|;g<*j}eeV2D; zZ{6hSkP18}1@eA-lB+rMp7bp4x2JC6;=YeD^MxcQnWGL#an7CW)Xdk!K#?ctO^*8- zHU>Km3c}15o(%VkBh#hWBiQ6yUCcr8+9cVtLik!w;o$a0A?HGyvJXZ! zVF>GT0VQO_6enL50gBlPGAj(LPRc=Lirc87wDPvNXx zyRk2JGr0y4+_jk9LWOWQEkM_v*}Odn9lLTU2vV9AhDV#XBtNn7JAg0B__fos?9D9V z*G!yQ#&1S`M4Q^%z5C)dcu|(?+uN68=4;}1F6X#7=kio4kP4&%sX!`_3Zw#;t3a-A zPjPL^DM%uY=d!*P8f@=;R2N*kHpsR7i%H>&cdCvbIL&=9L|XTqcphsE+B3z$*Ia;N z_6ynv8>qCp&{WfyVePJk_|?UpD#yNt^+G*h-b$0*Kpbj4g@c-wgO;g*bM|jdA0hLq zQ{mudT`r(_b}tuDBBZIEkx z-sb0T3!<;{gy*rwpgmI@+(#XeWtXh)qicQh`(;6-WhAfm9$BNCi@X zR3H^d1yX@j;64fnL4%tTL;QnWjMMIvpEoQekA$F~& zaIhxfdDn8@-nxQa#mqr)ay3_w_AOKf{d8rwckfP}w-> znc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3J3u%)VuDjU zN$f&wS}KqVqynixDv%1K0!;-J)L-rFmM~2sz%RzR1b?$#65;HP<SSWxy9DY z-|1~lqVV`#US|-##AR$kuOk|xemTr_rhk|H7^j+SWy>*kH$YFW2J`vlUY4pw!mk^K>?|2oC7q7jhs4m^h zb})}YE?LO@brP@2y0$sGZqBSh3rGBgx5ZBkR$O+Kg+RG>Ca7T_HkW#C!P=mE3)$-C z=(sDmEIvLkbQ`eMO|UklU?oA!j+EH6-qs7NWbx}TV5m_T(o%s-QJ`E(Az4Q%kP4&% z?}P$Em+^9Cv&0w@WRUAj`?pr|riHp@UY1BgPj1NoU5l5_g;;;J)@3yZ!6~fE1(c8x zQ=EKN1Sn=F$gD8T=uIK^D_hgyfeAyq(&~b1*9N(A-ZqsKP*=(jP>>N*oc!4#KyF$E zxuAJVIccdtDv%1K0;#~&RsLM$*=E7vY?(4qD)8lU}e;+>G9n5Kxd2Q=FdqKA6yiE0BV0+T%pm2Dx(HHkA}m zSIQ7jkP%ayHW#*jH%5TmvSy^~GC$zwB(6=y0}74@guV5SyW?z~d?)q#xXr=vK;p${^Qy_QAvos(UoM z$_&K8FBza|YUrHL-&&zU>RrJn`0*}NL*e#S5g<3Mf)Hd@81A_b*6d9o_A6V{;eiQ5 zyVB}{Ytue5bdc*j^Y*0`6zgE1*uyXRh=4}+%77{JwwQ!MR#(apP>`;*e-(}p@1|9d zhtJz~Z#9XK&8-6wWD|z4E*DTj zMoe+?RS}?=oglNquYgjMT1LmzX*$u>@)>AmBX*p<_8aQYF*7OlFuR0YDZr0@j zidWZ6YsJaeT!3PBg3JoTT90y2**IvLkqZv(B(V#zCpSJfeo^*vVl}U3aTl)XEVIvz zKc-FX?J*n6+^Ik+kP4&%0}8Mby(p83)#xdM@`{L1~1FcblpUu1#ZaWRPo_;tF5gMR8*rl@Z)Dvc&8L3gLcQkd}#oQ})4% z5knr=OxapYj-WguP*jfIrcTI8ZIaoC0Y1?9UixXnkdI|??5}tQ0 z=k2X4*j3CN1SeN>1&Oa0YNw?FsX!`_3Zw$5Kq~O`70AB>b+&7GI9sMnlnSH*sX!|5 zL=+I}9Nd%`YH5%w_ivkO3aBe(2q?&iDNg=wlK{DC6@(zO!m#caDhHK~gPsWv?If`a zv6wInlHl64L9U#)O(g}?l`;erWW*GwI@2~u1ZZ<+H$Bav;I#vUvm+)rwUfjy#HOVJ zsX!`_3Zw$5Kq}BwKtcW0&Ta|QBm(?moJ;UG+a(ds-dO&;xVnAjZ4jgvVcg_@MJr zbqm&*@CNewv)0@cJY#ldgIQsi(VIfNnR2_&RN;ZWrb_;v$hY>;_igyx9&hY%#hPPWbB2f0Xx?UL;4*q>R0t|?mM$G2M8a9LpOZ3Db%QC94$NT)s5TJSMlC5WCJ zdUeGbN0jxv+V$R4v*BvUu?87aW17@F(UU{7w>3$lj)?d{=a;+agE&qaEGK2#lIiyF zgh$* z5@Se^L9R3H-&)C=7V4ULSt1ENxg`U1EnYeoV*S-xm(?5ur?4&;P(nsbaq?9WpqQN? zv%)Z=H-*@*Y)yv;CJgOLs|&7O8|2D)+f-6OT`5CAK}Jk*@@IztxoH*Tg61vdq@@C> zKq`<5qykr0`E!wHn+1onWy(aUz`Liwvd(_@8qbcOt^!j3efV^H$jaY61#I4$9mn?0 zM|Hu!YlB?557tytKwT+AKtV=KaeC_eU_ukFKnk*Hj}u)RRdT>*sD-ioBgIwp?2NNr(?$PWjGY|*AWPqlrp>sZeYlRA_ zcLkr|$Gc1oh1*v}fZVhSLXcTuxaU4tvp0pdN~;U5P5a2uL9X-6+m}{Q ztb>7K55Hu9roA$B%DgQmp^(~@G6WQ)Ywcf!BgDID73AUbw%uDzB4l&x07y#(Qh`(; z6?mcwEN}joQ@uT!OT=cy zMcK?3lFaI~%p!(&=4)c0?lRyrJ!VuQw0!qk;DNepB0u-|^H|v7ex}mBZR5lKJCOEW{#4f~Q!Z1jJ zYu5(3#^>#eoVSR+&J&);8iV#sai}xxCf7lLZl0-W736~EE#;id_#MC(W&GOdS@vcY z@oOf|EaNvLKcY?T?cROm`u5(^-3Tl1n=f*F} zUQVp$)hzD91)F8|x$(!esl7dBW0^Y@NCi@XRA4}XWzjm|c_tT`m`A5^&s--gOVnw# z_lRfq*2|rED)6in$ouU{F6Yd9(sQ}r3Q8l?W{1e%-@&zM?2Qa^EmK_K>+F2Ql@Z)D zLSuFVg>biIfToF|zD{w$#B{Bghu{^~LO_n4KWA!f?NRFha#1og}df zv6wKlE3GcLc5RSreBS2gZwsQY^MvQI#-Ke@9Nc+(5TKa-;qw-LVQ43bU5HIf1yX@j zAQeajQh`(;6-WhAfm9$BNCi@XRNy`e2tk9J5<~ohT;uy-jh*G8g4`XSOxapYj-WguP*jfIrcTI8P*`t5unYP-Sjkvg4YfZ z&W@Pi)J_t+5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+vOaW28%Y?nkhdt>?Y;_CL9 zw?Viz?R!Jx4-pusg!}D-wCp=8z2MMo;g(B_6inKGMAtn0f~lit`l)$D1s_^+tU+YB zad~dB_40RmTazd}ewWu7gfDR!o6zfs#;9KobDinmWj{vUFV|S;#%;gR<^uinUgITE zaPqIh5*~l~;e*ag)h$?K!W+oz&suX+@Qm4+4Q7R5MsEu7X3Fh8Q-ufinkxBwBH!9W z-?!m&d%Us76@S8lKkQLmIN3IfALJq(wo9_FV}E81x~6E2AKz+W!)1ZBw+-;7MOm@0 zBAxbJYr)5Kl^}X@=+zZ#98uQuYS(*H&4#NX#~NfzjcHQzL{ARQ-qs|IIwIl+onP*v z58^m!u$+`_OQzey6CQCdnPtm8a~6GX?+kmZZg0(3Ht34X*NQq7BZN0Ec7Qagah8l$-Efu&F11toWi}tuDBBZICPHZBt1Bb)^ge1sO5L$)6np zmk0;xbMkP2K~<#q1U;gs=4!4sLH0axSzf`(R`fhOjOd zP(nsbaq?9WpqQN?v%;|Iq#RT>4tgdyw3Eaxi!@iUhZ_6ag2*#ucPPmC6wcbU8~b86 zlWP#cU5nW*R0wy|0(9+}&D(>}u`7pyAf;Jhc(i#-@)H}s1NfqhUpqa^-pnF?&BU2y z{AT1ww5h$_yDwgY7iGD=y?r@mz9w$xa*m60E>EQbsX!`_3Zw$5Kq_##3gr6s6xXJl zf+XU2F6&#N!S>Eab-}f3gIvqMm=wNvr|S5D)7%F`q;=nk=ds41JyRTf%>^iCzo31v zfl8|jO*M@f*6vz}UtR2}a_nnZFVq9(tu)yU#G%$xIH+kkXqg%~XaCmp5i+kj6%KCJ z&Lc zJ;~*qc~5#S_gg_}go<~Ystc}7V{c@TYnkE-U)@D;?+qep-;0iGfr0 z!HN+>9@pg-tb}yULKP=p6#Vj+62D!%PZGQf? zAo@B_cphsE+B3z$owo-8irF7NZ{Zh)c9PhI*tAq26-WhAfm9$BNCi@XR3H^d1yX@j zAQeaj?xTPZG`J}-#6QS2z7O`0{aY$_cYKc9N(KrZZpi>$o3ejPR$&P1asee|#1toA z6#xLy$ zyx_NkYkM5#b3kP4&%H==-0=isKqP)mbcxqsVKQ$SrQLqI`BOmXse zn*_*Bs~`lK6^3=cP&ue<9P~_ZXeWtXh{c3qkObGR4RYnYZ7M0Cu9P95AS0$Y)tRR09w}PmkI!1z za9LpOZ3BF6QC94aNT)s5TJSktC5WD!dUeGbN0jxv+V$R4v*BvUu?87aW17@F(UViN zw>3$lj)?e8=a;+an>a2SEEi?llIiyFf=Ap-X4!JjoJHT|o!MJA`Gp*oH|q!Vf4O%$jAG|I8WU%70t1JWx z?p^!7xzuwD)-EXywz@ex?g}oTW#Y^Wx ztiM|8vYLb76xQVeO2~*QPQEGv6tfd#Rv2dVrV#s;t?BTszS}KqVqynixD)8`-|1a`tv*2{KOqnPZ`1%xB*4bZQ zPi^`3Nm7f)2;7=2~D^H zDafWhPIPUME9Y%fNda}G3;_ihF~w?$)52ft*1rm3NGK7VV43aNJmU*NxYnHmbWuZjSJoeXwS4 z3b9|=nhp<47}}Lq7hIe6gQ0_5*O|8;t)N&31H~SG$pB6J+t4ZVwwQ!MYFEk-P>`;* z{}hf8@1|9dx6j*lZ#9XK&8-62<3(z7Z1U?dfWur3!+LPkt+ z@>LO_n4KWA!mw_rDhHK~gPsWv?If`av6wInlHl64L9X$6`yqZsb&J8FYPze;K*3`N zto!?bO}n#sdlI^J=~?!97V#%0&Mf0EBfq0f?d{cl<@)y3 z(me?*Po7`=>CD%}K(SMM8_1t7sX!`_3Zw$5Kq`<5yi9>y-@Z&Q-@EvyFLU-@*0+Kj z?VXS6f@{|XxyJXwa{sm<`nq4r^H^iho+%Fg?w|n0><8Kh8>qCp&{WfyjP0(4_|?Up zD#yNt^+LU2|5lpp2I5fbDICv93bt81pU;^b>CKruT( zW`$v`M>(i$95l_y1&4N$*oD}O8=o6Llzp67&EK=Q3)gg(+2_XJ)28&Lcy~yR9c~82R`>mif zLdCmH)dkn4u{ScvwM=n^ukNC_v5m?IZW>u)b_0cQKP^bh#K0;0V8w_bkLz*^RzkXF zp^B5QiU7sz1eq0v*X@H5D)#6kiCu`rgrQw&b-}f3gIweDHa~w`5Ph8|JdZU7?U~}> z&fAj!#q4jNx9|%?J4x(9Y+5Ri3Zw$5Kq`<5qynixDv%1K0;xbMkP4&%uTek<8r+l^ z;veK1-v@ik{w)=|J3i-aB?AQyw`72>P1(OCt1yIhxquQfVv3WmiU7sz2igZ4sI2}K4SCwOnBC%p*tMR*!J35UUCVj<>xJ5BsX!`_3Zw$5Kq`<5+`a<&Jy2J>hNr7#%0#I^Dv%1K0ym<7Q0L&L#869v zT)BVSR8v4*DMLU(Moe+?cbf#rO{*XTnH7e0zfd`-Y#j7VaA+rqU5LemVUPsZt_^bK zylpBepsti5pdcfrIMtc9Ng_a-GrQ?&4h63rAe=RY;eIkF_L%+4*S9^T6#{*ws!54c}7f!a#;s?1% zhwYN=>)4-JgB~eb<}&zwcy z<(=7EH~EDemN)AQvAvi^UmS6SfSh>et8l(}?KMSp>0Y*zc?@#NLgufNcvaT5&DnKx zW(`_6;vc*%{$#M?va2iv3hrI|zPZ$M3)U_v4z{{EJMIcDpk_WWbQ`eMO|UklV6{hs z9VxMCy{#8k$>Miuz)+(wq@@B!QJ@^9kgOvWNCi@XuR;N#%Xqo6Sz-(cGRSqM{aY(} z(?VS{FH0n$C%0sPuEk5|Lae`9>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RU zz=WY)X?4N1YlB=lZ<|UAs4Ha%D9DH@PX6o=AUCao9BAHBPFgCE3Zw$5Kq~O?kpD08 zYO~;UwM>~P75MrTSk~EJU*p;F?J6Mk--ox`LstIvDPZ%~>^!!2KB^1;T^r=eeXypI z0_sW`0tzx>iqoy{g9%Nz0x8I*Jx+9OkSphHQ%M1Jr3?WD88O9ab7AXmV+6=et02p| zvhaNUMSyZp**NH#;LuJIyAYd}3Zw$5Kq`<5qynixDv%1?l>)4-4{!PVva4O9)73J) zAyIilY=))+@2h~2esEKwTPgb~gIw3y2NNr(?$PWjGY|*AWPqlrp>sZeYlRA_cLiVI zzjv7$3b(I{0J&)ugdnrR@XCF#W^W3yU)h=t4@?-^l~xyAoA!gDgIw2{w;!#bSO){e z9)8IHP5ax>Df70NghFap$`DYHuC@OZju7vrRgkyO+jeg?iIB~$10XFGNCi@XRNzJx zSl;}PQ@uSy2P2y>gmt-q5;9_nldp;Z#q0!`6^2zO<)E^0&@;iI zog{WyqtLb#h2plf$FZ%;zUt{e)2 zlxBtD-R3RHFKqk`;6oXIc6ye5o<;nLi8IUi%gFC&Q+s=LU%UnnWx2k6emQ2oCZ6YV zj*D|HPo)B>Kq`<5qynixDsWr{a(#P=Yf~;k5^>zi`c`PLz4K9BaP8V4*YYnWg)iQz zI)C6a_rVZp-FM=7tTAZM6bD~(0gBlVv=25=X?3BgrZL0XT?_H6i#=72eGTh{dc(Yx zCcA++)OrdBH7y4%Qv>Jh- zSdC8Op1Dp~mZ;Ne?-9@Jt(QCTRN$@@$ouU@F6Yd9(!Jbo1*H)x-fgNbxHgTwkwLCy ziYt6|7sZWjR7P;q$P%*~D1`fIL0TpTPT2=5Mhtmems_wB(lrZJoP1RTC}t?MkZ)u3a1C8lSiM`P+i%>pbCktTAZM6bEro<5cAlLXl*jx5*so34| zId3Z&D0sLf19WZ5{w-OBA*{; zij%+FBtULj1tG|+Fs%E9%0Xr0pl5q`GF`!DK# zxyC{_Zu^Zk7wCWAG+q(~C;uue;qi}uzw5kI-GVhHe1d%Xr8PGN&zPOrU{)Ar^rjGR zrrho`Rd`^ZsFLp!`O_Zytqs50;_zDZY*rU2|vTYVW$VEDAmt&QmNYNU9 zeAdE-%K~d}8{l(`vSNQkI_B! zo}8M!tw|bnM8t18zuZON#BtGJxhUI~Ot*&@JmOw5%a(iQEc!0*%-*`mFXXViSzn0l z#Wecjh$95##5-Sw^TlhgDXL5NvYpIhkV_Uaf1SjuvaW5;uA4J!(83Y_;BE0IgB6!u zWg$>-@7njxrJh@`c1dxt)y>&)S8xF}^MRq;fURzVwJ8OwJsRvtiB0Qmy|79aze@v# z8igS(6-WhAfm9$BNCmz|1%#&K<;rG>F{IBR*Om5ft>jG$br-G^XMcT- zXU8|KK+fBnzIMnBsKn`(Q#7u0RU1X^#_K z8|2D)+f-6OT`5CAK}Jk*+FaQB+ZX|I(<;dF{#dN~;U5P5Z&nL9Xk}+mBXItb>7K55Hu9ru}W`lzCfBLLs#)We6xp*V=yyM~HXR zD#+XCZM(OcM9AjW0g#poqynixDsZC;EN}kDsa__QqSLr}gPdi{Tm3BhF7M3Vy2;Za z6}Tq_@_u`ft2y(YbQkyAOE+=2-($>tA<0GNs6$ema~C@`^EEM0TRlzlLg3PV_z3n(EYra1Yk2vE#U zkXd0^H&m5_%Em#@1c!E#*o9b37zRmj?b;yM_`LlPzoNRu;7~Q)Rc4^zu>;oqeZZ#O z*}Odo-MVrp$bsf9b`P)`)cW)1ePby zFaC7qYhs|-sl5&4PnT366-WhAfm9$BNCjS|K(23JrkC$s{L_~?doSx-L5}v$M|Huq zYlB?l`(U|$TM&KSFXefxF=)>e2Y+`^fMWIo?SlHhF zKeh%uU*IAy@O|$3me}W9&yV%_iHjZ2Tqi6`RKM1FE!BK8d#g$ENhv9WLLb_(5ij%L30LAPCnH7fD?Sm02_UI&uU5LempgeVr#fk2MDEnd0Ei+misr>~Ej9@C!pbN$f)GZJ69fnfFko-a`}F zLn@F8qynixDv%1K0;xbMkP4&%slYW95RwdTN(|LA$ThwXCb$-IuUkRN!LldA)3&)g zK4&hA6Jp(x0lGG2|CX%65Z2`aO2~*QPOd5{Kr#D)_Q3`!tu8dxwRYD+{OV#)m19@K zI!oX3dxti=p@PR+PvKyFaqzrrcQ$Vuawu9HV%`=iNlOJ%fm9$BNCi@XRN#gc$nSx= z+QmCvEz?(!NWOv|57MF@q>d~j6}VFcgudL3AO+cMQE{ScgIweLx492i5dG=?T&!{T z@|c6-w7IZ#hg^VS_CxH0QDSQYQ-L?_aiVMOu7&v3#hxn1n-ZQ+?q zo7NV9?Jv=_aKB*c=$Za(9#O%kmK_z{<}34M%cjQaa9 z*Om4Y{)@U_uCdUK+kT_X1^VANjh95h$-fFqc>Lqv?>aA4w_uG4pCF%pY0XW+GiGNt zm=%T@y(z?-DYyGKIUd+2s^t4b{WVdvDC>E(>%FOF!_|;u z4Kk+2G^u%_C#Pm_Ym!DC5%HVOFL%*5aa=T5F3Pqg)9v8}kGPl2vgMvRi@wV{v$t;Y z3pp%r))!)XF^#@B;s^mb@y=J_eDT_Ait5t6Y$x*==IppDxPY4Zz|d{LRyV=g^#3fWw%L&q zo7P)*Hk{KBHG1~UAr&}?0_7leWErVIDv%0%9SR6-#>LTX5nL9Q$9-&)C=7B>5V z1`0j7B?ELVUOE?I{nc8R)f@z;ur3!+LPkt+@>LO_n4KWA!Z4#Zh1jobO@{|24DCv* z3$9%oa^<{jDk-3@lp&xXBc?dr z`n(-!G<{NmR3H^d1-=FaR$?|{x)!rrs1WX^1?XDbTOsy!dqqSFLs*v!C?O-JIJv5*0LAPCnH7c^y(z?Q zWi{O*&4b|DuC%(~+OfjH!p7eK zK9uoir)SycS;U{1IJ1nujQox^wYOLI#XaFrw%paH)Fe|_jkL@nhIi&`VxaCa;FB(? zKq`<5qynixDv$~sM}b`5UgBDmOOQky_p-hf8f@=;R2N*kHpn%;57yWX?iPcCyW?}- zRx(iVa7za0+MVs+HsnyWIM6*}z6l8PJIngvG;~>{{=B-#kn-)l0>~Vp4tHv^C z-kOAB z>jYMhp=pR*44B#5#fnU#RNxjAm~y{;OXKpE;7+V|@YlmL?@7)3?dwY(j=WTFLPp3o zJ4E{pj-h;PRt$12Q(P^gxAPHKMsU*zjrUay6vEw-0h%U;`Z~o06VtU~9)ed`mkTH% zU9(Wd$yY^yV)kXy7rfSuDdnKDanQ12py1F>61xzK3Bw=>u3a1C8lSiM`P+i%>pbCk ztTAZM6o)#~o^qW8=;@i7RzcoAZ($LJc9PhI*xN9{uqXOL@rA1wcVLP7Xz?1QP0seJ{_q2RUFqa4&-H4b_v zIJA?*F2rKOFi3)H*9N)9=WXLRBHdzesG9C7Gf?o@0sJ~)$fo?>fy}}X*5v|9$cQOU zzA6F~vmavrwoqeQDv%1K0;xbMkP4&%H>^N@57gBz-sx(YzJf&Z74&$J7WE)?WErWz zohl&o)!zB2F1U7WkSq7Wno0_&D`f~M$cQOUx4RGa*rM>m6-Yrg?Qx=OgIqapn@S3( zD`f~M$cQOUn+wauD4Z1rH?4vkV*i#x(o%t2Q$TL5;H)knc4ym zhMjBmS3A3pPIOEE%{&IVWFgxnIRj7DSRWdzu7xB1@sC0AhX@Q*V*QdqTK1QfUU2BP z@XVz}3MTC@(KQdhVCv|Z{%jsm!KaoSYY-WpT%Koaz5Jct)+7p#-{oTl;YVD?CiF3) zG3xKbTvz&c*?&>@%QY6daocaSxj_H>rty*}IQds$36FpL`(5Xy>K3dq;S=Q3FRi&L zc*g9^2D8F2qc??kGv(^P7}s=oV4tXx?-Tjc9{Q~fzuM!oJs$W93%=N+x^S{>7C*>E zI&7C@U&sE;8uUof8h?D&!iLKNYi}FibBnTKe?&U%xz>Wu=_*0=Sch=82x1n!T+_8g)d(Z#uu+Mc>46(O|hK+m=kXhZj8JUNXy;d*&?q zF7M3Vy2&r(z|H?1P2Vy1>r>~%J8O5ocN4f_vA#Z!Y!Rg0)MEgRO4Pj=O>jsF@E8-3DxR6Rb`D&ys4J9VxMC zy>(~9IsH(hXU`l`fm9$BNCi@XRNyOAKhzwP$zD={RN&Pi^`3Nm7f)8@j~-^K`#n^r-V_vga% z^%nukL1p8hXM#gJN$f&wS}KqVqynixD)2=C`SLWM%~e3>(kFW=kO~}Kf&6{h)vnO# zYMC-oD)3b*Aap&rDbW>({ggqj>+FMx6;$_Vc9j{3gI_X0)6~#8pTD(2h19!(FYw>H zObvzGS4Du_v&)AaR#2>ifnpE8 zWPqmqZRnJFTTDVBwJT)^C`i}Ze+oy4chf4!+vjb&x0*!A=GFml8z#3=<~>xY_s~T4 zkP3Vy3M_B_$LUrkmZHT+LO0V)o@`UGQ4#Q4T5_2R#!U+DT#;VliPDB*C?7gIweD_Cx%N>K21T z)pS>xfr7^lSoikJ*5v|9$cQOUt|})1irEh|Zz=R*#@_%wl<{Y$XW8dj z#GjZrvy8ut{EjxYw^#R->)TgL_av}9d4BPyGhY(}#ZK*QAb+}~0;xbMkP5s^fp>n} zcv-ROoeE4*AlJ8-khNTbB;t7I8`Z^n6B?wMc({(VYZJ=xzepIUgv7)Q#=8%v&*@UD{$N zFEDS_Smw-IlTeJ@mofwtq-&;z;^eC$KPF34`m-G zR`d5P?!v^GW%jx8_q3_Ky=P;YI~BN)0?ShLLTpKs3LH&=!_9D+sE|(Mp1Dq7_4uAP zv$yx`D|4p;S63kKw-=Fo<~`|N?ze){2*sQ$_6RmOhO}g}VvuW@;!4KO&PQAs!A&DH zW;aj>cS{Cnnix7|AFLQLm)!=&(yRE^7eTPi!ij4#4bxX z6ltlz4JjZuRBM)+3Zw$5Kq`<5qynixDv%1K0;$0LDj>8rxG6ED!XVf9K3HRCd8i zc&Dpn%0#I^Dv%1K0ym<7P-lDRqq^YQwLz}jzilchpsti5pdcfrIQe^z0_3JukVEW) zQOLuCFtjVJF1U7WkSphHQ%M1Jr3?WD88OA_*5~a=qv?|h97h2;PAOSQDln{o411k;t#(VsKom1gS6}~ zE4|>*ZQ+?qixf=SU!rRse!2@S48o7N zj7{icL}S$7hq9F!V(_;`1iZcOVuq{W5OrM zr(argQ}B%0nGI%zVMcEX@n*{HKV^lB*(a*x`$Yb;B+@h@5ACXRbuC?HEx=Ii|IrZv_HI69j zd9~}ksb<5~kYf!prp7d>d7>w$W^ZefMja9Ho6awH(Km5iG*~Xmwk6Z;;RTPlm&~%| zo;i!Y%R95TZt@E`EN|8qVtX--zBu9t0Xgx`SK)l|nrn)0s!R8>oy?=j^-#IQTrq#0 z#H+HdZ3Mr~nQtECytV{r8gGj~nTO)Ft1JWx?p^!7xzu}B@RK^a#J0LooOT5l&YJna z&~3n0Hx13T&5o4VwB810!^6Y>8*22i(!-5NmzD~o0;xbMFkJzio*@$)SplKAc)7A! zNDcKd$o1C!+d^eSwrQcRnU^J!K;f1=#2qi43-SJHZG>5H3hQzKC1k`DCtno-irE*< z3tlsNQ;7Y_)^vDa!qBd?y5QQiL9VyX+jD!}VsMCcFi`MtO9tp#&f5n?q^faOF>?@{ zT+J1va=9*eq@@C>Kq`<5qyk?Q$p07lMT^PLPLuhQJ{9n5Kxd2Q=B#zw(e>RkegOPmit`c`MRO198@+A zdL}rulf*8>V!|*;f@{|XxpLk%l@w4{$`DYH5mTJ%Oxq+8pv{@x^fZTp*A5WQj+o%o zP7=Eio0bZs0;xbMkP4&%sX!`_3Zw$pRv>?0b~VLMSId-%Qh~2h0io-`O^L2RY;Fy5 zU1uLmtRR2Khix1)VQ@u9+H&ldp;Z#q0!`6^2*tgBiIH z-cAy`5Q_=JAPKHb`@zsbuItR(k5-U>h2B1I|2FO^^R}3TV&uM*A)p{#YyT-6A-;VD z&7t7+x_R5~O(Bl27iy=a0;xbMkP6(e0?V8KaXOHRrRX$n-l%8UvP7Ll-{qazTQ_++ zqyqP(K;CaJay4h(lk$G+T%zS4=??Nvr)Iv8WL8gQ7BRdtUlRj$mjRz47r~2WxWvUC z!3M{0zk^)Mj8Zaoc0S_D2<}?UZlOZBTQWe`rtE`}RT#p$TtEpKF~!MOMSx=Vu3a1C8lSfxa^52PI!|~WYYf^m#lfAoCjpAt4>WHn^kT-} z06vuQXQyY`=UK#`m^ibHzl{8jHnq1`_m%70S4;OKusnHw@uxFi69dIg?QI}`x}*ZB zKq`<5qynixD)2G|a((+Uy?pQDpT5l5yI9}aJQL)gnRvIUy5QQiL9X$AutsIwVsLPG ze9qfS1_~Z-$pBr;{o4mcq^faOF>?@{T+J1va=9)K4+Wis1C!CsrbO)uvU5IA z4EeHQ8NZ@%Ynm9kOIrw8-Wr7GZpi>mQ$y#>TdPM%9oFRnN=VmC4aLb4(%kd3$YhBJ~w_S`#7RGexxn?$)*@Yn%t z%MRI;{aZ2%Ls*v!C?O-JIQgmwP|UvUKNY;*Z6EA0w@^d7(&~b1*9N(A-ZqsKP*=(j zP>>N*oNjvFj&zwmsX!`_3Zw$5Kq`<599Dt+9;mBbn$y)XWujCd6-WhAfg4dksMFnW zQIO4c2`9QX$d&tGO(g}?l`;erWW*Gw&4uM+6wV5Rn^r-NvVUu(2=%lptuDBBZICPH zZBt1Bb)^ge1sO5L>89uH^7RB{>66mUbT=o}c;hp^k+k{_&4N@rPdwRAT-1L0a~gm0ob@w(!iQMG7YEFVQs* zzhLU0%m%Z5etqhkcxUa-7q7jhs4iWxPv$YmB@5ZQa8Zu^SOMa78m@M5aE-UcpA1pZ9eW5A z+`IODbE)SRtX)zZY;|*X+!b6v&3s_!HejopU~NjlYL5mxQexA38<-7`737!-+?E36 zw#v>N* zoc!4#KyF$EIncbNoU~LR6-WhAfmGn(A^%_G)n>uzYMC-oDv%1K0;#~^6_CSMm<6T+ zdj;ft>O8i0KB~((pf?P1Hrt^F;^3DI&@?r4&gXAULLs#)We6xp z*Gvt?$yY^y+_VZpkXd0^KM<=NR5lKp*6d9Qtz2#$E4lE*^-z!v2`8HNgQ0_5*O|8; zt)NW{7o4|$8~2oXTbkF_vNkjZZCd+J;RvzqD`*Y{uh-4nc5e!Ce7)9RyWo|U3Zw$5 zKq_#93M_B_$EjQk_{_&4UE zY~~9|W_4O-5yLz4H8D_k8Sv@2$QeJ6G_%L_67}n(iI?8=^^i_$|)*#DL?D5KdFd~H^Zo#i?-F92TUqnK_ zuDzfj<5KwAm41k)0l{61*)3EEcS{E7+MUhYlhCm%hk_ubSz%Zwl5$Wr=AdVSLpw?A zLhQwjzX5zG zHm^%M)ErZsz0LjB6t zbWQTtf_!fLQ1)?RHGj|IE=-(RW}h2>Pn+7?dp4H2Q-M?<6-WgJ6j&Cm1D;oMk%@V9 z8u!d~!m>o2R(p?lW^cXRiKha0r9j?qFLF6&-jnX-ek&-AP@5g1{RYR7mTXoGaxGI_ zEuy#c5m!cV(+G|CRSXou-I4*CCWiVt#RU`7wPGHES6G(|C?Q?5P{qktMSx=VWzrYC z){QCUpt5n$vSOg%&`uJ&5KFq)Ll=)PE}ZDv3kotWg|Bw)#^&d53nH!agy*hK3Nm7f zldJhjfMRxn%nHL=k8)7iIOv(+&`uJ&5PME{ev(5fkP4&%sX!`_3Zw$5Kq`<5qynix zDv%1K0^Q(bF!EyS-b_Eb6cHC#6c8@~}DxN9-Hg$m(oJ%xjsmV=( z>KxpZ7;0&dEB9}kY6_?;We6z9h$&9~Zj%7HX%&PZv%;|M7b*vpjf0*E4(%kd3$d6m z43gm5wLz|&w@oDl)Ri&>6lBB{r#jO%Nd#zfW;Z>}q2RRxgtH?iIJJ|+F2t^{pY!t^ zQh{4hV7c$#O1W8QDv%1K0ynLIQoX<0*)3tZjs7;yB@5Xu$r*UE{Q2RV(;8RPEHHZvPF3&Tz zUj9yRYZ8UW@A5H&@FOl`6Z#m@81?sIt}Fe!?7yh{ zgvUSr{jT#;bqm&*@Cowim)6`AJY#ldgIQsi(VIfNnQ}~%!r3()&#_Nb$@hu;X%GF@ zhF|UR*&Yvkg#};iQC&FMHj5wRA|19%vae%*W(|6zXpKKUYhlA>fwi{{@VP}A((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-udB#k;E;y0aN?xJtv zxM;9klx<6<+rtYUaW9!=%RO@zeV2D;Z{6exK!bLguV+DxUX}H?K!8P6%e=Z_#4btU?50ajG|g5w zii6J;0z8LFIP58j3E^Uxt5&=g>#dnu4C4v&VE%}g9z?g%x+^P`(ez0LQh`(;75Ewy$p064wX1x(TBb~t3Zw$5Kq_!}1?2D* zW`U`|UI96uI*;y#i-K>qOE}TBL9W~fYbq(Au9P95AS0$YZ7yuxGZ!E?t%49_Rv2>s zHqdYtCV%g+nJ3JdcxHk2OmVpNdE09;@l+rcNCi@XR3H^d1yX@jAQiZz0{Q#0ORCxA zIyKP*Q-M_AjS2|y@xIDtyQG_neZWDk>+Ii(6|`xg?o_hNW+n`7$pB4LL+5<{*6I;b zhjqDt64EtOLviv|5ulizAhW`-Zc{1;m5qa@8M)xlP7=EiiwVOZ39e21!O%gj>&)Aa zR#4S+SDArg55Hu9ru}W`lzCgMP$BiMVA5eOpl7HzwEq;25FWF?ecl!$A4n3rP+(dr zkP4&%slbgYu)O&nr+S%KicaI^je3?XZ}qe2ySy`d>n2Z!RN$Tz$ouU@uI9{pQr>T! zOSEh*ImkPmn)yPKSv{3m#PH61O$^js27HEG1TUK55*K>}8*{_`4stCsO34`SRGl|| z;>rl_TFh>tLbzKpK-Z@1gOODj!n#~Q2^lfP$yY^yV)o@`UGRG4{;fp{;q4@`3$d6m z43gm5wLz}&dHW&fEuydUgy*rwpgmI@+w*U+46cWQY)ClKG$!L9*LCKtSV5Z>Hm^%M)ErZsz0LjB6tbWQTtf_!fLQ1)?R zHGj|IE=-(RW}h2>Pn+7?dp4H2Q-M?<6-WgJ6j&Cm1D;oMk%@V98u!d~!m>o2R(p?l zW^cXRiKha0r9j?qFLF6&-jnX-ek&-AP@5g1{RYR7mTXoGaxGI_Euy#c5m!cV(+G|C zRSXou-I4*CCWiVt#RU`7wPGHES6G(|C?Q?5P{qktMSx=VWzrYC){QCUpt5n$vSOg% z&`uJ&5KFq)Ll=)PE}ZDv3kotWg|Bw)#^&d53nH!agy*hK3Nm7fldJhjfMRxn%nHL= zk8)7iIOv(+&`uJ&5PME{ev(5fkP4&%sX!`_3Zw$5Kq`<5qynixDv%1K0^ zQ(bF!EyS-b_Eb6cHC#6c8@~}DxN9-Hg$m(oJ%xjsmV=(>KxpZ7;0&dEB9}k zY6_?;We6z9h$&9~Zj%7HX%&PZv%;|M7b*vpjf0*E4(%kd3$d6m43gm5wLz|&w@oDl z)Ri&>6lBB{r#jO%Nd#zfW;Z>}q2RRxgtH?iIJJ|+F2t^{pY!t^Qh{4hV7c$#O1W8Q zDv%1K0ynLIQoX<0*)3tZjs7;yB@5Xu$r*UE{Q2RV(;8RPEHHZvPF3&TzUj9yRYZ8UW@A5H& z@FOl`6Z#m@81?sIt}Fe!?7yh{gvUSr{jT#;bqm&* z@Cowim)6`AJY#ldgIQsi(VIfNnQ}~%!r3()&#_Nb$@hu;X%GF@hF|UR*&Yvkg#};i zQC&FMHj5wRA|19%vae%*W(|6zXpKKUYhlA>fwi{{@VP}A((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-udB#k;E;y0aN?xJtvxM;9klx<6<+rtYU zaW9!=%RO@zeV2D;Z{6exK!bLgu zV+DxUX}H?K!8P6%e=Z_#4btU?50ajG|g5wii6J;0z=r77yCnm3EnYeoV%HXwgIWg% zJrf+lx?DgB88OAFRm$ZjSKZpi>$%X#~th*UN1DrOFXldHLcR4&&AkF-=E6-WhAfmGm&0{QiotL$zk9slcj$oS&RWcgI1&H=7ci=-MDx?t?Xz6i`>n5Kxd2 zQ=B#zw(gk=kegOP2r?@SxqlmIxC-O`-l1xUgl+S$Q10J)8>xbnH!*M9*F4cwAQeaj zQh`(;6-WhAfm9$BxU2&C`?AaG*slZDW5CYmeAJqldrm+t=$aS6l zTd{&>?SrXt&-omqNl4+olp&xXT{ATlCtno-YF|NfD0r>gl*&P6-EI>>dMdHc}{+O$C0Vvn=z_Ct8?mJHCezYU!-Z;RCzH0VSks z?LUPh#K-JNX;v6sH*ed$Da7&hLhZCvAQeajQh^&*V0rUDP6slv6rIM+8}%$(-s)%3 zcX?;_)=i!cslYuckoVh*T+NyHq`cocmxzC39?E9EkYrY;Wfn2KGhY(}b(aC3j*Fb} z^GGwB9U^~!=N!-*2Dz3QrOSSE})~_ zXGdR^IBgBGEX5wL+y^647~&TE%GPbSCHzGsbiI zfUe!yygdmWyK*Q9QkoTpbs{MTRbvi%COEW{#4f~M-1r;7hcf=`^ep>4i}(}Qbe8d# zk>Am#_V((&cnu!Pmet6Vnq&&Ak(OD+@XmZq4Afl)e9|QqNCi@XR3H^d1yX_ID3I&h zOI(X`36hB8F4ng;&x8hPCf;qTF1U7WkZbuDlfqYbQQX)@W!+-h?1l;+ep-;8-Pt}^ zLk>lXL+pbUDjBI%@Z;KcjmhXv0wr`eJ?)$i6hrC;;aldd7|$+kv6E+Z`yo7cO9p6~ z8aij*T0KJQur3!+Lb_&ZC{DgA0u-|oWL6k>Z{4>K(ljF%9NI}@7h*4Nd~W-*pBsNqo7&rZHkP?lfm9$BNCgHISpKm!;CUq%nV3hXanD>QEKAgBwfBf; z_SVatcq(vL3grFvBA0XKJ?UQVw}R3L#hfel2sSu|v}A8&kZYOZN=AQAX5DCMR7P;q z$P%*~D1^Hu12jzx-ERcBTnbUGgM*e80|f_{D+CmzYsRTK)oM0L1ZZ<+y$T9KkXd2a z0m9jy6>|`r+DT#;VyPqc(8c463n#kvf`W`o;qx_Ig~`v~7DQU*;CZYuXwMV}SM!qq z#q0!`6^6AQ<)E^0&@;iIog{WS&)B67c+ygV+fYDmqs*)_6-WhAfm9$BNCi@XR3H^d z1yX@KS3u}*a8qJPib1aH?B7yx-J{u6W}x7)1K5@wvMKwwWEO_7E*DTjMoe+?RS}?= zec691c)i;`*kf*?hIXaZ1=p?(a^<{jDk-3@lp&xXBc?dr?z}COpOy-w0;xbMkP4&% zslW{@klzD!wTpMUTBb~t3Zw$5Kq_z}3J7(&8!ig6*)HKk*9N(AAFQdQfVxtKfP##e z;RB{>66mUbT=o}c;h zp^k+k{_&4N@rPdwRAT-1L0a~gm0ob@w(!iQMG7YEFVQs*zhLU0%m%Z5etqhkcxUa-7q7jh zs4iWxPv$YmB@5ZQa8Zu^SOMa78m@M5aE-UcpA1pZ9eW5A+`IODbE)SRtX)zZY;|*X z+!b6v&3s_!HejopU~NjlYL5mxQexA38<-7`737!-qynixDv%1K0;xcxfB?kHmCZsb z;@F%Y;#z= zh8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0s~`uOx0I8X3Zw$5 zKq`<5JUrz8i@e$_I9)AMCQ1cTfm9$BNCi@XRA8C{0&{!kqq^YQwLz}j2Wu)Rpsti5 zpdcfrINka_n9zhPkb-R5<3!g6xpLk%l@w4{$`DYH5mTHt7q_Jg5=T-TYmAFZIO>8>&Z#U6gi08RVb&?)n_SfN7dUBMUlZ;9Z!~khIi&`VxaCa;4|bRc+m`( zxY#4um>ceQkZYMyO2&An>b&t2S4MExVs;A^!rhVqx;AAWjI6>C*5v|9$cQOUzA6F~ zvoAO6g4ZkeZ!J;?ZzqXeh{c3qkObGR4RVdo+YdQ!5q+H}JdZU7?U~}>&fAj!#q0-~ zw-kCY<8J^T%J{R>v+VOM;!jMRS;k*Ren*?y+pGJ^_3f*rdlFclJiqwUnXid~VyE^t zkUw2gfm9$BNCi@XR3H_2nF6`KeVJaqckxeO=ImXpZ*86la?nh?+f-d}?b;yM_&!*p zvTiXrxH~@QZ6yN*54U81uI2vigCbJZxT}~s2u`l%3R1aTmxqUf(~C&X0j+__=w?%* zb_LlvA1H==*|3aXQMfft4Be$Ige-3j!gIG|fTpRTbLOqpBcu-NasefzYo>*9prKbz1E`;+ehmawnb&+?4`(zrD!ioOw^Wm;0@t zG(yF@P1Ob0rm;6N$hAyyh0ouUSvOi5l@Z)Dvc&8L3gK?a08JA^_ZvYjmqJwQ;Gkv2 zK*7P~3IPS_nsF*lwVF*50ot5duY!UQWL6k{uSVvy@P`?pkF_h@#N z87O${0Jdd^Y|8#EnS~*&%LSB>5mTIeRRkzzU-q90UhlRK_Ly6!p zN(!heWe6z9h$&9DJ8ui+r=@N9 zDa&8&>^?ft?cld@E?LNSNzTBNrQL^z=V!irsAJ)XfBa)m{NWb^l~})hke2;rr57B! zEj)8+k%CG4OLWb{FPJ)drazlURPd=K#~MV2Czt0LTQ7g7w>62v<9GR(LHH4uu?c;Q zXpH*%FxQp-UG`tp{c??kZrt`8Z7$INzG=K93Qqo2Si<8U|9;nbsk#MgO!x%(^h;}Q z3Z5}Lv%#z|%;-%a-b}f=)3K(*1N%gke4ogl_Rw!__|+bt?eV}@Sn$Oj)rFI7v-m+S z(qX$K`#Sb#)}Tj<*7)PI7B*ZKSbN(5pIej_`y9)a-3d(x@XMe$)BoF8U^piw4U@*|uc5J-px%_mWw*+%sp< zcX?;_)=hpP2X6lFX!?%1U!OWB-dVfz#cQuAs!LbwlX(ns$wIa+T$E!!R)Bb&hN~SM zT;px=Cqoo;#~uO&_pW{4Tk*sPEZ6l!-%2IyM6 zbS}jDtFMnBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf@7AQeaj zQh`(;6_}=gz}(*Xs4lp6ZICPX!J0}6s4Ha%D9DH@PPe`fCN$v+q#&F2IMKC1uAH|` zB?Z)#G6WQ4#1yB^g{{Ah5g<3Mg5>@!Dx{?XsX!`_3Zw$5Kq`<5qyk@|0{Q#0t6lWd z)iPzGRN$*rK=67by$}R zC?Q=lH54ab6#jz?$gUZH1(~MkjXeWtXh{c3qkObGJ{b1-I*LCLYM=Pjm zx~t4Uv4>wWK-2y>Sxh+d1v<4O`Z;^z&$CD_uGqH&6)S4yx%&PXxUtH zkas#Y^Mxd{dMdMs;hp)K7^u4p_zbxSUNplcF7^mE=7#$n?TNqILz@IUgv7eA%#k!~U%p&n|7TlNXq`YAkc+ ztw|_G?n@a03eq)GLviv|5uo-JG>3v0@2&gxL7LX=O$qfYThlekUkmcN@k80iiPii) zi@Pv!W|@6%{5@@IZ|~Vy=1v7tfm9$B7*JsO$JT)7m0Ve@tJx$Gpv{?e|4BgzGAj%_Ksej8Vh(~+ zJ4x(9EG7(tB)E2MkZXM2=I3tvpij%+hC_rvn1v$_@m{3o< z(&~b1*9N(A-ZqsKP*=(jP>>N*oNj&Ijx?G+sX!`_3Zw$5Kq~N+Dxmc3uXeoOO}AD0 zZJbLMrd^UV@MLNC;oSbZdB)bu-|1~lqVV`#K4uVp#AR$kA0ry0{yxlgrGJfa#r}wN+H=*g*9SFCYFSD*lQimxh~IR6xr@Gu^j+SWy>*jc$YFW2 zz7X4sY4pVrM+nG?cfJbei`QOLRG02$JDJBImn>xdI*C_hUE7>pH)qzMg(LpK+u~0K zD=xdrLZIN@weOotJ-1-(lHy>io3rDt-~wvq14Fj~Tipa}QwmmlG}w_6o7UTUVU;X? zmj(MnBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf^D zPl08f{q;4T9pA13QvZE;yFFy(U!MXtZ_UnQd*`FN;NP`DuG|M}Dk-3@lp&xXBc?dr z`aYP@ge#DOY}(^Q*9N(A-ZqsKP*=(jP>>N*oHiG>{x(K{+_Va^tSbx8*IxuE2bGP3 zo(T@^B(V#zX{kUekP4&%sX!`_3Zw$5z+EZ8+WPR8zc0JmB|2R#(;E_%H^gRWD)7Dv z2F~gWpFHx>S7Lx*Cxp>w!ubl*J5@H6~fng z3J1?O3ON_rlzlL=2}4+y3n(EYra1Yk2vE#UkXd0^by5y08wWiT9NI}@mqnU~*h7u| zOF`rrvKJI&dirpO`qajK7ThjyAQoSNFwh@KBcP+vk^K=4;}4F6X#7=kio4kP4&% zsX!`_3Zw$ZRUp^5m$)|N5+o7FU94|yo(T=oOuXAvU2yH%AlLFQCWSBFsXBk)G_I^$ zOq<=4d2131sa+{UKtZ}@YA8;=DgxxDRS<&A3Ip%0`}RSa*6d9Q^($M`HOXHK^11Ot z*~f|1{5^}iFmYy?eQx|cZEA1t*;wXI1yX@jAQc!;U|F;dcwWgxCg#y;+%wk+%Mx{3 z?LFd|z4dY@o(kNR0(rl^$mN`QPr8@;t)MhQZFY$E8yrJgvRN_6wM=ofh~CaeTp7Vl zBQ)MuF;ED1O9p6~80za37fejoig^fLVO=hugmle96(?U60gBm|Nnh|Q+>%Em#< zih+VdJ4x(9Ea_qoT|B^a@}Ne-z%Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5j4L3x z4sJ>eu?})wXaAOp>mJRnG6MyV9l*BikWJaYC9^Pub-92NGGdC8uZjT0>_^!Lvpu+h zN~;S^b*V}uEp#YDul1~6b@=y4tkdJ_SqHe2?j00b8u5)sHH)! z+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+5WBv9&d+m51#U%w<-UI_ z@O?5;LvU1nM;clOxjNfaKx z%f}4DkGPCY=wn1<)Zd4>uJrG+|Dx`fYb3FyUt71 zEm&j1C&;H?T60tIjMU>&EPjxSbl5J*zK;EwHRzF|HU9Xlg$?h8$~HF@!D&O>e3baWFCWDvXHF{ z7vN;j!>g-pw7NqAOg1Z*8Tc{B3mJHCfcWHfMG%m_xy92MA|JOmJ!^iCu`rgkg{b*RBn6y>Z?? z3g0aT2mcBoyO}V!B?ELV=k0?cQq{Ptm^lbeuI36-xm*`K(o%s`AQeajQh_fDXYesUh&9R~&9Y)WvVYlB?557tytKwT+A zKtV=KaoSwix@RsxZdwH)$gD8r{%xS)DvbMkhpHhGw#~mnxqs_zqzY2r#Jp`^^F&jD zR3H^d1yX@jAQeajQh`+9vI^wy%Py;DgYDG74g;0LRFZ|H0xwlS2x#woR2N*E#y;R6 z*LC)9#R{6W52nUF=W~oEA%*)=hJb=}&D2nwd{qRfeFe>-;I(d3DhHK~gQhimQ$j13 zTgOT+JaIh~WJAJiqJg9R72WPq;uI>iM`mvM18JBD?+ zfR1vX9eq{ev^B`G6nngKAB;$0h+FV0Tesbo@E4JguWK(T$hZ`~cBLQUX+Ut-Vs;A^ z!rhVqx^`#t_9S%d%Ap`gX;v84iKHAv+VOM;!j-D zS;k*Ren*?y+pGKHHFzjnRwGktk}0f4T4oW$JM%R$PFl`4sJ?BT5Qe_axMR2Qex{aiW}S5S>7a$4q|qT5Tg9FAU(UY zeXxcciWCRh2NUXXFPwsGE;=Wg#$+7iy3V{6D`?XKX^TD1+mH4`cT&D2nwd{qP}W+%w3F!0{GZy%&-MlLwClf*8>UflTH_@V6M#A^PY#a+0j zv&=p>{+>3qxA$x;bEg8SKq`<53@ETHS_eF@x5;AI<58|@yyd z2DfB@rir1xP8}(mtOgc)h&|%E+=7*mu34z!Cy8B% zO-lt*fm9$BNCi@XR3H^d1yX@jAQeajQh`+9H3|qpgPRgV{DWNM`(SU`zolY#$LGAi zW1!&SmJHCfDf_o%6^5`b7f?b*OmXs65ulj;K>J_=l~xy;>RP*NA%1nSr^>OfVcoFw zxABKRkQcLCY9aqxPvM}Z<)CLdZ=YSko?zg@;A*ZQ@%2LOv{WD!NCi@XRNxQ_$RVo8 zB5qcJ{2r*QUAoiNGG(GvAQeajQh^&$K&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5 zn5Kxd2Q=IBd+awX7 z&6(ZwG>3xM4iL_cnBde-61xzamI|Z-sX!`_3Zw$5KvMw)^;bK)B}|hD@V9X;!QX6` zL^%6o`Sao7`5A)B`Tr#1+O&TRjXy+Spc3x457M%~tn`9Iw}odeEmAOPe~GSn_yto( z&-7>WhzdTnFFOGYCK8GB%-)5sguQALhE!zsvrMx?irb z(2d)Eqs;~S-#3kyM8V0w3QKtWh8evn z#G5I%`%D!c*e9yw`$Yb;B+@h@5ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~kYf!prp7d> zd7>w$W^ZefMja9Ho6awH(Km5iG*~Xmwk6Z;;RTPlm&~%|o;i!Y%R95TZt@E`EN|8q zVtX--zBu9t0Xgx`SK)l|+G~pH(!Fda^BClkh0I?k@v5wAo3rcY%o?n5Kxd2Q=B#zw*EFofZVhSvaBl$&(~iBC3Hzm52vY#@@b)9`Mv4ZLz&8{*7aqvq9Xqp;2=kvE#sE~SB@CE*Rm#Lv}`>F_# zn^r*xGAj(P+y`s+rV#s;t?BTh~Tcp>=r77yJ-Qsc4zbUBy{Y`p&&?URv6xG-je*n z#@_%wl<{Y$XW8dj#GjZrvy8ut{EjxYw^#SYYw%E(>)Yp-W9Dn(c`oO;IOp0a))g3<`ZoGbPSHaLc~WN&1U zYnkFoMt@Ic-Dqi4MsU-}60;j9gu5jJG))ZMZv?qq3Q?_tgO(Ko1qYWa1Qeue#;G{f zYBos(Xme)03JOAySz*`#!r7h`a}b=`Nn#gbsU!B##p8<$C%X26f{aVy^EF(B$;#z=hP58$pt5n$Gr^&qBz8H^*rg75(o%ujP(W^@%&amM zNCi@XR3H^d1yX@jAQeajQh_^HKAOKf{d8rw7IZcjKW!AaMLQtQTA`G6rrAWrPT%3t_^bKylpBepsti5 zpdcfrINkKTU0y#U&8AN(a0~^c-uI7DPgao%j3^)@PU({h98&?MEPu7L`{+csgWtxv zWFgxnIRj6Yb{`&|pZW5kj)f!s@sC0AhhGd-V*U0(TK1QfUU2BP@XVz}3MTC@(KQdh zVCv|Z{%jsm!KaoSYY-WpT%Koaz5Jct)+7p#-{oTl;YVD?CiF3)G3xKbTvz&c*?&>@ z%QY6daocaSxj_H>rty*}IQds$36FpL`(5Xy>K3dq;S=Q3FRi&Lc*g9^2D8F2qc??k zGv(?|$C?fg>=RY;eIkF_L%+4*S9^T6#{*ws!54c}7f!a#;s?1%hwYN=>)4-JgB~eb z<}&zwcy<(=7EH~EDexcR@M z={x3red?TeXYI}xuf3+IE?u!t<}t`63)#AGQI7ps0pfKUu6A&6jkm?03{lV>dk7TV zyY_u^spl4~T~Zuub#r#y6D{V5^&8ZA!svj|MwZV$*sXm<^8=LAyZ_HV7^O$(b9vVlTRZpi>$izO(FIxThrlz2}8Tm>Vj+62Dx(HHkA}mSIQ7jkP%ay z{MjKuZdwI7(7dIbv{WD!NCi@XRN&zu|6k}6{Jhpc}s>?Z`Hw<#+K3G%8s`+Na`2rsgu&hevTi*v0ni!mGxOQ!jE9Y%fNda}G z3;_ihF~#ZD=j}+N>5~ei0;xbMkP4&%sX!`_3Zw#;QXqd{b}1#hJg2V50UXK!YRD2& zf!8S@gt;3o3bNTQ;Y8Ee+#2M%&OVq}L7Nsf+o1;H;Fk>0G&OY2=Wk6yA+;-I2q;L` zObx}!S4Du_v`e)+Ty7mJx$wmGP>>A?Cz|$yp@Ur4nYSOU zpiK)GoVR})_mp{Cn%CB{HZ%rpTKiAo2(j%eXbuIh*Uj5@ZwhgIz1Cm5;FXpNqynix zDsY1eEN}kDsaz(OqSLr}qn>5F3%{q$?Cm}K%G{~I)fLG5?L{P?c~8pwt#gU^H|C*i z<_k$?by{W-!#ndeF;I6I@aee789$FSv)Li?_jk?#y&$Ea>aZP6#e;N55ZEA0??u*ypp=?==OsPqxuo`KZMGWuE*Tg{G zWxyw0Qh`(;6-WhAfm9$BIF16jzP-e?D3>6KIPPVAD>OK`DG_P0IX}p?{EJD6t-C00 zY-4A6lQ=qv*)2kd^3#I!?9TSV8geL79B3a*sK>o<3bMKAoM;-8aggge^H!{&O$($g z_Bd}p+7IEmTQWe?)X+Ke*6I;bhjqDt64EtOLviv|`Ty*lPjVzhtj7HyAl@Tbupo$8 zu+VZ?5Wx}hD18Kupd)aES#SVWtmhak=O|CVa#fDYC1vK=o&Con1zql{Px>T%nO&We zZrlPCvlC=iIPl(jY#*d)MlLwClf*8>p56G|_)XdSiPe0V#a$TFS!SObzot#??V62c z?o=QZNCi@X0R`4Y>wxElTx4P%oyI+Lov}uAK1-=gIh8{)5K6;r;e0O zRs)MY#2#^7Zox`O*DO?V@>LO_n0=k}1+Q&*l#|NFNz00Xf_RLi90o~n?b;yM z_`J=}-xfsQ<_XVZjX`^+IMkW;lJ+> z3Wu;R7f?b*OmXs65ulj;hW5b*Dy=Rw)wOolLj2}pPnC0D!@6M^Z{ri(wV2&Ph48JO z!bwfbNzZcLJ{!TFVBo^R)m%a1@j~siR3H^d1yX@jAQeaj?q7lY9;l0r;pt+TGEpj! z3Zw$5z?~={)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY(<%r-W`)DHU#Of^Hcomb zIJA?*F2rKOVUPsZt_^bKylpBepsti5pdcfrIMtc9OCmtKD~IW64h63RAY2_W!Ks}j zb|H3q{VdP(kP6(30_%PMUdqinQ-M?<6}W2!lIV-{oTl;YVD?F7z>?an#?3xi0kYvVWuQ*D)5laocaSxkCSa z(|Ab~ocvK(!{Z=Y_yqa*OKWZlo-sSK!K`qY(VIfNn{tQGRN;Yrq)NU| ztwgW!3 zC@c0yq|=dWD|ks)38E*bUR|-q5oNuuHr~5xc3cfPwjg6_Op}@?dU9&^wk2uQ5fQ)Y z`g#|A6~|eF<*aObGTon^@r--PEL-oHv*^3LGkfbMKa<1yW_>2MFQ(Bij<|(@oOsJ9 zEQ8lxQ&gAku$|0fkV{rFf1SjuvaW3w*WHyZXyu51@V5Aq!HUbFvJfb^ckTP;QqL_| zyQDbS>Sl2~6kI^fd|>D{V5^&8ZA!svj|MwZV$*ut23E=9cL|b~3f!9l<=zU;dQ*W^ zAQiZ41%&G3<;re}G1TZF*M;_Pt>j$`baqm%4uf2|57rd2YQEcWKEuZYEUS|F-uJKq`<5qynixDv%1K0;#~c6v*F~olD6s&#CK?4yiyY@CpTlSa-uk zL3Z0ETxc4bTZ3Ge*#{FVXxGASJJdiN{E`8hriRY>{H;kSq;{nY0R`!rsi8RestAyq zRzV0dD;%~D#40D1jgzJ|ds9Lyms`h1Eb0~PdY~HqeQ;6g7+J5bVS6V8N3Zw$5z#S^EzWLuy zx@$PIy)b6W!+-h?1l;+Zpi>$^L2^~mM-J! zusDWwxqyyxFOI(EaoQSWS&BVgxDQ68aEM#*E8Di+h{c4%APKHr8{`_Fx9{R<&@Bds zSO)_I54U81uD!8&dlEW!cS;k*RzM@U-?ZtiZ8oVi6@9I-(k}0f4T4oW$JM%R$P9Nd(MwAfuAkLFOBMF>#`VE6-b zZ)_i|A%`N=P3(geDjBI%@MCPd#$4(%kd3$bT6J~w_- z_I_eDA7*hE#&nk1=fmPTa+H;pVYyMaQuTQWe?#L(kLkjteI)jBw7Sus#>aJfQ2LAqv~ic_s-mqdVe zSGG}55Q5AKhXWv7?O8Dg!Ks}jb|DrM4ud4Pc5RSreBS2gZwsPt^MvQI#-Ke@9Nc+( z5}=s<_45{f;m}SJyR6|*q@@CPq=4K}tyyX+kP4&%sX!`_3Zw$5Kq`<5qyleO0im_Q zO^G2D2D!%f!Ctd}OU3Sv&$6v#py1(_4A8YH`?q8j4q;s`poEN=;^eC$Kr#DG?1NEa zOCSZ_wa107wYwJLHy3-VobQq_p$k{*hUMXk0*QFT6lBB{Cx5p|fZVhSLXcVEu*!L@6HTsd!>N(!heWe6z9h$&8WrtOjl(C*4%dYVJQ>i`H>M@(>PCy8B%O-lvt zPXW2VlC$De;34O2PJ%mDK&jnd?Hrac-8O$4=aQA|kYoX#EPviTzQUed`MkJVIpQCG z8x((tz(6I|Zy%&(e_81Thi(hcTw0`H(*6=%^Y9C%j-Khy<`ETqYRRz$k-;4d1?gF* zw=Idn<9GR(LHH4uu?u~SXdLzTVXh1PyX@bn`*nNy)DaQC>iT*YeHF)9gXOGj zdotahp7D%($t+v%nX~A-yfb_2CO?zI`euD5wlAj9FOIl{fSh>CC@h25UQ?LM|1Qgp z?y#NAqs#Tg#oYaM60gd-wh=pd*j?Fz0Gh_z;!ozGcpWMWfr5M2zHct|+=8`Bii53g z7RN)u1=P$3hHeA4x@l;xZFZ!@ruDWBtdhm=^5NnCh8l$-Efu&G1@u-5$vRSjuSS7< ztN3b$+HF+08ZTFNOAMFb`Ncu5SMJ~HviNzP+dbd4P}j`syca^;l3lLn7vrUK!PQ@_ zJty(bg^OWbE}(>rnBwHCB0w=aL1u-+jNTMtzp^bI9++@wS6W?g?b;yME9dQFd);Di zh;=Yf@Ni28=vvO(2Suc+aaS>O5S(1i6{K>xE_kG+0;xbMkP4&%pB2deFY>b%lb@X? z^Cx{O@HH#I5;!dtxGM$Z3;s=hSB+({Z(0GHw`R-H-EmOh-KGQ=x;Ds_`(RBa1=N)? z1QcY%6sO(5)?IA@a?>iv`u<#azHO)~CzXwpo(T@^B(V#zm~a>*!L@6HTsd!>N(!he zWe6z9h$&8WrtOjl(C*4%dYVJQ>i`H>M@(>PCy8B%O-lt*fm9$BNCi@XR3H^d1yX@a zE0Di0yO`pqi)DI6qVkH^3{3^DtALPxa8sgNDVtk^T$kAg6D!Ey@nIXsOgOkD12jzy zo%1Y*5Z+D_yAX>Bhd~ltoA!gDgIt%H zx9_bWe}rB?Z$B9KlzCfBLNRh*$`DYHuC@OZju77-L31d0y=>mLdsB$x@j~siR3H^d z1yX@KR$zVeznuXrNwk}a;(RX>z)Z3Y8y5*)rDsa0B9z+@<#5r zXVJNmtp7-_=U0C+^Mxd{x+}AY;hp)K7^u4p_;g%k!IvY=FvP_k!3M{0zk^)sj8Zao zc0S_D2<}?UZlOZBTQWe`rtE`}RXBuoxquQfVv3WmiU7sz>&?30^|F01B85XcN$j$Q zkCGJf4A~bHWPA#zui+-lyPUU(w8_EqSYyzhDGsjYCjpAtZ(`n3;+c)V0en-&pPim% zpJow%Wa7*+{xb3vZE9~X?u&cEP1(8{nNpKXVKve+ix}RSuZe-W%YaY1qynixDv%1K z0;xbMa61a*`t}@SQO-dUalDE3t<5u`L7Itoo2m=0T^r7glDAxq{+B}R_Nk*D42AZ3+Mv%4yMN9=&K@x$Ls`|6%M?&9@__Lnvn|*ha}CY z$snH_zbSh^v6>IFxC>)C%j|RG*R-jbGkfdhPCONOQwrq$_AJ9W^Pcop?ze){2-VrV8PbxykwLC?imOF5cB+<* zpSUuDn?|U=<3p)t!oe*WplM?0aU;m(Qiy6DoV2VMC^)!WA)p{#Gfu^+Rv`O!t+>T(4Hv{?z}w- zP|Qw{S>dqNqnuPWPI@Ld9Fp{+p5kjAPcP;3R3H^d1yX@jAQeajQh`(;6-WhAfm9$B zNCkQd2o8r$iCfwSYwRrdnp^O?M|0@XV8P`8EZfE)J@a*nH=LzMxZ#UqSeFav7Tf!| zIQpsxu`&C)|5Wh0=02F^7HVi$T3vAM+922X{%y|Ng6P{k;d!hvXwMXfyPmhb4iirW zQh`(;6-WhAfmGn870B;_y4diXE|w`1r2?ryDv%1?i2_2M@xID#yJV=PL9W~fYpN-r zu9P95AS0$Y?FP1PI17-QRzYrM|JF(o>SR)8B`=F7)rRe>-C=bm6w|wD;`Nf8R7-5(VdN zsFjl{B_~b$=ey2J)h$??oE!M@m)6`A++ucGFe@C+-5Z==U>~WH?-Tjc9{Q~veznIZ zdpz(J7JRWsb>U*$EPjxSbl4%uv5w=FE$ES=b^P&J3p*|gtiA1kPc6!d{SoPOSch=82x1qTZr_i(Fstq8G_ElthNSUgo4P z3mb^yUNXzpd*&?qF7M3Vy2;PvzybgeH zb;JaxLz0omCqBaS1BpK#Pr~A-gIqapV+jorP*=(jP>>N*obG+zjx?G+sX!`_3Zw#G zg97>gMP6)_PZ!ISiBf@7AQeajQh`(;6_}=g!0c|gD9CQRgbQ680}VG}Uh_F01olS=b~EAN4irGw{C(~^4Yn2(qFP6n z)f@z;ur3!+LPkt+s@3ec1Za2V(1JM>ybgeHb;JaxLz0om^hpI$fm9$BNCi@XR3H^d z1yX@4DUiP}yO{8&i)G40slZpMfY9|}Q^Mch=?cUK&>+`k_HV@s@^^gL#xWBPZpi>m zQ$u~7Ub_!w^$4lMx?DgB>6)pbIQgmwP|Qw{S>f=)eJ~>z!VgKf_ys2T9!UK0=+gc$ z&UKl2``!xjN9gtQ_JeWzI=ynbiIfUZs12P3O+25>Yh0;xbMkP4&%slb;hkn7to)64fR z{^j#reG}_jn`eR?G!ySORTo^lHpn%;57wxxTMQ2Fj?c2KWT4>TmJHA}f4{IJWtY_) z1gEer7f?b*OmXs65ulj;hW5b*Dy=Rw)iegI-L(+Ex!6JBThm9#yy;Xpd0LkXC|+GNH54ab6#IcTpYEv zj#2mYO9fJaR3H^d1yX@jAQeajQh`(;6-WhAfm8qmgu`J|!rzy~wQGZ1{2r)_4bACdnKDr-kP4&%slc5mAk^t@xG2bOyMzl}8|2D;u%?m%>Pi^` z3Nm7f({5nvhO+>{ z*Uw0^>5~fFiUL36yuFp7$U0JiRN!k+Kxxun?HrcgT|Isq=aQA|kYoX#EbZPsJiqe! z9|bE%{Nrzf{10377uYfDBl4G(UU=GV;hD>0czl%hm*`qxJYF&DBceas(jgW?sl zBPI4^c%HF6;_)%=EspUJ;bL6It_3fCcTImE=IT$IP25*~9_-)NC|K=6{cigJZTs(= z#!I3QyS1R4R4F-W+CSfQ>T(O#Cg%oz{G~NF1-F=;7R(BVbN2@47uZLtA((*6V8Hy{l%&)sSNgGN#5fsd=I&r>M6m;3C)8yXZx74JDBw zua`OL%fbetxR=bb^`1G4zRNqaw{G$?Iq+U{Mbj(hetGJgc#C$+;GuxqlG`|G4#9b#^NWdKc6Bl^ia6t6>NAy9Dd+V@ADoFoOFU6);A zTiqy5hk^@N&3s_!HejopeKk8SZL=dKwi})^_4de|$5bE{NCi@XR3H^d1zxLwKoBoi zc8i~(T!UN}+P}4ucP;GJ?gk1yxg`U1EnYeoVs|UQT1S`F90aGZE*DTjMoe+4)$F(g zXm{n%f;kkt4uEiV!~~~9l99+KKEm?@i9a4s!s4fcTsd!J2@MfYSIQ7jkP%ay?tR{l zG@3rCKq`<5qyk@q0{Q<%UTl<47t551Qh`(;6-WhAfm9$Bn5KZh>~6Ry$ZorY3tb!J z%6+h=k^<^V83GD2Vv5slVC$~70J&)ugdnrRA@^?s4L4!j&-qjhk+5z42*GY99Nd8d z=$gOJU8lj;f;jy3GEq zSV8`d58F6q!oe*WplNETuhVPy!K@x3by$}RC?Q=lH54ab6#1m6RRKOSA$AI7;ZGjHEpLH-E6e%^jCZeOQY&f5}>E$Og$ydLq!vHhoTgvglv z_479FtxP1y${t8fVGasee|#1toA6#xKKb z7Ab@ul5p`0Oz=IB_~S7|JIFOYZ{Ov-Mfh!=@I2NSv}cNgJ8w?{6tmyZyrs~y8Gi%# zri?#3J+pkyG_*v*RBn6jqigsD(e=5gS+Fi zY%3Wkc(^44bj{x{>`2*VH3z{dtjh(IkP%ayd{qP}X1}3*uz^ae3r#hR0c&?H#BVP4 zR5|xGtQ(f`G$6QXVra~6AP%*j!bwfbNz2s0IrG-^5i)N&6;7VkWk|-XosbTQ7Iwslc03An&(l8P1vaq_=Xv6_iG( zc( zaq?9WpqQN?v%=wJ`(T8MJ&sAZ_ys2TwkxeJxOQ!jYkc13=Wh$5Z}WubvBsc1QykoR zdlI0S{q^$}e&KLP!o{>yAQeajQh`(;6-WhAfm9$BNCi@XR3H^d1tuyWa33}${C&xx zG6uQE_rYGXe@n&gj?c2KWT4>TmJHA}UnhDi#BO_6%1Nz*lb#6 z3DEA!p#^g&cpU)Y>WB$Wha@ABPke;u2NHigo`l6u2f1?I#u6GLpsti5pdcfrINkfa z9ceUuQh`(;6-WhAfm9$BxJ?D}d!Q~hE~krS%0#I^Dv%1K0(YW-P^Y`$q9D8N5-xOY zkSq7Wno0_&D`f~M$cQOUyMe76&I077RS<&A3Wwak4K&<@$=^Hd<_U8qo>`zhQylJn z-u9YIJQYXm#B++s~-r(}Us_vm+(; zWO$yjJ>u~(?k$e-5aD86#;ye~es@iOALi;$n@!wT{k!bn)+ku*Lj7+00B!s4o5o9` z5WBUYoKz_}Y1%*Eb?R~p)+Xl$e*C31HwCwtofgarhjaG^=NH&Vs^t4b{``5~*fxtFcx4NEq-Y&~eAdE_%K~d}JK$4`vSNQkIvu&T zf|qoaAbN7@)fHC3_fqPUmLvh|)hi@wV{v$t;YGdb{Hb4AlD=6-qVoOp|N%iy7faLTKp{`O>D!u*ed z49Rs`9mRgSTn`FT)7f)>owTb%h}m7)f&iMP7WR{QC|)(~#uWku_pW{4Tv91l zWW*GwTFs72fOc07Eto^W>i`H>M@(=!BpHc(;v+mikoe>ABrJY9$d&Upme3FZb)^ge z1sO5L>E7qiqmdj>#nu{xoH)IAhW_D_iqCYH(?H+ z^LbEoTQ%fq+x!uN-Ap*R0|n4Ef1kTfgRKRHsMgVCH3z{dtjh(IkP%ayYBf790oq+T zv|tVeuLB@l9WlY_kYpq>eNur`AQeajQh`(;6-WhAfmGm13gqw0E++ixVwo~gD)3b* zAas4$l<@a=x&pBQG{|+C{adku{2d>*am<8+TQWe?)KFii*Y1N^JwocRE*DTjx@Kx9 zPQEGv6tfd#Rye$HAI!*w@Iw+Vet`+T2NHigy0kxxb6sZMzPEz>5qkZ+{b1a_POqG| zB^+DQVexo9;*DebPvHoWG5hQ1ZQNU<=7ds#R3H^d1>T4P>zn`WbR!c>(P`YgQO~mV zt$r4Lmv?4w-Q?+z3cMu+@_u`k(VTft%KNP|MC(7&9pp-vX1WM#4sxwCN=YB@R4p4nab*N|EoQe+A>1t)pleh1!N@8c!n#~Q2^lfP z$yY^yV)pfBUGRG0{;fp{;fEw#`~nkv4PqT6zegXrUMN3>VH1B>s3j3q77PV1rzjnYUsERZS0-8Hj^lGC-+1bK}>vsl8pZvCN$cqynixDlnkHx@aBnypW4b%%jt|XRZ_0CF-==d&Dz)>*Y>7 z6?jt$@aOCmtKD~Ihab0~Nn0O4xSia7{Q zha_D50uy`>B>s2|(GGHr&)fX`Z9({Lp71=@7_?`KgFA0e0u-|oWL7wA^(ZHmjgy`U z4u>SYsKir&R3H^d1yX@jAQeajQh`(;6-WhAfm9$Bc%=eD{9#k#miECKJIh18*FBm; zmliHi9Drrp7^G*uPVt7b^awY6aSZEn0o`JIKNm+|6(KfezoC7wfl8|jO?9o^wGh9# z*i+@)*Kpe)%z0Z7smfu`HvxBm8Zcyvm#?`1#q2jUZz(h_6-WhAfm9$BNCi@X`&1ym z2kK(ucDh)mOq2?w0;xbMa3=}~b+>stc}N8{``Q9AoZ-6-3W{u-()X&Iae&E-*S7 z+_`NU{J=mUizRo?qGjBW30BfBbEi;}5?WsKogtgS6}~E4|>*ZQ+?qixf=SU!rRs ze!;&3JI%oR(FxQ3tUG{HhjD;@T z_MP^gUHb2v#!I5$ybZN-Ql;diY5#oJd8xVuYm;*WKmO91n}S=+P77v*!?}Bd^9$@F zRq}lzf7(O8wZpIW_+*aWVdvDC>2#@!nOl<7&vU1sPLgn$$eelT*}N6mXI2 z>s|CBxrUO+kk`wc^krcKQQS*r*?P~MMc?I}*;_aHnH+epxuWS6bH6-wPP|3CW$@5K zIOWyAO|#hJxP;+i(@usCa>+{MuakClEUvpNThPi8|KM%$CxaE2LuDaQaPQjpkxoLW zTd;z3%~m&x)1lzPRWlzLx((Rs<~XndDFrJ}Vs@m&X7u*RoX1ok6-WhAfmGle3Jkp` zo+D9m+@u0RRq=9Vx6~PGV36yT`?tC*!iEakwXj>eTev{smJHCfc8P9RT6#hzU-IBqNbee1zu*5`R3NgvCz>xn4PM zABB&rc!KyNgzRR*!7Uk}Yramq7st`1%W4jSQ&^V^C?O-JIQgmwP|Qw{S>bTyc}odt zsX!`_3Zw$5z{5lSe~}lP1*eN;%0#I^Dv%1K0;xbMkP1vwKwxg~d{h@)yEe#``(RBa z1=N)?1QcY%6sLRN2NRlb1yYb*dtB(+AXm=Yrji2cN*MwQGGdC;ZeZ(%vjDki6(sj> zQ6ViANCi@XR3H^d1yX@jAQkuu70BP0U2M=#7t551Qh~2h0io;m&PR2@wQ209402s& zA55$uf5+$bpJP{RBNY_ja#mQGifZVhSLXcVE@WRhAhP}c0 z1t$2mE3GcLHth#P2e~dYZ{J%%{s_H(-hMFdDf703V@oz>SGqLwg(S0jDzk{;o%xy=sJjgK47mtiG{X=VdjuPE!~G6&tuso= z81Gaq8$WSn1a~cFw@@M6Eg7I|Q})5gDjdSPTtEpKF~!MOMSx=V^=4i0dg1=9MGE1E zBwYLg6MPRO{&)=04swmp+jlu{5q_H|JdZU7?U~}>&fAj!#q2jUZz=R_#@_(GDdW#h z&$3Umh(9uMW*L8e`HD8Rw-@)7>)RJg_av}9d4Be%GhY(}#ZK*QAb+}~0;xbMkP4&% zsX!|5WeVi__RIA0y^DYOJXhb!`c{ymz4K9BaP8V4*Z4kIV`sTr3=Zy&&$6v#py1(_ z4A3=yzcAjolP=@xusDWwxqyyxFOI${aoQSWS&BXCzMOJW**NK$;BaW67xfGm&JQI1 zcsvU|o-$yAT$h=*Vg*%A50x2+gI_X0)6`I3r`OI~D^y5*D42AZ3+Mv%4yMN9=&K@x z$Ls`|6%M?&9@__Lnvn|*ha}CY$snH_zbSh^v6>IFxC>)C%j|RG*R-jbGkfdhPCOO3ssiWUZ_WGdS%!1wJ?Tx{ zZ*ATRN+VQf^JYj(UI&9*>l9auXzWxi8$WSn1UHRPf5&Hi$qM0a$pB3gLysFlE|)@7 z>)@ni#X!Nq>6&pWPPLj{5&_y>L8o1pc3$l9!hJA8#U95bTpTqkUhr*KT3vAM z+922Xyv@(w7DV4B5YJAOKf{d8rbno+aq|x+A1#U`#+y}d<;mBfAfm9$B zxUK^EJx~|Z|8%iTuS>N4`|x!n8J!BG0;xbMFrt9qe-x}7@sGa^ia-2f zpc3ns4AQc{tn`9Iw}odeEmAOPe~GSn_yto(&-7>WhzdTn={AmyU)(*egfW$Qh2 z7JZlZ9KBt;2i|M0XnMumFHe2#K|9C9dwKUuBoZjkcp^NZsQTNJ!x9FMrkxBO zkYoXNbsVp3K`Tf6gSW+>3|3qYm4!gTy=&h$mwLMvwt}@wii53g7RN)u1=P$3NYXW1 z-2`h>3RdD{cBI5+^!CV{$5bE{NCi@XR3H^d1zxLwKoBoic1xY1T!UN}+P}4ucP;GJ z?gk1yxg`U1EnYeoVt1?BT1S`F90aGZE*DTjMoe+4)$F(gXm{n%f;kkt4uEiV!~~~9 zl99+KKEm?@i9a4s!s4fcTsd!J2@MfYSIQ7jkP%ay?tR{lG@3rCKq`<5qyn#0fHlg^ zTmFBM7aO9}#WKAv(Ot~j*R`0@sX!`_3fzPO{G&206}UGAY~BhryBjVFvfD1MnBue>*t)ANKyF$ES?_a&=ed6yXt)XUn$P(lus=fU(q8az2MVBT z{yuk|23rdXQLUrPY7T-^SeFYZAtR zKq`<5qynixDv%1K0;#~26v*F~T}=4X#WH20RN(7V!1gkPt`D0M{{Bu^AU1#oxh}JR zD^`%dg)8{eK4y>NFCPY0!m2NObx}!S4Dtgc7n_bhZpXH8MzRC zNW#TYJNLZ(!4e+|ipSQxl~R2TH(_40fBU}4ZlKu1FBza|9}JCqE5vRsC?~Z`PFhw> zLczh6G6WQ)YwbUUBgDID73B5vHtr4nv{WD!NCi@X+frb?@1L!xbqtv`V?-04M4K{+i#vMlRIp!r@TxR+kGXAtRuj*^Ivdd{f4sot|Z%W)Xj6;>s|fqyb#J1RwFI5 zh~b_2ni#0N4EUr=Dv%1K0;xbMkP4&%x1&I=Z_hCnl=SYNOx+-CR7P;uVs;A^!rhVqy7tEQ!5VTXTFl%B8;bS^ZF z$vDV$nRzQ#(5{8utJ6Rn{E`8hriKoRjqB$+IBA+mC^)!MhJb=}&D2nwd{qRZ_3_JtmeZk?!v^GW%jx8YueP_uGv`TP6bkd zR3H@?P+(oO4tQS3MJDFaY1}i{3F{JdTJ1gJnZ5OLC!PwtDFyO=dzRsxc~83k`)ye? z7LEwD+aWq`a13e5X2l@aI>pr@dOII+Wdt{k(0E_PKq1^M8K7xmsIOC8Ffm;#<{@~6 zb-92N(lrZJoP1RTC}v+LeZgznm{LwE8z(I*1_}=CB(ck~3uozK4>k7B1(9dSzMvrE zQ#fnaZft)3wjk0rPk8R?q#z@vIJugi1Sn=F$gFVK>QPQA8z(&z9NI}@mu1GTb-|dNI(tq9Qh`+9Y6=LM2R9{#iX7y+%>FGE z*FBm;Wd;f!2Y_waA)B&)OJ?B^*5v|9$cQOUzA6F~v#W&RA5y=Qi)XHZWUN&ue;%*^t9V9;X>C2xpE(@sic6qQigznjF{rI8`%1RVF7Z} zD#)$u-&!d`J?%=X3$9%ov_Areny&2pH$#x6v%mdGeePu zqynkHSD=8>qrciYd~~8K$8Y0YvXUK=EWnec-Mfe9S3ZBJW95i{{B2PD;THpySigOc zmi=X=7aY1RJacK0f=T;Jbj`yrm^yltgbZu^ZkSLnZQ8ZU{0lRpY;c>Lp^?>aA4w_uG4 zpCBK9Y0XW+GiGNtm=z8)dQ*sZQ?BlGZ0XkQ4U*$EPjxSbl4%uv5w=FE$ES=b^P&J3p*|gtiA1kPc6!d{SoPOSch=82x1n!RmF8g)FrY9jgSl2~6kI^fd|>D{V5^&8 zZA!svj|MwZV$*sXn+-#aK31B?R3H^d1yX@jAQkxf6cAdDmn*x4)Q~!ZTo>BEwUT!& z>{iGI3O%_c19UB3Iu~O7)moR;90aGZE*DTjMoe+?RS}?=oglNqVMcEXv0vGi4i8K? zv@5MHxOQ!jE9Y%fNda}G3;_ihF~!NB9RlR0RgfE+x0I8X3Zw$5Kq`<5JUrz87kRN+ zaJpEgS0pO0h|SPc;93fly3W2<1(`P$c##6@zYkv&n?b3-RTPjV>vC-Gd{mbupm!ML z%6+h=kX7^DhVvOd9$;CO%=fzwCY1lM90%vx63{yga^<{j3KT;5kP4&%slYudu)g`4{Hd7QQeS(ajt7w&@*DIDS!{K~d%H)1j2Fi3)H*9N)9=k2?A8gz@nA=be_ z!NV;Xplfez-kyYxT{#p4Da{IpZ6YZrRbx(iCOEW{#4g01-S`{8H)Z_U=~?z^7V$^M zbe8d#k*{b|dwX$TyasQ|*1P(Ynq&&Ak(OD+@XmZq4Afl)e9|QqNCi@XR3H^d1yX_A zQ6Sg1=NOA}4w8uDt*mc_1_w7KA}w~;2f4=g!5TZuyTs8+-J>~FW)VWv0eJkt98kyJ z*gjZ84n>KZ*as_AGE%AF$Jlm_$>>f3CG;?X?3xc0L+S?MYv!#O&mnEGiwnE`5T3gw z12jzyoilH(9wBvDmkTH%T{ATlCtno-irEP=D;#)lJ+=?hG$R)r+DT#;V$W`TZv3X~ z{lscM%;GML=`6F)jbGEI_IAz2GIuJF3Zw$5z<>fXe?2vj{^%mdOJ}>HXJdL!G;^J> zE>WMSyx957-Y(WeCQ1cvL4myAo@Eqf-jm+S{Z>#Kp_p^U9>E63ke2L?405egT*>I~ z$!r@fjmija8d+j?1BGz6WPqlLp~sCNmrEh4b#T(MVxZvQa)p3`bj>&wr&`S}i2&`c zY@?tc1ep~M2SB*mvtkZ{Q#(oQLM$d621#)3+922Xyv@(w7DV6X3D0AVL3^e+xbyZT zKr#F4=Pmrgp`9dlS;L`7O9k#o0lA}Ev(!`|6-WhAfm9$BNCi@XR3H^d1>UX#LTiJY z5<@Bsa*gkUy=MQGirpQbWn0NW!NV;Xpleh1Z^mOqnPZNCi@XRNzh& z5b7Mj3DjO#~6CBz}Vi#gD z;V?*oYu5(3a^5zT6i`>n5Kxd2Q=IBd+a(d8-Ic@iG>3xM0T8Z^nBde-61xzamI~aT z0&;&PXT_<&L(bcr1b3`}QoFy}IV@qiZT>dSB`et>$pSoC{=9p9g+00Qd2zLJ#6SKv zDE<(Efl933K1j>{veF9<-4>p?v`E3E{Uy5Q;TKFDJ=34fBP#gRl4A=ZgF6@s(z8x) zTM~uG@A5H&@FOl`7y1~{IO^}iTo?Lx*}qZu>lh2&xa~LET%rHIX}lx~PW~vY;qi}u zzIzbRur3!+Lb~?xm)6`A;$wDZgIVD)qc??kH{}kWslo&MNR@n_$e;GmZ|(4_JwDmv zfv>ROi#@6f7u#m>gIuJ;4oQx69ItFaj})!rkI!1zaamyPZ3ld6QC94aNT(y$R`8Op z5=2i zWV$~+;~DpoS+?FYXVG_gXZF@jekObV7LmlOwE-7JoW zf(xjb4-DM~Y<1JnT-)qOiB0Qm8(1Za-=zUVjlz(Y3fzhUBEwUT!&)HUA6k@-!Egc@1aA;RrU2yH%AXm=Yrji2cN*MwQGGdC8KRX1-O{*X`G;b*< zEfq)wQh`(;6?k~a|1a`lv*2{GOqnPZ`1%xB*V$iRPi^`3Nm7f)4lJ52~D^HDafupE_7{>E9Y%fNda}G3;_ih zF~w;&u=Tew0_3Jukab;Ic)tB2Ksl*wob*g^XeWtXh)qicQh`(;6-WhAfm9$BNCn=K z0{_R_`sOWvUv{w}I$bPNCQ1dqN(F?j2R9|U07K55Hu9rhPDU%DgQmp^(~@G6WQ)YwbUUBgDID73B5vw%uDzB4l&x z07y#(Qh`(;6}VFc);Is#sa__QqSLr}gPdjSTm3BhF7M3Vy2;Za6?jVu}~n=B<#7W*FjPk6>eNxZgppbw()} zdHD!W+}K8C#B?oYw@@M6PYcqsDf?g~6%JutE}(>rnBwHCB0w=aL1u-+wxOz=R5ng} zCOEW{#4f~|{g2q8L-Kxe;b+&rpdjN?_-fZ~?7R3CMR3<*b_*54-LwE*dt>wVBy{Y` zp&&?URybUD-crH&jK2YVQ^ud2o@JkA5r1Uj%rgEm@)d1rZ!hkP*WgXrx*C~MlT2YX z(lUz}-kGn7fx63pPr9T6sX!`_3Zw$5Kq_!M3gr6s9Ai<=K@xGimG!OAV0-7Iy5QQi zL9X1tZ7M0Cu9P95AS0$Y`MZMx^-jm+S{Z>#Kp_p^U9>E63kd|y#405egT*=tk z`G_kcxM_sO>;?+qZpi>m6GM+1K`xgUD@`Z z6oeqN!r=f2S9?~>L2zm(iCu`rgu@^Su3a1C8lSiM`P+i%+dScUtTAZM6bE!i7kN? zc-I~my4LPmh~Hf7sdBzca`-Jn&f9`Wm)O635HfAUQ#jeuDknEFZwr;Ar2?ryDv%1K z0;xbMaK{Sd_ds22@J<)Yl!;P-R3H^d1@1%vq0aWsM|HuqYlB?5f7?`2KwT+AKtV=K zaq{;b1;|aSAUClOMj;Om!l7Mhb-}f3gIqapn@S3(D`f~M$cQOU_dah&8cm;6;C2*{ z+bJb0Nd<-#kYUesOa*RE0i_~;wd4J6x?TTo<6N>b9g-}-lcn9ehv!#5|JHovh=2TT zQ2gN+1C?06eUO&@Wu+G!x-C3&X_10S`%84q!!MXRdZs^{M^x~sCC3&-h9|@GjIEcy z)7zFr;qkkC%pm-T%h-iJMl_E4`!Lsq{$2KO)crcfLN{*vjW$>4zi%2ZiGq_q3Tt@$ z4l{aFh<8)&_$ez~%sx^j-zV~?J@i{U{A!O+ z_IThcEcjxN>cYjgS^OXu>99kRV;#pUThJp#>-gid7Is_~SbN(6pIVd^`yBEwc>Uy)HU-u?}h5!k^#CFFP#gq{%WnuY7T-^SeFYZ zAtRVkjQ2Dx${tf{1cx>AOKf{d8rbnp9MLKCh)3bJdD3tb!J%6Z#V zQb1iPLqI`BOmW%`Z2fJF0J&)uWL;Mlo^QVhP);fvCp{A!+DT#;V$)KAR3H^d1yX@j zAQeajQh_(60Bh@;xBPwC#fIo~u}rT>R9+FAp{c-i6%f)7Zc213Wj|$*>oWUbVg=Pb znnPs<;^3DI&@?r4&gXBfP$Bi9;4}R1U8aV@?W-a{ZdwH)$gFU9;XYWiH-*@*Y)gj+ zCLG$8Ru^2G_Jg5=T$h=*@2#L%2Lr_(e#rn$`(Wsld0R|EA+;-I2q;L`+J6d1hzBoeQWbfXpm;&-KOe-Yu5(3)_*Z6eDO}z@`2O1vTiYLc0&aZw`72>y|I0;h8&6( zH?$8X)Z-4Eg6yUg7n;Tl8|1poycH{G*TU{KU?2{D$pB4LL+8v}lTb+QN*MwQ(lt{< zaq?9WAUCao5M)+3@ZNfCAEar`-jqT%h-dcJ%bj>C@TL^V`|VkV zbLKtit=w+~r4eekLv-BW7}Ao>ib1Y*imOHRc0S_D2yPmo@xF?ILbzKpK-0ufU#GZW zV!Bq$L+}dgasefzYZj_F`Kky|%)U+dSd9tCNC^nBwGWeiERVoglNqVXH?uscf9|OmJu?iCu_Y z(k)N&kP4&%sX!`_3Zw$5Kq`<5qynixDv%1K0;#~b0)p${ro<5IAlGH~Z>hNM(Htr> zQ1CbaY|9SWl>J*W3x}{S7f?b*OmXs65ulj;R`$Vc4{o5+>OxapYj-WgZ!Y#!IrlZ( zHV7NP5h1v1F}sBd;afe0lbV*3p5?rKHiA9Dz=eaWxq`&wHGDgPCM^|61yX@jAQeaj zQh}RQAioFdVncJfSf)&r3Zw$5Kq_!23J7%$Zb}TbG{}|vw@oz#)Ri&>6lBB{Cx5p| zfZVhSLXcVEu*!L@6HTsd!>N(!heWe6z9h$&8WrtOjl z(C*4%dYVJQ>i`H>M@(>PCy8B%-CjS-^E{*i_oBdh-@lh~v(8i?6-Wi{S^=ecf3KyT8*Q%8f8R7-5(OuJ6xQ(g$3Ne7UaD@v8WTQ2KK|00n}TP|&TKF% z9A@;U5bvfO)1+{9OUHBUBUSQ!B7fRLzqP}!_V{Fv2fo6BFZQS|Tx^@g4|0(XJ0v;Q zalEnxJyNueKR#<=$7O-Fw;k}QMOm>wBAt$0Tfs}ZN)SCc_3DZ>jwtJOwej9nv*T*W zu>~1ZW17@F(UViNw=GGdj)?eG*Vnt~t2oXYEN5lglj;8SjAz_SX4!hroJHT|o!MJA z`I#KJ`CrlWin(8&Iw#(u-76lBB{r+c5bBaNm{Dv%1K0;#~) zpg{hAkrx}~)5S7nqEsLiNCi@Xn^!iqmdj>z=s)xoH)IAhW_D_iqCYH(~Pk4!e26oQY=^XwMXfd!M(xCKFEu zQh`(;6-WhAfm9$BNCi@Xb1IO(FFU82O|DZDy%Dh7NF7;5D)8kB2qE#l%5J-)%g#RF zAlGH~Z^a7QwNQ5|*<~{m4sOW+O;bbXeE!zz5mJYBxquSVHB&=z@>LO_n4KWA!eQH{ zR8A@zCrvYQ!J(Zbb|DrM4ud4PHth#P2e~dYZ{J%%RntRd28uoWk^!3b!O$u5wpgJ; z>O;Y#!(2cYsCQ`pDI6g@W`F&>Ek-_&BzB>|v{WD!NCi@XJ5^wP^S_> zS+>5_&!X@0&g`w5JRMSjx1>PcZ_hHCGw(@xzjcOa-CS~zD_xrTLXufMm085_&U{S_ z)LjOAIxe!{%aLXn;$n|rV{W+LL9TU1DH-FPs%7IRu8iQW#q1U;gu5jJbZyE$7+Hlw zSeFYZAtRv+UC>;*U(6S;k*RzM@U-?Ztg@Pq-;t zS0htuk}0f4T4oW$JM%R$P6h3!P=JUo+Tv@l6HoKvMhg&j0*K+^%K@q8rU{^775S(1i z6{K>xE_h(V!Szs(9SIkj#ta+ey3D*4D`?lk?loW_4t~i1O;bbX%v+OCNbO1)0t(VK zQ$um`RS_UJt%49_Rygq9dTbx0Y0ci0P`|P*U6cH!AfFq*DSJP$nh&$M3lnFS+2_Wu zX;XWQtV`5swfBf;_SVatcq;Iw6v+GS zS%!1wJ?X97Zv~|hYPUml+~63}lFf=iu62s5Mf7$);>rka8lmyNih)A7TQWe?#86+S zxL{(sR?I{23hQzKC8TQ>syO+o2vE$vPWpn^wlSrgR5ngpRtyvz+DT#;Vo4W!=<4y= zg$rH#f`W`o;j3M{vHAJif=Jsu;km1mf{d8r(l%ob*g^XeWtX zh+WbxPx6ooqynixDv%1K0;xbMkP4&%sX!`_3Zw$5z_)@uu5bGeLO_nEh7v!E6t1pwj9>Q(bF!EyQmw_Eb6d zHQY7`8@~}DxN9-Hg$m(YJ%y8+mXn_4ynQx;J;A_*gR8lM#N#!5JAo!G6-WhAfm9$B zNCi@Xn^hpc2kK%&bGlfjOq2?w0;xbMa3=}~bq;Py47D`KmHW3%H3ig_G6WQ4#1tog zw@HB9vdql7b+)}jgy`U4(%kd3$d7R7$m{9YlB=lZ<|UAs4Ha%D9DH@PIad3 zk_gc5%3*q%L&56+2vRV(;8RPEEr<+HhUXbuFMp@EEs4V8clnq>_z{<}3w?}e9QF5M zt_%IU?BA&Sb&Q2>-1Zx7uF!wqG+q(~Cw~;y@c73+-*sN9ZowK8K0!YI(wdusXUxuQ zFe@Bp^rjH+rX16xaCJ+^bL=Bk@_izI+C#s!!>{)EWRC~F!h$dMs4iS=o5c@ukq$c~ zIo5H!vIRX-w2nVMYhlM_fwi|C@To;vu|Fc6j$B*8OS(!BJvsI2iZzZX>vgs9-c_^X zYRIt#8B=4L)I8CXQ?s`%Nu!R4_*K`}yXdPp&KfLdW!sbK{`8Dz+)HNJde59i-{qaz zTQ~Wc9Ju*k(e#SBU!FQA-lE+ycea0f#{ zy4LP(OQP`XDyw7P&5#bMzzr%;Zm^~-DHTWsQh~RtfY4gJT-hx#hEy2jT6Z24&Rvqa zj@gzv$5m|&BDiZYyM+qjZpi>$i_H<>+p>DEMx>gbQ680}VG}^7jtAdBU8DXBKGB6o-4Cx4kA4 zPX$teR3H^d1yX@jAQeajQh{?SkiRcGreNxZgppbw()}?@{ zT+J1va=9*eV8X%mP>>x77n;Tl8|1poycH{G*TU{KU?2{D$pB4LL+8v}lTb+QN*MwQ z(lt{T%h-dcJ%bj>C@TL^V z`|VkVbLKtit=w+~r4eekLv-BW7}Ao>ib1Y*imOHRc0S_D2yPmo@xF?ILbzKpK-0uf zU#GZWV!Bq$L+}dgasefzYZj_F`Kky|%)U+dSd9tCNC^nBwGWeiERVoglNqVXH?uscf9|OmJu? ziCu_Y(k)N&kP4&%sX!`_3Zw$5Kq`<5qynixDv%1K0;#~b0)p${ro<5IAlGH~Z>hNM z(Htr>Q1CbaY|9SWl>J*W3x}{S7f?b*OmXs65ulj;R`$Vc4{o5+>OxapYj-WgZ!Y#! zIrlZ(HV7NP5h1v1F}sBd;afe0lbV*3p5?rKHiA9Dz=eaWxq`&wHGDgPCM^|61yX@j zAQeajQh}RQAioFdVncJfSf)&r3Zw$5Kq_!23J7%$Zb}TbG{}|vw@oz#)Ri&>6lBB{ zCx5p|fZVhSLXcVEu*!L@6HTsd!>N(!heWe6z9h$&8W zrtOjl(C*4%dYVJQ>i`H>M@(>PCy8B%-CjS-^E{*i_oBdh-@lh~v(8i?6-Wi{S^=ec zf3KyT8*Q%8f8R7-5(OuJ6xQ(g$3Ne7UaD@v8WTQ2KK|00n}TP| z&TKF%9A@;U5bvfO)1+{9OUHBUBUSQ!B7fRLzqP}!_V{Fv2fo6BFZQS|Tx^@g4|0(X zJ0v;QalEnxJyNueKR#<=$7O-Fw;k}QMOm>wBAt$0Tfs}ZN)SCc_3DZ>jwtJOwej9n zv*T*Wu>~1ZW17@F(UViNw=GGdj)?eG*Vnt~t2oXYEN5lglj;8SjAz_SX4!hroJHT| zo!MJA`I#KJ`CrlWin(8&Iw#(u-77PDKZ5bl-?(6xB!T!`ITP)=$cob*g^2O5S(1i6{K>xE_kG+0;xbMkP4&%pB2deFY>b%lb@X?^Cx{OkP4&% zslXj6Aa_)2mYND|3dr(gIl4Oz3clNv;6m31xpE(@sic6qQigznjF{rI8`!#MEusb8Qr^Y9ZI5}PsX!`_3Zw$5Kq`<5qynix zDsWx}^7m!u)w98NYG4Va0;#~O6%ZKOJ0H~r*QT)#ILLLG{adkuX6=KianJc2qe)2N zzLX)LAYC&x6enL50cww+ITXCMZA#^&vT@S1W^YPp<#OxT$b~1ahl1=#xX`p83?1aU z%)EVX1?^fOZL!B9yZsQJyCnlO?Sr9H=54Whgw$bOE}(>Tt^KEPg!q^pDa{Ipm(AOD zZwhfdUZ|ax3Zw$5Kq_#@3aoGbx6^@4EJder^F}?(*0=gu^j+SWy>*kPLn`o=6v+GS zSw?f_Jt^}OX_-X~@66Z4K;31)XUIkHqM6+ek-xu#Yu5(3))}Sn zb#^}D%DTn0*$ovu+>!yh=Iay}EM3OcVQ~!WaseIXUL1YRvy8utd_|ku+l%|+HF#6D-qoknBvV+8 zw9F!gcjjwipzbo@lP;-1Dv%1K0;xbMkP6(60=d3D$5@nekVG7BVts4#OlXj1;@zg| zf@{|XxyJXw8kKd6!J+Qa94a$V@Hha&ADDY%`(O<@6rpZnAFNQxNTq@wW7{<*qdN(d z(8C0>Yd%m6sT+i^nYUs*hqT2mF6{P0cT&D2nwd{qP} zW+%w3aNxc5*gieodR&+cg`@+^Ik+ zkP4&%0}8DF*c$M>kc&*rqtm!&t`pWJ>a^N>#4~&AVvl}RcyCnlOO$U1|;6lAwu!iBC4a^*f)Q%M1Jr3?WD88O9aH?Ryw;i_e^Dk4|(u_-&j^RRI>$eZmvcIhK zfc%HHK@^^aMk|;cWmya2QA8{GG z(8q|zQGXxiy3oJN{*Ag{$5`mbZNJgx3jOy@<0VmW@<(9}kAM90UFW6h7OXMh6XfGB zt+^?9#_Y@nv%+CUZwm2l%GI5YEgc@%N2=ueME`gTZ#&>qi?U*WL^>V0wt|;*l^}X@>eUr%98uQm zYU91DX2;c#V+%5-#x$vUq9>aF?UZ>$| z2M5=9Tl~oo1wF8bK*7Ch-#3?fZo%3m#lcoLi{qi-0&3<1L$?82-2`h>3RZhG*pU*O z*4w~rc&s3gsX!`_3Zw$5Kq`<5L<$H%yjZ6FH-*@*Y)gj+CLG$8Ru^2mHprFp zwyC6mx>AOKf{d8riv4b5B1NlOJ%fm9$BNCh4q^8bsx*ep0*EK?>*1yX@j zAQeajQh`)pngRlId*`FN;M%o8uG|M}Dk-3@lp&xXBc?dr`#zY^ge#DO?Aqf(*9N(A z-ZqsKP*=(jP>>N*oOT0Se;Xq}ZdwJ&{aaK>O9fJaR3H^d1yX@jAQeajzCs1^_hlCw z^wY&MWujEzt5iVfy1nyJU2tt0`zeE5m)QpsE6CsR;q#nk!oe*WplNF8oX_7{JwocR zE*DTjx@Kx9PQEGv6tfd#Ryb@Qh*eH18z)UOa>1dUBz7Sd6AptUxHjzvLkGDoGjHEp zK~>X3Wd@2p{E`8h_QB98^R`%_Lh3`oXZYU|+kXltPOB93`gz;#ttJt&h3x~Tr2?ry zDv%1?p#tlh|Ls&R6HC!)+`K`~vh}Tg7JZj@W^di(>5vM%B?a<+dzR6hc~8pwtusXH z=8}V4>C(&>lFaI<%p!(&=4)c0?lRyrJo{KSPqTSD6&h^sd{h@)yEe!*z7N*eS?(5tgS+FiY%3Wkc(^44bS?L99~6oW6Jte{;ByS-ooaqvq9Xqp;2XWp8G zLTXpa5Kxe=nHq|duZjS6e1g!+|j=~nW^bAA5$AAD~7rtJO1 zYCg>3E=-(RW}h3srcLebnvG@dR3H^d1yX?l1=dCDfair=WMUqj#yxYLur5)j)!rkX z*;_An;;Fz@70@$h&-?9J1f6+LdK33sd3dn@dOIK01=psrS%K)g-KOJa$>w67YLDK| zN6bcW(+G|CRSXouw|WXE&o*4Rv91lq-z$cIMr%) zTmrPaa%jOE3SI|5xZ1N~4uVrVN$f%_#m64HdVF@_Lf5{aAmdW_d<{2Y^7FR^kv2Ja z9%~HRGsVHx{3JjzJ3(fJ!&Z-SQrS4^nc&b)61xz)q+6ckAr(jkQh`(;6-WhAfm9$B zNCi@XR3H^d1yX@=1q9c@O^G4aL9X>z6bfhEMR8*ryTL;R#q1U?Q21#31yX@jAQeajQh}RQAioFdVncJfSf)&r3Zw$5Kq_!23J7(&8!ig6+b-ck*9N(A zAFQdQfVxtKfP##e;EBh98yDsVFjt;K|bN z-NW-MpFh;Ga>PIWHYon^i-Ah4-#$po{<6{w4&4@>xwJ^Zr2Qqj=HVAi9X->Z%_A!K z)RJQhBEys6dB)bu-|1~jqVV`#K4uVp#AWP4A0rw^{e770LjNxNH|l;JW1$kdME#=BD5ovojma3WpiJDa5-eS9dzLba-GN zsgmy#`O_ZytsQ=~$0vI{@D&z(u}5{`V%sc!kc)KKA<40h2&1U3SQDxg6PSqS68fYL|Lz^jrXpa9alq+Ey$P})1>B!o}8M!ZAlt+ zM8vPUzTQP&#c|ePIV;DAzIq??l zmceVUDXL3X?2~y6a>+`zEnJjyKURQvorbF&99-jV@h3wR^uQhh1^2Ff-(2ds1#6cS z2V31Nj)#H^sF@E8-3DxR6Rb@sSnbhZM@np3Zv(U8v4T9N0{5jrxvz4w+EgGFNCoa( z0iphQxw2bG4YfMRb)o%RD|y$#ZiQ^1(34v-K-c1>b0OAWt#w(=L2wG|asee|#1toA z6#n5Kxd2Q=I(SAwX_g1-YSl zOF3z&Kq`<5qynkH!$bamkr$f}n7l|>e*)GV^Vara&2hT{lD3<& zI?z5w>N}RR^S(ajt7w&@*DGYH7 zer4;n+Y9&Y>%;HxtJ?DQ=AJd60AYdXvL%gAqNQ+s=HU%Upd%9hp0l$vA; ztC5yj#PH61O$^js27J;b6-WhAfm9$BNCi@X>ro)rw?|xyas)}l@h;Z4HqV3xX(rxn zsxG*8ZIEmEjY;9FyC`mKqq1%>ZFWNi4?itP&)(TSSVIm)iYwX&6Y6mTa!>o?MfK}3eq)GLviv|5g<3Mf)Hd@7M#xi#*kP4&%slb2& z%c6C_^Fl5%F^^8;p1Dp~mZ;Ne?-9@Jt(QCTRN!4HkoVg|F6Yd9(tEkz3Q8l?W`}6M z!7-#In-zmx%M@3O= zaq?9WpqPD`^aZbVV@f%wY#g+#7$`Wjlf*8>k}mep#p8<$C%X2Gf{aVyt6jUX`T5&| zNb5Y|xvP_cjF{r&YJL)+n4KWA!m!q(98@+AdL}rulf*8>p3|M5mb)<_HU`U?$PWjGf?o@0c^_-*_8cTG7Cdk zmkTH%Bc?d{st8cbel7c8wg)#*X?3BguC==s;#U`YsvP?ot{a4ne-R;fkP4&%sX!`_3Zw#8t3ZAa)Wt5%>0+5O zQ7VuMqynkHjVK`0Ik+h?)Y2eV?%y`m6i`>n5Kxd2Q=I(WCINEODhNSlg<;(gbvNY#vd; zrhHr`7y7;I->CcL8VlXH?Kj$7 zp#Q#Uyd(-v{#97Q;~)Qg*LkVB1#3+B2)X~IH8%y%n4Q^RRv2dVrVwwY9Mhz5c1_1~ z?4Bz5K9N7|q2Jo@t35v3UhR5ss@ZTg>(2FsyrTQc1q9(csPWR@-W%vtnZ-kH61lON>3&HoKe-!S*fQ|H7x zYj?hQ?KOqD{NH)m(G~k-9$l_SF6O>2T-2Tiu>!>FG+gcA;2Lj>KN+H+yUIeK;NG?G zpRB!N;j!>g-pwHHhG@ z#q1U;gu5jJbS+*w7h=~Il!ICa2R#!U!n#~Q2^lfPsaCV$5}?hQT?^(=@Y(^w*%1?* z+DT#;VliPDB*C?7gIqapn@S3(D`f~M$cQOUw?1!28cm;6AQeajQh~2Qf&Bj>FLsqr z7t551Qh`(;6-WiHUIDrK3bVjeV6T9jPn}11!$rY2+a;Xn+8|f%gEf^DP*=(jP>>N* zoHiG>?wJdan^r*xGAj(Ze;a7H3X}itu$d>!nRsS__DpfO^?BQCGVxR(6-WhAfm9$B zNCi3yln$P7Dv%0n6v%%s+sHBV;53u`DDH9;QSzh$7gs>Y81JiWwoAIju@5-Nb(#HJ zv4S=&)SXIp+02B&Eg7I`YUrHL-&#FF>aZ>sP(r$9YA8;=DgqR<6J%Bx)@@4Vpt5n$ zG$R)r+DT#;VliPDB*C?5KNvd5b(wkl(F&@X?kY1-?BSOT(6mp6PMNpG3KdfC3ML)q z0(ypeL;FwR2;njN>*sAT@_{6=3k9a70;xbMkP6(W0?V8K^;9ntOVMfEyiw1x<*j}e zeV2D;Z{6hSkP5sf1@eA-$km*APs;nPbBUJCB?oz=r77yCnm3ZOT3vS%o32%LSB> z5mTIeRRkzzUvAa~uNUs$TBH!(P7=E;;m;x=U)P>dkZ~z|zJ{wXA9CIz(kchfV~s(3 zrZ~8op9CmozlwQFi3b~h1Nf?pKRZ3kKF=b)XX4B<{xb3#+SJ}&+!yzRtFmP^GNmS& z!fK>t7BRdtUlRj$mjR!2Nd;1YR3H^d1yX@j;Cd9u_3aVYq8vdIalDK5t<5u`L7Ito zo2m=0T^r?|R^H!{&O$(dXfPpypB?B}~4V^P@O+q2HD`f~MNY_ja#mQGi zfZVhSLXcTu;JtOfa z%iO6zDv%1K0s{&xi`D_p3%SU|JUWeg<~m_nqE4&5M?AB)Uhc$Gfp?`q-fs`NoHOr9 z@8y0gD2-5?9isgP$B>q6Rt$12Q(P^gxAPHKMsU*zjrUay6vEw-0h%U;`Z~o06VtU~ z9)ed`mkTH%U9(Wd$yY^yV)kXy7rfSuDdnKDanQ12py1F>61xyfy4XV(k1sBq=-M+1 zGA@O$cJ0RI=Wh!lt@DKEu1*RvVv3Wi`AL9cc7n_b!&;AWP}w->nc&b)61xz4PIrEi zLn@F8qynixDv%1K0;xbMkP4&%sX!`_3Zw$#3J9))n-W8;gIt%{zop{3N3*NUK*3`N zuq``eQ}%DkEDT{?E}(>rnBwHCB0w?wwd{l09^62s)rF?I*6vz}UtR2}a_nokZV)#9 zMTFq4#q1U;gs=4!4r*EsdY1F{$rbDg1}+S)<_Z#Dui@JXG-;_oDv%1K0;xbMkP2L_ z0{J~q7rQj4i)G40sX!`_3Zw!zqJU86;HJb-OM_gwf7?`3KwT+AKtV=Kaq@SY1jtRR zAOx8ehIPMCIjC$L^h|JQCy8B%#e`vy1lO(&a^<{jDk-3@lp&xXBc?djnYKwHK$|nW z>1hrHuN@$q9WlYFog{W4c76SvpXZPY+=>Freg9U<%{o(oR3H_&X$6$({ngHH3Da%# zw{b36$aYE2z@z2Qhr6d|zAUa5j`+vl2E`vDFi?s0+XrdcUsig-q1(a}mli3Qw7*2x zJp6*Gqi6cFc|--DT5_yGWO#IWp0M@ucY0fsC_H|b4;h3XaT%M?hls|gzYlX===ZXJ zqwbe$EOg_x-)M7z{`;o!k|;R&S78Z{fBf@Z=cVcvtTEvujwtJSwd=j9X2aExV+}H< z#x$vUq9>o;1TzdS+?9WXVG_gXZF@jevkt< z|2H&!!`v@VofGe@-TC6R*A&&IEB47e2DxM*TNf_Mu^%fyyiUW_4i2vIw)m4F3c6zt zfr5M2zJIdzQX+Oq3THQ6a-wOrx=|c_t`Hcy4cO{tTg@hk8XBZsTfoD;7z)z0c5iDE zg=bS)z4qM<>5vLsp#tR!Ys!*Rf%mPz(0k(hmf)-IPUt>fu56YVLqZL5Ejtei=O#&A z$E-`8{i@c2^c+NR*J5@H6~f(;0lF41oeQyR3(7&QgM*$44q;s`poEN=;#8~Ia0$@n z%&rAw-sGDv%1K0;xbM@I`_A|02I=G5OhPGJn#i0;xbMkP6(80&+vO zW~r&bs(_rIoJV)ZLBThh5}fGTAXn~#HI)=lSIQ7jkP%ayHW#+;nG2AcRzV0dD-5}R z8)&!+|+&=6^jM$iz}~8aHp$vut^*pGDv0o!MJAc{-#5?@58Y-yU)` zXWomai&1`mv{QaGCKyMi2T4t0& z*V*}qE9=#A#$$Ga1sAtufUfyE#RW^3ad9|1hIP4sj&h$JeO2PLHOR6Qd%SQTj7VXK zTktDex80WTXOWPvYtJaixD>v2r61yHKycS$b_*54-I4*i_Ri+*N$A*>LqU+ztT3z- zNjaz*bI>!vp`9dlA@*?NZvbDF@n@%J+2>ir_gvFi#$QH$Lz~*$i~Hg=cvZHnMyAvx zQ&^3(%p!(&=4)c0?lRz$E~&s*p}?|y`6_zNCf|<&@_t&$PEvt!1#*2mu2t+=V(9hA zds*KKQVecN45>EAwfx4UaMoQEH@2~}JXBE3Zs7ujpBAKN?`$8eA%`NxvXCr1f8%{H zp$7NDDahucbE0WX#zC&j%v-U7HZ730*yAj_{ScnJB?B}~4V^P@tsWtDSeFYZAzd>y z6enL50gBlPGAj%(oVP|UgtwE#F2o*gd~W=z?Bm30KF#7TT+>-*pBsNmo7&r3HkP?l zfm9$BNCgHISQf1Vo)>bFiFtGy_sn&|vP7L$dyjZ#Z@t`!rvmRvfxO=yaye(-lithy zR!|zDHakTA{tm89V{c@TYnkE-pT8%wZnQKiBe-c~iP;Sl!rhVqnkI(&I(4LMvKmrlkU@Kq`<5qynixDv%1K z0;xbMkP4&%sX!|55(R{y!A*%F{z0zseX!T;-%_!=<8$8MF;MVuO9trLl>J+>3PV_z z3n(EYra1Yk2vE#^Mf+d_l~xy;>RP*NA%1nSr^>OfVcoEdxA6(?TFh>tLik!w;h?7F zpl3O6pIpJ7VBo^wYOWyh^+N5mR3H^d1yX@jAQeajZeM}?9;l05!_&nwWujCd6-WhA zfg4dksB>^rVyLA-uH3(EswtqZlp&xXBc?d{yG;V*rd1Gv%nHN0U#J{ZHV%3wIJA?* zF2rKOFi3)H*9N(A-ZqsKP*=(jP>>N*oa#*5BoUy^nceg>hl1A*5YCR6;M7hMyAYd} z3Zw$5Kq`<5qynixQvn6_S3A2UOp^%kw{b4P-)xseIQwY%^WpC4nJ+=OHtlai;|~!S zsD%6NgS6}~E4|>*ZQ+Saixf=SU!rRse!2?n48o7Nj7{i6L}S$7hq*5Fd)dEH_scaFx^dfYw7Ee4ebabJ6rB94u!P4y{`s!+ zQgsW~nD7yD|4VCb3Z5}Lv%#z|%;-%a-b}gOXR7eP?x~XR6Zz8~`mGJW+T*i5?)VA| zzSyI>aI$R{KgdNoY?owT$NtP3^gz)Xe|*xyhRXtLZyVrqi?U*WL^|!c)`HLJDnaz* z)T=AjIHIiQ)vouZnhjS&jy1@b8q=iaiJqLAy{$nxeXNFWbpH2DxM* z^VdndD(l+j?7BI#1}z-%58f7kGFWlhRTcsT_pW{4T5mPkTRZpi>$izO(FIxThrlz2}8Tm>Vj+62Dx(HHkA}mSIQ7jkP%ay{MjKuZdwJoqIpX>X{kUe zkP4&%sleS`{(q4dn+2zfWy(aUz}Kh1vd;ec8qbbzR{^QNAKq>cS^3wefX!R8^Vr_` zs4n<-ZICPX!J0}6s4Ha%D9DH@PPe`fCN$v+q#&F2IMKC1uAH|`B?Z)#G6WQ4#1yB^ zg{}V@BS3Ci1zFaWh3D%(1SkiUjf0*E4(%kd3$babKq`<5qynixDv%1K0;#~eQh>Gf z)m#31*~Ko=>0+5OQ7Z6NDj;+{xGB*Ui2am7uFLF$i4|1$Xm*tuh=X4;K-1LFIiJ6^ zLWR`3f)DuLyG#v*+gC+^+_VZpkXd1P;XYWiH-*@*Y)yv;CJgOLs|&78`@zsbuFK5Z zk5*8ugMnfXzhr=>eKK^)ye%f7klK|p1Qeue?LUPh#Jgz~f{Ve(}@66u1$Nm6_U{mm$=v?*q9sccaUqDQA$Q$KEe|> zwow@|U5nW*R0#Leg7j?4J{U=bA*{;(rSgCw|iZIEkx-hPN*QQcy2sG9C7Gf?o@0qg!gVAI~&ygdosx^gJU70p}9In4MQ zz*lAb+38vKc^2_K6K9t3myzGlruO#YzH)v0V(A_QmPgMIe>(FuF;MK(-UjlgODd2G zqynixDv%1K0?$(**SF8p%l9t+>0{2mm-Ve6M|F& zPOH5~JhQi6?!;4pccnnyZx6YgGw(_7<$fzDjZpD!Q+2_$Y3z**axGI_;j6nSZfv76 zf}2K`nB71j+)oSAGBI$k;b2X|^RDH*eR2i6ikXAp6a)bbEE>k0>bIRO$ndj$=N}!+`oOI+xAd6 zbfpXd1sO5L$=_`fAUCaoEcdj+^L4*aIjC$L^h|JQCy8B%#e`vy1lO(&a^<{jDk-3@ zlp&xXBc?djnYKwHK$|nW>1hrHuN@$q9WlYFog{W4HZ2uM1yX@jAQeajQh}xd3hJ+R zc1xHh5#Vp*T!O#ZE{SmV(emfR-P1E)f^cox--gB?A}~-1_uB_)*fa#r}wN+H=*g*9SFCYFSD*lQimxh+lPnxr@Gv%;qtA}GhJc)S=c{nOcZcX}zr% zR>|Ub36hoy+?oRA)(XygQ-M?<6}V{ygzDqv%4Uf%)aW4Bh4yc){qs?!vhnBcBRz?*RBn6 z<-BbwDWI;DA)p{5ra1YtLx9}03UWpBmU7Zkfm9$BNCi@XySx1VA}=-zP8Z9RiBf^D zPl08f{q;4T9pA13V!t2qt7BR!a7zlvc@xaW-p)sL!L@7cT@)dHb+M<)vA-u%ckDKM zuA3cGg1Z*8Tc{Ae)>AmBX*uZGrW(Q9>N*oN6_jBm%TKv&x|$ z1eq0v9Uz@tGW!@H(P)O}c83GE@ zwf3LF5#rsn3iA4S+wQF<5wf{;0Hmb?sX!`_3f!my%bWl8R4)@t(P`YgLC&(}t$r4L zmv?4w-Q?+z3cM!;@_u{B)tq@xdKdTGqno(8f5({lLXtz~s6$embBCRp`I;Cg@_^pt zysu$nu;ZX0%xvMwaKAV*U5Y(|O}^E|92Bojl3i?rjo_}u>=r77uk{oTo^BL!F0?88 zU}O`9ur3!+LPkt+@>LO_n4KWA!m#S398@+AdL}rulf*8IG)S*~xNUXGcsiKn@oj2IkP4&%sX!`_3S6%O zxxPK(+LR+mB93>lzO{KKG)Ob?Zc}x^wQGZ1%Wq5yU%XSrK2xJI(5}Vo7Al0hB?ENr zo$Z4) zy+=H=w_fhVQ-OD-K;CZ;xtufaN$=%;D=3Xn%(-HZV1r{wOZG+vxt1xeWc2rB){T}% zWdt{kEHS%*LbzKpK-0v~{YH?>r4ZFRIA~chP;hX$LO?;fW}J#st!9%%fHr5=tDqnR znH7c|Ae`-4F$ck^og{W4mO5e&T|B0L8Mg>N*oHiGhi%~c$3~pKlxt9G~D@CZMU1@c}wQGZ1Id7Xv3aBe(2q?&iDNZ*%Z{*;vat-6o2@|Kqc01AEae}S?L9bZVOLbTBKmo{t{jD@C&Anp6So# z5fyxD$*~5J;nC%J!q&^*>1|D-@c3OmWDtJDWo$wpA{wLqKFoEY-^>1ux?irb(2d)E zqs;~S@0-R;qTu9Tg(W=x@y~aim#SN^#)OZM`(IjfQ}B%0nGI%zVMcEX@n*`^osKmf z9@srq@_izI+C#s!;a7Wnw#OY`VZj%BR2NRR&Ef~SNQdo`?CaQ{S%V%ZTH}vTTG((| zVC`)Kd~Q)z?2kyNJ=a?BIb9`)o}7Ah#TrMH^}O2k-c+;UYRIt$8B=4L)I8CXQ?s`< zNu!R4_*LhZyXdPp4h@z=*|uc5Jv{J;d&w+Y?wPabySy`d>n1HF@!D$&bNT7K?C6SpGLJ6TBNuaD7cOeggIEFLbsDaAaBz*c#h(mO&|PIAP;l?s z_sylATd;OXaj@0R*>P8J0X6f1q1%A1ZW@|vn;j{!X}t~1hKCArOa*RBfpS~rX0@q6 zDv%1?xB^1`@p5IekQ!=rkn2MGw^s6|h0O}tK%pnMWPq;4OXotYzgp|EnuFjJ*5v|9 z$cQOUzA6F~vlC=i7-saQ5c`#_>F~gWpN(!heWe6z9h$&9~><}O~ zt%6+9yrrD9R3H^d1yX@j;O;K}zsQTtg44w^WujCd6-WhAfvZx( zvAy$AUCsf$VUR2L!J0x=%{Lp)2YfuhvMQNxeIHC{VsNhE+OmB#QA&1sPF;^Ha41($Lza*Vyi5Th z%-wKNkj-`pCz{6Q)*#nq_QAvo+O)9Q4mA)5zhr=>siAW|e`^v7sa+{UKtZ}@YA8;= zDgxxDRS<&A3d8z=SmmIyanQ78Z%Sz8a_d;hg(t3uf^0}Q(X<~79pt*qy!~heZCW^R z-hMLfDf6~8udQWmXbjr4_MgHLV%t~H91319o44)W6yo@Lt^e$TS6V8N3Zw$5zzr&} zy!l^G}O zX_-X~@66Z4K;31)r{f}L{5;akW{1e%-#G{LhC!}nMk#ciosYP(UM*)lW;a-HaZ3j1 zny*t_uyh$0hqGf?mka19_u0``B~Dv|EK9M+3-`f@6o$A3zp{1PZ3%xC3HiGAjDn0y z;cHj=A)W>VcP(bOP$Aqc8K7(LY~G%Pj$Jtv1S!o5!#a_agQ_tHJrf+-Nn#gb4>$e> z@KqUqc6ye5o<)4mHJxSrW#l)sslC0pFJ6OJWy@-0N=-6_)kw=MVt8l1CI;#*13u}J z3Zw$5Kq`<5qynkH^(c_*+as<;If5kOco*win`c6UG!ySORTo^lHpsR7#-#AoT@*LA zQCYW`HoKvMho2UtXYXtutRaUY#TD&?3H7)aPC+(PiW5y^G7fTGX5NYwv}s}U>NF4s zzhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q+Xrb{vo|HwuWU`%B!4N$ z=fnc&b)61xz4PIrEiLn@F8qynixDv%1K0;xbMkP4&% zsX!`_3Zw$#3J9))n-W8;gIt%{zop{3N3*NUK*3`Nuq``eQ}%DkEDT{?E}(>rnBwHC zB0w?wwd{l09^62s)rF?I*6vz}UtR2}a_nokZV)#9MTFq4#q1U;gs=4!4r*EsdY1F{ z$rbDg1}+S)<_Z#Dui@JXG-;_oDv%1K0;xbMkP2L_0{J~q7rQj4i)G40sX!`_3Zw!z zqJU86;HJb-OM_gwf7?`3KwT+AKtV=Kaq@SY1jtRRAOx8ehIPMCIjC$L^h|JQCy8B% z#e`vy1lO(&a^<{jDk-3@lp&xXBc?djnYKwHK$|nW>1hrHuN@$q9WlYFog{W4c76Sv zpXZPY+=>Freg9U<%{o(oR3H_&X$6$({ngHH3Da%#w{b36$aYE2z@z2Qhr6d|zAUa5 zj`+vl2E`vDFi?s0+XrdcUsig-q1(a}mli3Qw7*2xJp6*Gqi6cFc|--DT5_yGWO#IW zp0M@ucY0fsC_H|b4;h3XaT%M?hls|gzYlX===ZXJqwbe$EOg_x-)M7z{`;o!k|;R& zS78Z{fBf@Z=cVcvtTEvujwtJSwd=j9X2aExV+}H<#x$vUq9>o;1TzdS+?9WXVG_gXZF@jevkt<|2H&!!`v@VofGe@-TC4**A(AW zm#)|+^JsG2RW31CY+bl02Y##o@j4AxJ2<$;+u~1#DCmwo1Pbn5`~Jz=ONrPeDV*JO z$%&@f>PB(!xk6y*HejopZ8e)DYG{ylZ2=GWVkk)0+P$qw6rN3G_1bqcq(drjg$k4_ ztSL)M1>Uy;L+^?2TY|5;JE8k{xw2Ve3<)*Jwd_17oSP(d9kVWV_N!V8(sK~OU5nW* zR0wxV2IyM6bS}iMEhq=I4i0)IID~b%fD$rdic_s-!zDnQGrJbdq2RRxgtH?iIJJ|+ zF2rKOFi3)H*9N&>Id30??-qlDe}$0UOc>mf0lJp+_D&J0YTQ-K90VsKkJT`5CAK}Jk*+FaPWXD&c)S_L7Tz-&oP>W6z)qI0t(VKQ$um`RS}@}6*Px} z*Sbxq98@+An%3-139Vdi9V@x;#Pv{+4GAZj_Jg5=T$h=*AFZHG3#2XfILmH7gy(L_ z08RU3=#+U|tR5kCSeFYZAzf?#DI6g_W=Bf1!tkk_{_#N}AY~~9| zW_4O-5yLz4H8D_k8Sv@2$QeJ6G_%L_67}n(iI?8=^^i_$|)*#DL?D4{VFd~H^Zo#i?-F92TpG88xu05k5 z<5KwAm41k)0l{61*)3EEcS{E7+B=)KC!u3k4h2C+pkyG_*v*RBn6Ex$1-e03Ma zjcrucEvC(GsNmtJ1?ky4+XrjNp-6E>`(Q#n?uAp3&6MIq)0m8dT$h=*Vg+ql*t|Lo z#KA8aplNF8oOx>!3aMQwLqI{gW@;!-zA6Ibrd1Gv%nAeVt^4*tn%3-13H2*m(>2Lo z3i7$}tFn(1tNApGyD)KPnSE~jEp2LVZ`oMpP6bkdR3H@?P+(cK4tQS3MJDFaY1}i{ z3Cj|7TJ1gJnZ5OLC!PwtD+Tg?d&uRSc~5#T_gg_}gxc&7?Ke1vv}ChlkZYOZY7xDi zkGL{|n?`87uVSDO?v@PDG%?iIDK40pt`+kTyu!L%KndxZg(^(X9=dpZap6SQo>7o-DSWkSH#R?iTM%iTCp>p`Qjif-oLtRM z0u-|oWL6l~dX$68#zD^nhjxfm9$BNCi@XR3H^d1yX@jAQeajQh`(; z6&P1Qa2?!~7-Ajdy3GD971uqQU1bIe9y@?-*&&;>KxpZ z7;0&dEB9}kY6_?;We6z9h$&9~Zj%7HX%&PZv%;|M7b*vpjf0*E4(%kd3$d6m43gm5 zwLz|&w@oDl)Ri&>6lBB{r#jO%Nd#zfW;Z>}q2RRxgtH?iIJJ|+F2ts#0;xbMkP4&% zsX!{wR6s%f)y{4S(_(KE+D&c@Uods_On)|ysNhpejx~r3k1o#>wqE{DZ)*~T$M5nXgYY9R zV-xxi(HQmjVXh1PUiNR){c??kZrt`8Z7$G%-!xtl1tOt{XV#zxiq`n!lNL5y7Fc`R0H0fw75gL7Y0tG5d`?#hq9>xK!bLguV+DxU zX}H?K!8P6%e=WR+$5>%n02YMU)9zig1Z*8Tc{B3mJHCfcWHfMG%m_xy92MA|JOmJ!^iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0aD zyd7yYeNur`AQeajz6J&I|BJlXRX$xTQzl9UzCHz5oTsG%H>H3){8D*SHD|G@fX!R8 z^XP84DDY;xgcDsGViDwpQ&lHDSpSQgx6Hf(Ffm9$BNCi@XR3H^d1#U(Ge)YL}%YQGs*d;n$EYm9z zl~=@OXe#iw3JB@reU;62Nw-q=0SCD*vwtgA(58jDQ@Om=;NX`G&@?r4&gXAULLs#) zWe6xp*Gvt?$yY^y+_VZpkXd0^w<(o_%Em#{n!PEZmCLPTB^RE!9tyG{;Y8DZFm#aX zGV}JM6|`yLzmLdsB$x>xJ5BsX!`_ z3Zw!ztibZ-e?1+@#8Pw`H*eIljCbL;w3)rVWnY;)6}Y$pdA~hG@|pLfcX7Wxx(R;A zyegadLXugXmRZE`&U{S_)LjOAIxceFaS9lo<94MV;#UE|U5nW*R0v<|DI9zeh@+0Zvw3?G`gP?{5TrCK469Dc zLDiUpo(T@^B(V#zhZ}zb_^OOQJ3Y%j&mz9(n$9x*GV&YR)ZSj)7q7vqvRvOjy&N-N z6HjwF$Hh69r&57bAQeajQh`(;6}Vmna(#QmwJArCL>%vBeJeCLxG527u{l4;wfx4U z#MWIDH#YZg3nH!iPCR#YQjif-oP5m%C}zK+eXxN_s|!svjmg;VT8Lj=?5T3>YgjMT zEB0@t$!;JHwVuL3P0K;c)WA9Wx2BJfdDW?K@VG7)P`tWkS}RVz<^mM66J%Bx)_RnK z%Em#{j9hSNCy8B%J>2-*_*L1*iPe0X#a+0jv&=p>{+2eix3_F8bEg8SKq`<53@EVt zvNhm&As3mLN2hVmTqi6`)M>T%h-dcJ%bj>C@U9fd`|Tl@bLKtiz1(jFr4cILZK^J~ zHjTZJL9S(rD|~eq#f@!LMsU-}60;j9g!^ehS|$cg*#|2|40&9aTd)$+H49aod{qP} zW+%w3FuZIZj8L&hCrRu=EG7)?N~;U5T^r;YpSSt>+k)upJmGn)F=)>e2Y23{1Sn>I z{k(-=7}`l<7h=;=fm9$BNCi@XR3H^d1yX@jAQeajQh`(;6?lmPLeSu*#1Q`=*Z4lz zYxZxc*xm6tZz~xnc(^44bZyH1Em?&jtjh(IkP%ayd{qP}X1}6+uz^ae3r%&c-L(+E zy4X|Y*w?UbSTe;6e(M?KV9}7LZHw70PKaIWDIBaxc;2;~w@U+eNCn=l0zzkl zn-W7J407fEZBtDFb)^ge1sO5L$=_`fAUCao5M)*u*8M`|pt5n$Gr^&qBz7Sd6NW(& zT)Q^NmGic#q=33ohJb>MnBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugVv{WD!NCi@X zR3H^d1)2&dsJGhrzx@)XNd)*?z|~j|`Rk-d%byQ-0`;RaU)TVe_P3$&hX@Q*!oBu6 z-?qQ3^ny#bg(og;+Pgbxe~GSz`vp@+&-7>WhzdTn={AmyU)`nm0@!1}Ce1!#H>``4f z**1$G;y5%|4rSYt>GtrzBkm=$ zY`JI7qVMv~?5&&pAcy76`XIJv)9AA!t|1^N-uWt=FJ5y^@xSWQy=*7*XmZ_EE-_ck zUnlXZtZN&=Z*yi10%#g6c+*-j|Zz_-qqyjgsfKYwBT-hu!h8i8@y3qcu zmAq-8u9=s$pwN?BGCv91lWW*FFUljq0*$FZ$3^RIDi2cge zba-IG(5|$);M%o8uAH|`B?Z)#G6WQ4#1togb_kH0Rza?4-cn9lDv%1K0;xbMaCevg zU*yGR!Rca|GEpk<^(nBdv%kK^v*X)UK~D?741sObPB<%xKq}xUkpEuhh%)EYX%6kNtmRm`WJ?7u zsDO~m-EdKm&2|YVn#PJ^kn1x0U}6PrS|Dw)$9enFehAOqk^!2ghR)f)wR(iqVO=hu zgmlf+P@H^K1Sn=F$gD8DaR1iGh46Ng*o9b37zRmjZQ2ip4su;)-hQ-#{40d)X2Rf> z4A8VshEAEc#p)4KhjqDt64JHypTZI1WA@k2+jehC`uKXGc3LWs3Zw$5zzr*~y!l^G z2Qsk~oyN@@^(Sxh+d1v<4O`Z;^zZ!~khIi&`VxaCa;L~xDGkzXvhD%)R5p2v2_dCe7%qS&eXXhiXjNq=t>=r77yCnm3 zZOT3vS%o32%LSB>5mTIeRRkzzUvAa~uNUs$TBH!(P7=E;;m;x=U)P>dkZ~z|zJ{wX zA9CIz(kchfV~s(3rZ~8op9CmozlwQFi3b~h1Nf?pKRZ3kKF=b)XX4B<{xb3#+SJ}& z+!yzRtFmP^GNmS&!fK>t7BRdtUlRj$mjR!2Nd;1YR3H^d1yX@j;Cd9u_3aVYq8vdI zalDuHtI7bQ<@}b;7blomP8~cxG?C+=-_GZ>zxaTlG;z7~-o^b^?(Xcr z-p)sL!L@0;3=p09TkH{RmaH!3pm=q5K4Lb4n?`8NZlDmp)>Akb-f$6f-fslCTxzag z>foSd#X!Nq>6&pWPQEGvHi^mri zPIT=V1sRvZSG#s&^Yga_k=A*_b5|z?88OAl)%+wtF*`wKg<-8nIjC$L^h|JQCy8B% zJ*PWA$srX;1yX@jAQeajQh`(;6-WhAfm9$BNCi@XaRmg|!A*%F)SCAXbg@jCC>2Nr zQh`+9Midb0bT?cSWV2nuiLMQDUfD3J5^YA!_gvUSr`L6R)bqm&*@DXzVOKWZl zo-sSK!K^UM=uIKsOu4$#v8KZVyQfONPvlQ~=(jffYLCzMxZ^7<_+pRh!pXK-{2&+U zuw9aU9s4tD&;vzl{P9T(8!ij1y={QcEy{}h5$Uw&S_?j>s|3-LQ?IUAQz)DaQC>ilvSeHF){!Ez|umQ1&Y2Oe=RnPtm8a~6G< zcV=(h=IppDxPY4Zz|d{LRyV=gl!DbB4R)l&ru8;3 z8y+giF%`Hi1{~|9o3r-iyl!;P-R3H^d z1+HEJp+aRyO9gI60Xdg?9@{$~)#V(}8wR;@AFL^4)qJzze89&8EUS|F*7w1LCI;sk zu3a1C%6Z#VQb1iPLqI`BOmVvPdAn?@D9C2JgcD6;b8C?6GW%d+1#Mc`Y=;_%gI_X0)6~#8pT9K; zh19N;A)p{#Gc^<^Uljpz(<%r-W`$w>K&*05**Iugvo|HQa=CS^a@%vhIi&`VxaCa;4|bRc+t#ehsfXG!L@6HT+577_&Pfuab?|N z+U$l39&X71UGsH{3zjb9;&65h>v91dd3zE%cI8kIq%qJrxs>U4jOmJu? ziCu_2-1r;7S7rR!=~?!97V$mTbe8d#k>Aj!_V(hwcnw~aEqC=PHOUlKBQ3Lt;hp)K z7^u4p_@qlJkP4&%sX!`_3Zw$pqd=~2kGK})2$G27y{vDA1_w7KA}u!O2f4=g!5TZu zo5ayU-J{u6W)VWv4jBHx+&kL`YsjGpbrt(yg-S*$75uoiU1Ku3lRydGO&~kx1I3WK zLHL?^E5@@+TkPbS-F^tq-I4*CriRX$w^omkI;_hDl#s5O8j6#ziU7sz1ep~E-dp$W zgEY;^1&4N$*oD}`jn9o=m3^F8&8J!1g=;#?>~rI9X;XW9%f>QyDv%1K0;#}&0#p8Z zN(K@~F0YA=IM?&KJ~{m7%yq)DMD=T)*HX*RCEe$r@nnocp zyMaQupBAKLV&Hxw$mLRaY8@Q3tQaUbxLhHiAYC&~#i>@aNg_a-GwW4Q5Q5AK!wwM6 z_N+fm9$BNCi@X;}sAn!%c}F>jnodLl$y<*(_OIj8Ea*B-!o#%I-`JBDiZY zyM+qjYdwX7b$^HFU7NChOIBeB>v91lWW*FFR}~eYn4KWA!m#S398@+AdL}rulf*8> zV!|*;f@{|XxtNS*%22y@V_);{4sCWr1rI+hNYCEcylu##NO2YOwopl0Dv%1K0;xbM zkP4&%H>^N@57fmj-sxhQzJf&Z74&!|E$Wrj(JMoG4vD7%*Qmh%jqH2~m9tkgWbUSt z61v;&vU5IA45=H0xevCL!=#BdM!23S4!es{I4cY>`&I0NQQ}agf*;KcS-We2V|B5o z%CWEEy0M+}wjffK!=A4KZU8l4$P_PMa{-FkuVvmYbx>?tDsUSL2roKb#c8R)4JjZu zRBM)c5e4)jS&m6^uGL@dtV^ou(#`mrc?@#NLbgkC1|F@kKHNP$vt8^hJpPZr?R5Mh z0t1yezhsb>{bi*W9J(z$acPl)N&8E5&BHI4I(nu*n@3desU^o6M21I~=LuUcf2X%K ziNfP|`H(^Q5tp$EeTZm``ui}~g+5pFZ`A#AjfHO9_8V<3(0|`FUJ?Z-|0*ou@sEGL z>%3Imf;A?5gxvqqnwx@W%+72uD-1JwQ;0WHZueP0Jg|GJ{)EY>zv> z!h$dMs4kpro5c@ukq+A>+1If@vj#m-w8kHww6Ni_z}nje_}rqb*dLKjd#<(MbGk|p zJvsI2iZzZX>v^^7y{Try)sSNiGN#5fsd=I&r)F?#X^f_vA#Z!Y!Rg0)MEgRO4Pj=O>j zsF@E8-3DxR6Rb`DXGyiqj+EH6-nz5lA%BjkKq`<5qynixTY;hX#5P5uH>`kAd%RrP zETo3o9OQcC{;i&uctZtkTG;FdTDU;rmJHCfcU(G+dq@RRftM?g|6kjd>6!jl;;vUxN0yNa zJV$}@9Ov{+1yX^A0@A<|I#dBYlx-@R!0zriD9C10f)iaE5YaFQv?pn-lp+fjtPvM}Z<)CM|fBWPL_5=eL23KU7g}9UgdZ|R2ClyEqu2X^h_p*y!m(#^EWujEzt5iVfdT>*sD-e5FgIt%{zZEMe z-d8aY2ft*1rm3NG_Q6a-A+;-I2q;L`Obx}!S4Du_vzH z0VSks?LUPh#K-JNX;v6sHgDU#Da7&hx~=_Np_#NxD4>@}k~va=RNxQ=mN)-HxDFwa zLs)zg^-mvgmN)8Iw!GEPqVMv~?5&$T9a4e!q(I(p54oB%?@4*TbuJOVV_ucbd?CrK zPRlG}cxS#Q2I?*YK0_{o7tL&Ti2VH>T)Q^Nwah4muf6jjab?|N+U$l39&X71UGsH{ z3zjb9;&65h>v91dE%-6&~u~T~+$e%8$Kq`<5qynixDv%01 zPk~(DK2I;-yZEP%Is0DLw}Kpln-W9H4RVd|gEe-RhYHGluqQGp$G$28)V_k|Q1E)= zeK4VhcBRz?*QPNU2e~dYZ^a7ouh8<=fP-H$K-1LFIs3OJp^(~@G6WQ)Yo>OPku;TQ-)tQ-M?< z6-WgJ6j&Cm1D?-tk!Scmb$w0jQ?94S`t-zM$1~Rn%M#VEbzVy~-^|`>l6;a1T%`gB z-yjdBd%a}xdWw)iL*%u<%zIMve*4DXt@%ZAxiLO1PAmdW_YS(UTe*U%~(mGFg?&_oMIiv!nfTjwmKq`<5qynixDv%1K0;xbMkP4&%slb>5 zg4^Jx#1P{k*ZBTz{{4i4@aw)4&tr{2d!{(lovX)ODnO6V)U*n6E&E`mg-}ns(&~b1 z*9N)9=WXL(M7qV`;9jBg^)OKIa7za0+LV8HAgeHhb-92NGGdC8uZjT0?AJ1HsWL4U zNCi@XR3H^d1yX^VRUp3y>SCAfbg@idK_dAIdc2Yr^-Ai>N*oHiGhi%~c$ z3~pKlxt9Igr4C9;O9gI5ftTT?)8t>D|OS8u5FbFKbrXZa|{|Ef#3t>4U} z$#qw`WFcEWFR}$5t+75dR$U86{Nrzf;tvrRsKojugS6}~E4|>*ZQ+Saixf=SU!rRs ze!2?n48o7Nj7{i6L}S$7hq*5Fd)dEH z_scaFx^dfYw7Ee4ebabJ6rB94u!P4y{`s!+QgsW~nD7yD|4VCb3Z5}Lv%#z|%;-%a z-b}gr8{?V|5A2>Q`96_9?V;b=@T)yO+vAR}u;7b5stYIEX7Phuq{DVe_I2#ftU(VH zt?|bvEo`_fu=chAKDQ_<_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkA zYM$uHsoC3_q)|si{HpWIUG!BPhX%``Y+Ewj9v*nay=0ax_sm)JUEZ0!b(0_Dz|H>+ zP2Vv0%TwpXJ8O5ocN4 zf_vA#Z!Y!Rg0)MEgRO4Pj=O>jsF@E8-3DxR6Rb`DXGyiqj+EH6-nz5lA%Bjkz^y4z zZmr;~Hx)<)Qh}RRK&U=mu51=kLyZn{U1pRd^qBTg@j%dk`+6-WhA zfp@5Y+(=KiQs<4x*(y_8;I&|KF62D6cRs4iIiNQTa^*f)Q^>0MX2bb_j|W&*CG)NC zg9%Lx&NW=SHprFpwyC6mx>AOKf{d8rbnEkWq|x+A1+GhhPuEpWR+9=Gr@-=-ejMTR znB;lx>HTsA^yQ%$nF^!=??Hk5_p*y!#nZ(yy&_S0MQnzq0&lB;klx*JQIO4c2`8Gy zN_dd#GW%d+1#Ma&ZL!DsRNcceQkZYMyO2&AnijANAKJwYQEV~s(3 zrZ~8os{qC9%gwsrwbr8?R5lKJCOEW{#4a`;2!9v{NzMViVUTNl-hPN*QN3Euc-3@Q znZbg~4p{d|0h=~u|CWrx5Z2`aO2~*QPOd5^0gBnLV%}2X!N%VJzAEF-PS3K>vxx7R zIJ1nujQoZ+wYL}dmFwFVOZO}Lc^l8H^G0g?ifR@EDE5fi7YDy&1KXws&zZL- zp^)2^G6WQ)Yo>bZr68XhzbgAUv6@e_ zxC;|!mhs;9hBmdgH|#4@rvj-!Dv%0{D6lMAM_e!CBNNl;H13(}gk_03t@a-A%-(vr z6Hf)+l>&LcJ>+uEyeGYv`>mifLdCmH)dkn4u~{+5wM=n^ue0+JS4MEt2#whd6vEw- z0h%U;?l*#5E`_Mp!9mN4fr5j}6#@#;h0oV;6(&D_TM%iLgXgivpgmI@T+L4c6tfd#Rv6ZL zl!MB~LC*w-c9PhI*dLZUc%GID+>ioH`?ORb6-WhAfm9$BNCi@XR3H^d1yX@GR6xi* zxG6DI<{;N)_HU`U?$PWjGf?o@0q2iTg7j?4{w+y`A*{;SEXBbg@jCC>8h$6<{+XEfu&i1tj;uxMJacQ}=S_{_UM2Qr%VSDrOFXldHLcR4&&A z4@?+b4+YtfaH4C2T)BVSR8l})DMLU(Moe+qTv#qf;jA#YX%&PZv%>I}|L(BVK^bYO zz|AO-^Y&&gRTi2Gqyq0i0i_3jwX<8obld%HoJ$t6U6M2KXpQxuvFchl;vat-6#w#M zpc3o157M%~tn`9Iw}mGzEmAOPe~GSn_yto(&-7>WhzdTnFFOG6+B7GB%+P5sguQALhEy?`8i+-7nWz=*Dfo(dGjE_f6v^QE>9F!V(_;_~*OM zOVuq{W5P$s{V%P#DR{>0%m%Z{)EY>zv> z!h$dMs4kpro5c@ukq+A>+1If@vj#m-w8kHww6Ni_z}nje_}rqb*dLKjd#<(MbGk|p zJvsI2iZzZX>v^^7y{Try)sSNiGN#5fsd=I&r)FKq`<5qyl$$`Ts>; zY!;j@mMIgZ0;xbMkP2MA0&?{gW`U`|UIF1$Igjm~kLp4|*9N(AAFQdQfVxtKfP##e z;&ki#U_ukFKnk*Hj}u)R|z)Fbg@jCC>8iB6%e{^?|f7jT${#z${^Qe_QAvo@^^gr zJg1p3xFrKLO%0v%`CF?;NFCPY0!m2NObx}!S4Dtgc7n_b!}@_(<)E^0&@>|#9NI}@ z7h*AC7$m{9X+Ib`$aR@{`_T%jn(ityQ0(EC4A8VshEAEc#R?Tt?+PXz<^p<#dPDn9 z;RxX|`|IazG4g>Vu?q#Jr2?ryDv%1?r~=EI|MgTa6HC!)+`LiGvgNIQ7JZj@W^di( z>5vM%Ck66;d&t$Cc~8pwt#gT%%_RqUqf;|qNHVLZGK(1AnXid~y32sikc;3&GhE_g zk6>eNxZgppWkx9(;FWkSiNFltPBz7Sd6NW(&T)Q^NH9l`Y!BbU5>7OY$vDV$nRzQ# z(58jWUa)~U_$320O%0thZ%slWwJT)^C`i{#4aLbOf;VMji{o{K*}$srX;1yX@jAQeaj zQh`(;6-WhAfm9$BNCi@XaRmg|!A*%F)0b8u5)sHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi z)J_t+5WBv9&d+m51#U%w<-UI_@O?5;LvU1iA#$VOxjNfaKx%ZCiYkGPCY=tD$f)Zd4>F7$iZzft$gH5R&Y+i$eF zK>vNycu5qT{Hw5p$3Oo0uJclL3)YzM5pw@aYizO(EV)Ii^YB?3#|} z*gaM9eIkF_L%+4*S9^T6#~oi`!54c}7f!a#;s?1%hwYN=>)4-JgB~ba%(~RsuWD-$!Ci~lEmR11 zO9tp#ymT(at}Q4BwGIw?COCw3xquQfVv19(X2T^wn=`u>%%R}51BA09COEZ|#4f~Q z!Z1jJYu5(3a^5zT6i`>n5Kxd2Q=D#n-i|byKB+(|kP4&%UxNbq|3zNxDxWTvDHEjv zsX!`_3S7Mca`hEvfvLb=0Xd&KkM4$xf^W7JvlC=i7+$y!X5>P6J4x(9EG7(tB)B&12SW$B zE;DaGT0#C5LUuD@a7zYg+9yM&%-dr12&u!mTtErwTKiAo2=Oud>*sB|Hzj?1z1Ej4 zUTLX7Dv%1K0yn6@^5%a%mCM9ZbQ(8r)U#}PtDi;R<(=7EH+edw0`EzIyx$&jHD}(F z@_y@FqUD!#2YI7YGhawDtEV!H7~Yw$iGjMyfKSIo&iHwx87^_LN3bzB-0vXQGNY7? zot=-kGJ?Anvs#PKfHw>Hm&25BbVZK^J~c5RSr`He~8bN6JvZ2ZKPb&F}U z8!C9XB?ELV_iyhMk?IxfDrOFXldHLcR4&&A4@?+b4+YtfaH46ThcdA~j6a?ZRby_fr~pfo~lc8K;H979^NSux19OmVe{ z-p)r{8Np2>G~QP+PzZNR256cX>gyC2Oib5`c?e!%T`r)6bj?B)Ctno-irJS*U+`Kt zrj&!q#zD)9fr3LjN$f%_>0%FEJifSaqHE76$hZ`~+O->-pT8}Lw9XTryE-Yzh$&94 z<|hG)*$FZ$3~N2gL1p8hXM#gJN$f)GIozwv3VqumGJ7hJ3Zw$5 zKq`<5qynixDln{o;5oP{F+@7ZwXB>K&bo`@#x{1AhYE_>EnJ}R(}MJD%Kj}$g(0lV z1(c8xQ=EKN1Sn=F$gD8D?WHmRE&w`rgAwjk0vPk0_{ z4B9ir!Pi`XVs?Ve3d35Da!}bg=$YWqP7=Ei+c%0!O9fJaR3H_YtANa5~dv zjRHAuujW!@A*nzr@D(Va^ysg4c1!QB9KVfo$wIbEat0nP?LOQ+J@e(4f`udg@wY+o zhhGd-V*U0(TK1QfUU2BP@WiD>3MTC@(KQdhVCv|Z{%jsm!KaoSYY-V8U7jawz5Jct z)+7p#-{nIF;YVD?CiEeqG3xKbTo?Mi?BA&S=Y_z1cGr8PGN&zPOrU{)Ar^rjGRrriEhR=AkmQzhRg@~1uYTN{40$7g%o z@f8+)u}5{`WZNu$kc)KKF3G-*{h2lBfuc43_@spmmj%||Ho)f=WySu8blP*R1)tMZ zg6PSqS68fYL|Mx0;yO{33_xQ2k7c;~BdzIfi6;{WzbnC@je znTN;KSPuE?Bwm$uZ6kJYw>h&00W^)b#h=VW@!C}u0tNT3ecxQ_xdm&N6bD<~oE>)s z7f>@F7`hGE>Sljo1yTxDp2X}(iB0Qmy|79azYdVJRN&SWD7RK{)|(34yaGe-i8tS6 zHV`Qw0P%8Vv&4uvHs=SqUb%m(=f%$^adfb0p{|*ic`rn{B}a6d^YPNT;ODQ_HVnam za6YWd1(c8xQ=EKN1Sn=F$gD8T=uIK^D_hgyfeAyq(&~b1*9N&>Id31@>lTAUtb>7q zhg&j0*K*$8DI!&kyNa2E;N)tqAeGB?!6PjdNCi@XR3H`jqCozCkzcf!{OmNDKj~9} zR3H^d1#UgN(!heWe6z9h$&8+3tM-! z1;|aSAOx8ehIK<#IjC$L^h|JQCy8B%#e`vy1lO(&a^<{jDk-3@lp&xXBc?djnYKwH zK$|nW>1hrHuN@$q9WlYFog{W4HZ2uM1yX@jAQeajQh`(;6-Wgxtw8>J*~Jt;T`bcp z5|vlPW@sw#wh9R82R9|Um9n`t$aR^0FtLLC9Ur!F%!I)$8K7xu=$y|nT0KJQur3!+ zLb_&ZC{DgA0u-|oWL6knxDRIJLU=n#>_RLi41*-NHth#P2e~dYZ$DZ={uO%ty!~X{ zQ|4_k3B|~LDMLU(y4Lt*w{-J3!jUoX^7O9fJaR3H_&VFi{q|Lf^M zCYGYpxOt5vM%Ck66;d&t$Cc~8pwt#gT%U(y}qjZV#cA<3+s z$}D1dXTBx|>MjF59Tz#{=aFW(#Kj)L2FGx}gIvpuQZjaSKH|y7o-DSW<$t1usO z-XhW}2hU@TL3^e+xSF2?C}zKkc}s~08-D}%s*FE7JLTCYi!&q-7Q{yfa@D19g`HpL9tDQh`(;6-WhAfmGmn6v*}M5!a#|K@xGi zi}kI|GoeA6iFcc-3$9%ogZL1u-4_tt&;AWdubriA*Ht?8QNF9rGB_*L1*iPe0X#a);< zv&=p>{+2eix3_F8bEg8SKq`<53@ETHS_eEYx5;AI<58|@yyl7DEOxKEe2wq`bE}(>T%|aC?Uljq0*_TOQ@LD&fl!MB~LCcDPf_RN* zVh>$BzPNCrYtJaixD>wHwHuqCzb%Ng&J&)yIw{DADNe5DCjpAt2{J1TYdy+AW#gb{ zf~fy5OC9i}r2@C1fZRrzS!F7a3Zw$5Kq`<5qynixDv%1K0`FV_p})aRi6JQl zxh}JROT~4MW>=Ykg2xVETXx8%?B9}E7{a<-KnWQ!#mQGifMWJ#|Eb{hX8T|dxrG|q zl~xyAyEe#`^R}s^fVxtKfP##e;&i+7worarDv%1K0;xbMkP4&%H>^N@57fmj-sxhQ zGEpj!3Zw$5z>O#%)ah=xD9C2JgcDsGSo#cOg%`lz?Qv6YKun5PzVV|V8fLt@EB~m9^}FT8>f5ppa(M} zu#8)8*Dx3(3~uCkFv0?_-^sI2#>un)MP%&A$h>PooH%E%Z+&a+@9cA8$Ii%z^lWGK z(TQpgK4|BXiENc*bZkxSo(;EKZa&m8apa#qHCO(_FXmKY)7{5YvQMn^0HE51TaXed zJ}Li*t|8I|Q%BA89Yf>_-jw8sL1frEJ-66u`73>kNfe%RFRwEQPx&$yq1O?Gt$wwc z>qzg*KF{@jI>$n9lHD(Kb8>zEyl_bbKds4YnlLc#@aLaS`ZfR@^$UHlv z!7Q-p(Km&7G34fzj+hPvc14x^p2)}c&^K)Jo;_aKW8fz&_+gJ3LSxx1zLAS`*ec1o zj&(~6x~3>?-@es_1(yZReOowQl_+Q5i=0ktu2}GxZYId>Y-)AoHO^7a?P}+HQO$y@ zAV&-`rou3p^W=6m#lFQPg*tM?cWR&RqIcprXs{fVZAqrf!vh|1FX?5|J+l{mmA7Z# zs>u%o;O2jiruUe8|I|M4M(xIvw>77@EPQiKRqTx+wz#U_f@APFaZ%gP#0oC1)9h>q zK+1jSHKl;1zZ7FAg6%f$WK=m6R8}>qP>ypNb9#&+M)}K8L~Nr+LI;Ys9JvN z9EeTN)~c)q5J1_wk`5)L#S~Cl6~UEfC&(CrcZI9*vx2Lcl|tSyfno6fi#*yW*c>gBCvpW`0aw5k za0OfeSD>2$0&{ugV}<~&S|gXQgB6t&yh$mu4#m@A3h1G)g9)8T3Z!@z-J_vuja)u% zi%JUKq?B2Q;%PAjv^cTzUtE;T!0=p~V ze=plzvppj=d&YT~E8q$=DIlQAD<3liXwg_tY2-T2I+$2N=@lP7&uJ!Xk|pCPnwq!I z=WneZA$7K{q(cd*nyI0H(y9oqJUc;Vfld5Cta323aZofP7XalXc?hwXu-PWjbU{F> z_Ld>GxiX!L5JM}RbFZT#&>HJl|2L%Ko-|}PZ_mw@XE&!1n@)?TWG~IxW!wgK4~66#nYo4%o=l0vU1;)(3vY)M~U2hu7E4x3b+C%uD~>DJ)J70 zV=3B=n-|DlHoesMqObDy>{~Ut16+Z}q=4UV4{|np-jn=(n>a`R;`h%ecV3taf|42oUotQ z7;nuKKyut}1XrH@gvKp}9?bX-;FB`GcDk3n>P39T!0BatGx9xJ*S_uDFQ4D;E!|dN z*xEn%ZqI9?q1di{Ysl{qSHKl;1zZ7Fz!h)>c2mITx4Y?;Mu&9sVOk%>{MN>qAP1ev zuQtsPpjB(+YF`H{R8}npKyt-rTvjrtfJl~%qiVi>J18Q}8dnuFfB;Hru6SmyBxD!@ zpq)s@fYOG+n9Qa`tqQWXv?G}3X2CLlMFA_Cn0J*n5Hh_q2>Z#BaTHC>+h^QbJwobi zT}g)$QZ-XU0i{(DTzPha%mNz(Tz4N&(TrRGl#}Ek#2(!E-1te^^N!WL?8O~8r@hQR zH-4YiwQu*?G0*J^xB{+#E6||8^k%C8c_fH*%%k17d(IQ4DQdUcYs5YKR?F>vSKv`8 z;P=~uoX(#2q{ni<6_iG({A$w-0a`THMjE-MA+GSH*JR>COQAA?i$<0_yE%pMWXU*+ zCgxo)1SPo?qPY$ZN*2s10Fqo;hvKQ4aVns>nne=9wP=ZFLGch|7TByDLTk-}0R&Jv zNghHhb>tB`A#NZvRBeZXj7#B5YZ!&`&))__igK`@*BEcj6hKn*jo`|&6J!?H#Cnv2 znT>;*34n5vJY*cPQyuWQxdIPE0eKi@dX=t#E8q&a0tSy0axIGC?M3CTyRl5i{%m;s@BNm>tIDC1#eQytV8j%m;zdySWZTu zRoEocDxOnWzqL|?ddii~5TI3S!+o&j&TJ}LjjrZ z`=?QlSK$h@C?G8$$G8Hgsen>edbYFr=tQ*#AGCAHM7ByYI<}^E&xYGAHy`SlIPy=Q znk)a|7jr7H>F(nx*(X+d08s71El7zJpOk+@*AVG~siS84jv;adZ%T5+ATn&7o?C3S z{FT1NBnnTum)9ADr+gWU(Cdi8R=?WJb)@%YpXYi%onxUl$?g}rIk~=nUbrLzp!8Xo z!t+m`J*ix($$~XcxaGNew=^~dWS*VTU>4Z)=$k^k7;^JUM@$C-yP`^dPvm2J=o_|q z&mOPrG4K->{IJIip|NZh-^fKeY?WkP$GRm3T~m~{Z{O;|g3AKuzAYTDN|dwjMNX$R zS1fo;HxuM`HnqC)8s{kIcD3`psAj=ckRt{eQ(>6Qd2&0OV&7ttLLE8cJGD=D(K~S* zG*}MGwj|T#;Q^1hm-Mpfp4p4O%G=ZV6&Ud+?0aV9tC!!#JcsZVKiJ<;9FO~6>tSy0aw5ka0PM-2#)-8WigS;aV**! zxsJ4cYo#r^u$Up6Q>Z;zGLEX{r_O=c^lYulY5)P0tt;tJLRw4#rBxAJd3J)#0-GLv zQ;5@*#dIJrVNTme_W6>tT*DIhSHS3YJ4(5f|Z`8rrpNx_?xGV4%0 zEvA4T`Z}1qle0NmCQsxFyp{?Gy)LhO%n+bOV?Cvj z>p1IRVg;pFe5QpI0O^u(6ivCY4E6c#DmS?w6Av~QHPtBrEl`beea~(BO{K6(% zSJI(`w3q^#t66Xfu0=~c3yOyzv%qHM5L#PI0F{&EA;eMx$%>-=N+qg*^4`H!g|>~|1V+JzTIf{ z&Jd<+?5554T!9CmKzIN(dWo*UT@>i~=c&EwlD(qZg0u~`2dd{h;l5u!d-iP)sXfFM zIHm%;AwHb>{q`VQ_Pi%OlKbt2pfo};=7K#k3mi>avNqDlH4SkkV`b$dUm3wgBQ(!$ zP9Z#5GLE8&dAqEG1tW$$UstkVC8TN=s({j}2(COkL1ux?e(PX_iajbx@(^MvK95ik zwto9;hR{&8a<7H>2(ew|IIUq6#y@`>5Gl&ReiSfp5FN@viz%R_<{QD4XD7%kuvw&? z+3?g%0F;yDA;gZwk2~4TZtJ&M8h5w?$5h~*;p*GR%;&jWfjt$_J>xvg6>tSy0asvu z1=J?rhg~V#zZQ>l1$I#2Thn{U9YP%H3UpUM$dF%@c;_dFVTJ&$TApiOEQt`~QutPm zKxZBaZ zY#h`~0F;yDA;gk9k5B-=`BPgEYpB}}1sRz_IQKdk>*F>cQXD7jCv{RhEvA6dnhUNx zJ3(fFO{_;bnAtd}nE)s!$wP>(S{PpaF`wU7Wpco&DIos&+fzFwUXLr_3b+E@6!71H z>L%@N;?3;_KXwIN0aw5kc-<8c1ZZ58Xws^Y>o}jkrQ&&wW>uLv1;onHyoTcIw}YbK ztT6{Q697rg70=9-gn+<=O%kDaCX!D^2n|)Ma^0jeE`_gB=^Z{dUS>D1fJl~%qiQ~G zZ=J!aVg?XENzD~cWi&(x&u*^3LsEdD?dA%&0W8ah2vF; za`wH*>9ppG1&`@wg51ugR##r*9Oc}ucD@(YEVv4C#2{lT43jxeZf8^MTTD`@BS(Cv z_USHqCys*#%R$+eWV$>&;1TzdUN+q`d(l^Ud-koG{6K)|#ri;OJJaaS5vLH~18+PF zD$6<}L>$g_&q6_n!d729feM**$qiXr7b09W7 zTdT4fKmcXyN;;H~7E?fJRRmX_oglNorbpit;&f#(9SBU=lq;PfK&#fs<>R)fq~J|T znRO_h7E?g!(IL2!X%){2ja$lba|K)hSHKl;1%|=@FY;)kU~{xgCzps%IJmh2u7E4x z3T!JN+XuOQ`#p5tUb?vgr=oz2g^b($%Exc)^t;k9=DAi&FNRh^R*pdHykfUlb6u>M z0;^h{-9m-%WXU+Hwx~vcC%F{QTn7g=697rBtV8j%m;#!sStJo$iT4DksT9h{c3WmgLIEE&625$L*kqGhYQ+rM4Wxes;*+a3vvIJo(*l{)3%<4?WN1 z9#`O~3S3RE4M$Dt$y|ZEDj;_y@OW3i6>tSyfi?y1`*Y*|_p-M0bO6-m036*ZLOp|? zzxsz4(_8Li(sv;2?IM{iH+jRLx{lKxxedSDt;+Jb;Sz zCkohY*Vin@I8z2kC-nN#eME*VGB zUYfVdxD8gQka|^cyq=N{C8TQQufh@H^XzvYx8=Sm>GS6+b{~|RE8q&a0$_P5+|OT34n5vJYtS!YXy9Mdx+B?4ndORcog$n z8)rfX=}dmLX@&r;S|iu=j!EH5uF2di{NyXE7E@+7uYgFFjH7D4emf{4&1bNxm;nS( zQgg*Kb0r}lFkzEKD4qog4Mk&wHF6zi+=>;n=)&R}FeiX?$vBFp=It|XO+q1cQp&7D z@l?&!P(W!_1XnVx;vvW^u;I0J-F-YoEA~wZO;;Av70LH?^11PovgaMEdD)9QFmQUA zeQx|dt!v-zvtypy6>tSy0au_wfoam(fIJdJI_A-C+&$+B(-gH^?KR?_eXHemzbo*l z6!81)K~87Sd(vaM-wH}2)MABby};3=C5sh}T+R%9@bCridr zG%;^lr+mT0R4o`nKxOMnI+T#AS*QX^t0K7a?9-qRpyI-maxk-TP_kf70Z>kohY(A; zJVGbL4TOfO?NE?$DSUIUld=B!+ki-MoUotNN%6Fp0!nJW5nOq8g3JP&SdVfrvvE)} z0Z>kohY&la8+Ux;3b+EUfGgk%xB{+#E8q&a0{aqKUPU>p1JT zR6MWItSU37fLJ+LmTj^w>$hYUHrcw84ke_;6i`|f!Ift}m31&%gKMaChR~_1m3uA3 zM~Lkz$7v1Y0%74VA_P}0&u*bYc&sOIFsJ39WtR}hyp^Ljf)aZU25d=_1mJFf;TB; z)}eS>OaY}=n*>)ft>PibEU<~|h04Lq#zD;lKsiYsLM$e18cBdwt&z*eZBa?Vo0Kx^ zP&_TBfaa05NFulvEvw;afBtSy zfd{RCQhj>1vzo$GJNiL8mrP{mgOvZh@Rqzg*KEKrk(gyl~KxV=wc@}V#?fd72OCk`PJ_}`5^M3ZEQdhEIt?~l6dbcz- z1xTKqGiVmr^yr&Hyc#Bf)|ifc?20P+J&}*?p>NpcJ$t;e$G}fm@WUQ6gvPR2d?Od> zuvL(?Ood@G=gIACihYYo3U%a&@6z1VE-TKxr z8mQUL6?kY0gojqJ*Xs&AbOp5OHSwYM((BJEAb9fA6`M$Xd4>S3T9IoI;v>X%m1BN$ zK-h_nz(JhqtY@`~n<-wC73YEiMLKN@d4B2~2#;M*4(2*IsF?uB)|GT9AuXnW=4uvP zf@{&T>Vg3RsFg!#Z7~5504NuJkKsiYsLTq=VI5$_o6>tSyfd`_1|6ktF$q;<^+2 zNu3l=iz%SA=7KBFenRVD4VBIiI#soDuZ8#sv0ddjt>Jv4R-f#cg9xr#p4~!)@K{ga zU{1?H&HV2Ux6WWqF#N(Msk!3GpD)yQa|K)hSHKl;1zZ7Fz!h)>T!H&g!2e!$G}Sjp z%XEiC;SRAL>I&Si0z&%6MTu&qtXMU29cTSktf2h5ia7zKOU6+&HE*AFFq2S7os=@` zP&`#LH55=<6~UEEt9S@93vA+gp>i;@aZt2k-;~gqD_KV*7j}{e#j_xxp=fWJw~^~O z> zH-$KVzI^=_ecW6DSHKl`zzR$+{-@Ie=~#+(3Vp7$ib-zH8G?=erxdR|D7J{#bviCd?ym}Rc(iYj7#AwSNbeJ3<$1Tp4~!)@MOt2s`kjn?MCQvQVzv~ zlxBfV97xK+tT6{Q69DBTc?huwH@*Y-q>Qhf?q#of5npjmdl}!1e2><(Z+rKPYw)CO znvHa+NxHBaY3M}^Z_jI@q3+OtafmD63b+EUfGgk%xB{o6fX{CaaW29kNOByHVt#Am zOz0q;$*(re5TI3SJ+gJMf*gtzC$tVG)RR1M zif1vTXeb(kv61UI<5sMoMHd!Vr#S(nOU6+&HE*ABYZ3~nlTv0Kil=I(h5|~fBDj)i z6%RpXfeo*%>+a(zTCs0RXu7hPu1LPGlh2Kxls)fQ&C6cgfq~P@_-^-mw61--$9{Qg zSHKl;1zdp^1*S=B3-mY`>6k{larc}jOjFcuwbzJy_N|uN{jR{HQo!%G2RWTR?@5p4 zek&-AP>U6!^#VtemMm5@a!o^AEuyyak*|#4q7j;3S23p$o-7$h(ZsxIo$>_}Q?+0S z0hO&Q=}i%*H{@1VA}S9zyJxZrt&W zE8q&a0c#tlyGZ*ktQUI+TzWQ$T4|1XrH@RMx?44X&Zm8A7M3R_?VBA0f7@9H%vm3xtKg zh!9-0JiCPo;jx~;!JL+Zn)$fBbp~sK;TJYZ%@t4nd^O)rpmB2rTme_W6>tSy0axH; z74YAII@+n(94(V4as^xgSHKl`APNX|HZDpub*Yid*Kdnz3f`oYS%>0jF$I)fZ4z9` zw2Fryv%n^<7b*uc8wWKL0Ocfk2(g&3X(Rz!wMH%Cdy_cFWD=YU0R0eQK`!hX~B6#HPECr(~a4=>b5s3%4L8QhZYW z5nV&13#N{m={ttV6}&0Q5rfFEb$V{G)$&*R7LzDE>0VxE5T5d7EJCj%3S0eZGuM&c zmwle={dA6n-XyzU=;q}5{(0e&2!PUOVG7SbefFessU{27JmHq->fO@V6p(p#MuS;k z)1z++@nXm^OaiSj9sAf7Rq}fxAKOFUu+4k+cx8`)pRnMEJ!S}vWwZE3F4AGEBjr7C859;doV|oP95HI<2{4!DG6aAh)xr)s@#cM>)5vo$p083$B73 zG02z-!(`5r+u0QR7Lyd}$PwSEeY%U@iQ}Nba!|G-CWA6P^`@kEu8&6(&PBBBOVs8x5$R!h5oVX~*=~%(Vb()>+07x1yiz0h8H%TB z<-Wxv3eTdl`P^4CIKUM+K?TAI*5oC*0KQt&3F%sLcLiz%RoK5kn&>KIqR6>tSy zf!9F+|G&tio#oBZGI=6bz!h)>T!E8UKu*3wFVGcODD8yu zix}RX*F;0zp#f8Y$mk!pbcRzL?2%cRYwm9&*EFJ(jQN$Sap5Ol8NpS{vsqUomic8Q+Y2kJhzs zd-scb!b#aQ8|hM$bYV5p(2E$}p4UV}-Jt>F5Ldtza0OfeSHKl;1x`l+pWhzhT!cfA z5DYFj6(_#uJxehi6u4G!pb3*H2LOtb5X9&=u zF&G=Ujx%n>3QC`$>7@Zcx?~(hQ}g!uyMsw6q)tkibts;ynHmZxt%~4Erd2!ynFTfo zxb8llq80n5gr+Nt>5An0I{DoAN!jy`)x7M*9T+&h%sw}MpVqZ+_t`Pe?FzU8u7E4h zpujX~Z9pCgA|3N+H}0PEglUS}t@avm&%V`iyWbUfR0{b0_8_OT=RN7M+;0V?5sEPv z?2%dEXws6!ibk$!h$|T@DExP@QXl#}Ek#Jafxu7E4x3b+EUfGgk%xB{+#E8q&a z0fGgk%xB?GE0in*u zMTw>^HFEj-ZBb3Zo0Kx^P&_TBfYPf?f-9L;@epJd*u?chi%1QDNV%=N;SHKl; z1zZ7Fz!fMepm@`>oz)bkNQCo)b}j*Lwn`$jZcTrl4Yylvf`At7Q}gCOL|{%OJl%af zCHurm4*;rNxCJSZ;*;`^=o%tjFm=>S-!Vk4;7v)67(|Ax({qchmcP=sm_*@8_wqV} z@RToO5qcd_*y>lCxsLR{?DJgjr*kayCfWT$Hz(Kk&kL7C0F*upQ+WRAvnQ2HHCeFc z3Aa2~@0P}}Vl_IPEFfuFG8hdpKp zjb*d=MlRA}t0e0>)-5sUnxeFQ`&JhgToyR@ZQ*!TqMUs%ayqTKV!>m&nIN~bsnwO& zI7d0RtDWyfH4CnS95Kk43d3a1liS%8`xcWF>c|n_seQVO-ihO&!E#WxC7CV{4|v4A zq?b+i%wF_W-kyD{CO;5hda*tb+s-t)bHphG_`n;_!g%t^bBY;KJ#8C9G;+yAmYyeZ zRnBvp5xQuJK@&&*iI>Gob1RTlWm!i6UbQ!iQ@v!tS|tTwvzrmPDmdUZOFNow12((K z%uOj+?NMMyN~~Mo;)zwVq(S>==Jk14#J|#=WQMLTkIS`wktyNhKAb_%UB^^pgiz%SADuOG|PLNq()1z++ zak{dY4g@A_%9YL#pjB(+@^M>KQt&3F%sLcLiz%S==n!1Vw2J41#x3QzxdN_$E8q&a z0>j|{7kRW%usK>LPvi=?0aG6vK(jargx`rhp#$xNYgEV_X4Oz!h)> zTme_W6>tSyfd`=gAF?@l^S_rJ?G$Z}mdO*j0?9G2$4I^`>;S3STZSld4FX4m*sgM1sdO>c79)k=qCGcnp52^6 zc&sOI5YGquMSE%9MKuCE$)$MaIyfj4X!os@$E z^=-+Otb=TBu7E4x3b+CfRDo&IdOFoh$5ON#H!qOAYRXr_Q))7H21fW zYZ_5XMlK&=CmCC)jF_tB*)3EEPp8FGvo7mkBo#KSXD7%ku-R`N zj7VWqPLhWZiwT=X5};LU(42#93KII8x@#_dMvaZ(P&b3)^m zat>yE2k=Q5Upw8)UiBirV&L>Lz8U!*t!v-*?$>k^+dKZ?fzw_6;Jgq@7gi$;y@=uM zc}+Cb9U3qWaRpv81?DN`pdXH1m*L~KEA{E{LdJ;c-aAc+UNil?yZ5QU({KJQd(j#{ z|N6z&I>cUzc(*dH0&E6RYjAS~j-yo~Q6pX!fDJ+<9qpKSP+_sK3d z|3+YU+^}v&&t89F$Xmh0IQR+NRo#TiwT<*$&3HZ#x0(A{+kbnuYBi6uOT!PjS+Tc zQ;K<{{h@a*3ZGFmw8A&{dR50bfM&LpR0J1I%$sL7CxCVL$Fz7#rsixE8|Y0P92CuD z5CCa8atSPG5RV(&Q2~SrR)0GqG@4fb4g`c;b^Eb|4 zl<||7&y5dXWSm{wX83W9`}gwvykpjvy|@Ddr)wbx$HzS)F!tcgkO)tR0T=YO@eMls-7i0Dn+nQy1FY*YQS zW1e?ycPtL(NaN40Kv9A7XZE)4FPslAhu`z`a`y3gbE?!-WKe^j8s4Rn_nL^{HL}k z@#lsMVch=c?`_Cvp_hOCy)CYP@+Y1a)`~2dlJPfw=5i2rZr3r!E-G8H5mPie#hDUN zEB35oeia}rXJQV3YL7yaH3WRgf;k1is^C~x(xHS@%|aDWS{1>SXD7%ku(5NU=sup3 z1#=33a*{lRSWMWgNIv@2-xd_lFZ?^h`R31<|DXGFfEw(V?C-+!HSpF?U60%E5J<0o zH#;$%?JCFSxcQQXIW`L8pT7-=6y;z)3K%$u4&|W56c8sv*xBaVtXh`r1epaki?lNv zo|*}O?X(%LB&0(A&2jAMxxD-2a`-dn=fk(33<095eS5gDg|lnh4D+-#HunX#u z>rbvgcLn15?bVqaa_}t%Keuu>SD=dmqQmg!9wRIrGR^F-E9EVin=5cY1%7mV!#NQ? z4NMlAOrHGm7sj`T*XSQ?t>v!byz{I z#(}HD-}u57*FSmhd18?-rZfIA2{&P}$GVPjXfGi%1QDNVliQ} zCV6G!_P^rq4nO_1VH3V^{^IQU3y@Wl|9xxR+MvH#oDNZ{7nV*9g(;ipnCtjCT4U_; zidu{mU{zbO2SQ*s6E^Ga)7oN-YJ`X+m*Sc0;Gkv#Ajy?=D4rHmKyx*VB!X+v63>F- zA;>JSSviE(785|_BzXw21rsarSH7UL`k#uezI z03-Did+3J~{l_;SPb?>VA^68lupPBW#+V}2ZT#z78?;X5h>`-ElJnvCo(lKdFc;i- z#~oozF|G%1e#qHE!8FsKQ{;AhsW+#Lw{I)JtoB!!s(wR;^D8|cJ{kw&HYBru^+Rvp zK!5Od$iTeW6}XE6LNIs8#VYBG@BGl9f9!Xj55Mp$7sKYt{z>wG`K@ht+o%8ZpBbLc zTy3Af<)$n4Sl2OL0W%wSZd%kH&3Eb%C`7D!X>Do8&4ou?!4}mBz*Ukpq`)`3guNtJ z)}eS>OaY}e7hK7-if4Lt4D7E?hS1t#0;rrM4+aLqV(USflpEhd1sA;-OORt+fdeVP=WpfW zd^c#4&a4fhV0Zo4vNdm>f(t(2tL3lsEhbTTwkq2? z_tzPOr$iZFvo6@1DhoXuziH|01uPZQuO_($<1&Ll*oodI?e zYQ;l-4N)l*|1uF+tv)PRTN^@l$B&izHy+t*PBuf0E zWqNmf69gg-lfc1(jfSF?`?lap*J131j>iAz)eq0#9Nsr<%l9!}7fes>9q~@qa82yQ z=it{|fxzwRoRQyVHekb>gGw9PQLey275G<&i|czMXcV63s-@2mm6-{f zSWn;}mdt+DeB6#_@a8J0DrNuyl+;}DHWR-k_(}Ud#tm!DE%wfnvt8em z{ou!1h#lh!G$>%h{?hJrR{^a34piIsF^Yk0rDI1^83V4jJH|TP71&bX>$d-t*Gz8P z->`>pXRn%GFPCoNKHA#P?q0tFFd@{J53j{8_M{ z()r}y5*_}&eV#Gov9}8P`J4N#{J#xzT|FQE((Zp?yOq=rUo!=S{xWrCv;}(%OlmJ* zy*21x{A>18H{Z4Y=l$r57iVYB1!vV>{Kf14iwu9npy40?iEpky9x$)p+Mxbr2;ceL zpA5pzx(-^Gm05$*+I$@W6e3paSx57f2%y?ytVY;bC0Rp&)l2{+xv~z$(_#uJt%~4E zrd2$X=7IfjnAo#&Ftc$`GXYRel7|qB37a*^XZCl8%l~2+{;z*|f_(TN0&1`~>;s+i zNPF|QH~c@Z{SH*N4ie66E2$dF+0t~?OA8k$Rt_JxMa=`Etxkr}+F}AIsk!2ruYn{4 zM8RHKv`9FA^{o%DQNI9Pli8=FW(&`C-p}v&`fXkt_w23!>$l34$I?RE`YqV)Ic*5E zj3(1_;z<*2-I}BuvlHL90#EJ5S+3V_uTQfyuHRbW%NJQ*PU`kzhHT%h=f;EXG{0~h zG^>*xM*%*6``=xsvSnP+L2kxYsRE78X~5o$k`O(q=j*$A%HwUWz-*Vt3XT$sUu5M%R%`U%CfN!}j zhd;h`B;O2Lyf{YetH0svw_Bq5Zi4~>#>VDywdu?Mkae)%a(;2~zO5@<*=NDdo{s;* z3_5T2YA<|82(S79*kAq~=P#J=zT|)@gRo=ibh-?bTdz?gO}IVQnTG&S#{8UaohR$Pi_u7iW38HWH!a%CNgr)pM( z0-CE?BoSPTmMDkfA;>JSSviE(su{TeDksT9h{c4>n&ele&yD}x&pxy-1~dQv^Pd2! zn2ou!vwz8c(d6gsSE#}M*)O%M-~Q&bejC}J9yofc2YUYfJZ zxJ3pbbGELeLkX#xea2J)rBxAJdG@=H+j8HO^y$jtWdfpPQ;C;<)Of@`fBXOOl?)Ab zF?{jEVffGG8v0VSA?DSOHGmxA3N$HT|6iu|njnDfzhc_gZwI@mzI1kdLkofM23vgA zoI9+WE3k(G*F(oX$H)u)H|(`Tc=@Q91?h0P08X#RmB3Gy{zcGT2C*!84|=2rW!olO z2)OMy!2a|)dH|;VD0=pdimtyu;YY5g8+?xOb}i*OoONT%5ysgJC&VI+)&C1n3dC$Hz#1bf3xDtdN zn5Ge>9RJ4OxjYlTB04&PHEy^Fh~?LL-lt`~WJqJxZl}HBvQQy>JMEkVN7eFu3&h4Q zCT4DksT9hGFzwZ&xHQ{@X7N z`qKLL&SxLm-yMF!@@)Mo8F{nz>)dtMU_ zm38eK`M*Ad@$&Py2BkERO^_X+?WB%$1zZ7Fz!h)>T!CXM zV3Pu7qCIDoyLG2$C9+#7?dZ@B-5vQ@=C?xE=?teh*khcLrq_4yR?WDifomF33Lj%# z*h$t=sEnAZ<=HJ%2v4WQQ?s;AmG0L_@e7-5T}g)$(qalIt%~5vvlC<%*zm?>-F-YY z69DBTc?hwXuxTU#S~N~rBiC`ptyn?nGlcAB!X{ZVj-sh~*C#H?1@xv44vJVJuEEz}9#JpYB!GaM(p06ueuo6-=3spdARRmX_oglNoCN4}V2QwQ7B@5;h0Ocfk z2(g&3sghic5TI47a^0jeE`@LIbu#wZ9mZ{$-Mj)KnHERYx{O=03Y%Tme_W6>tUiQ9uZ4 zT$E_yZ{#}8`YjbFSA5209CHeYWXU+H_Q=-33UVk~oX|R$P*3v2DW1hir=e<%Tt04# zN($bjlv#)3X)y(~II;5zx!_8sRXis#ZUdFLxdN_$E8q&a0rgx`rhp#qI@om=g{P!Iif7S1 z8miXF<>R)fq~J|TnRO_h7E?fr6Z@dnZ(F+P7+2ub6p&LZ$m?+h8WfNQj6+<36IDQ| zD?Qs;{R>gG3m>#|$wanFGCH=VcF%^}EjRz%G;!pgJ~dbV!!PDkV$0VxE5T5d7EJCj% z3S0eZGuM&cmwle={dA6n-XyzU=;q}5{(0e&2!PUOVG7SbefFessU{27JmHq->fO@V z6p(p#MuS;k)1z++@nXo$?-*k`5ZDz}{;)khwuio9oA>PT${qthVZjf3%n%yOX7P<& zq{CK8)^)5~V$d~3Y5VrAE-bh#aPHf}@v1~Q`(EU9T64vM$8yt z=&QUv`&LbUAOJW2do;br-212Yfj4S5p1ks$Vun=3-WZ~hOD3{7aZ!%bv4V^1G&|b? zkThNvFU_NPSL|6w0A95>i&MR1!CEB+V6&SMxGFf{HA_31ZUZ*E$;?eDSnW|@M@p<) z-x@}PrElu!Sg3DY0aw5ka0OfeSKu{NKKuqo&(^A}1`t5mx{?khq{S3aS{1>SXD7%ku<6k^g*aVVOa}rJHswnH<_q%! zX|ghxz!4#~s~lGzMH{q-i?gI21>2Fb*;!XEYcaD!pUVJ>?C8?pGr`$&DT<%-B<*wbXq($^L4OWXRsz1eqoc;T=C@3 z7ivcnb{a`yO!2vq%m40BR8jCIrOY}MPm3v_^ym;=$+U`xAhW>c0j%Frrkg9^3b+EU zfGgk%xB{+#E8q$oLIMAK*&$SH;@C8y9OMeP0(&YT#FbY*W(d%tvAEU9b)0oDv4YYo zKGP?K0HjOCQ8YDgpY>alP)MDWGV4%0RWmgdP+Aqil}xL62r>(7_FM-m_Dvy9R~FNO zz=Tb?(isA@Xm6Rfk?T0)_PG_5*TI}(k95g6iuTgHUB+!N35C>2DYFj6Q?>F};Rx}` zw2J5M&Am$5ON#H!qOAY5%Lx5JTk*j?jEWfhcc9|qse8%-1>x*$&Ouz`Qx0aXBxD!@pj#)7mOKgGqA?hg*_5zVLDrUb1oO;w z2eA$>03j_DdtN`#6fG=It|XtsWtDtSfM^U02efpsHqSD4?_| zf-BEXkXc|8>roD7HV%qr;Kt|1Ps*O}RL#ZT9_4I|dD)2D85TIFz05v0 zexKI0Z}-`;`_A2S%-nb1JpbcUfR{a)UIHIq@4QDv1$KJ-USv9uQ+DE!ah`TP_o@eK z9kM5)=RJR#qV8GQ-NSnJ?e5h*GzN_Yf7^A9QoKgb5Bz>R{Ms((N_PS8gzb6lJeK>d zpeRBy=7K#k3mi>aGD&RYnufTNab879#uh3gxM*a_vzt>0pVKmK3*L4p2UW9B1(a4raOK$vG7D^CJ<7q%#zDz~IR!vDNghHhCTto>fL5)M zt8LuAW9g3g`P=VVRq=dOI|`u7xP@5QB&Ezc6i%#dG&@3yZKR zC&@#ma46iO0`Gq%?)me{Dj+9Y*?kuF(S0WO+^)bL3dkNY9^wkzw*oTw4`bf|h zfGhBtC}8VgKEHh!=UOPUaZ#eFM~z%<>tL)i-DUlj@Z^fmxV~df0Wp`n)A}uuk8B<6 zmK^jjE(Hmh8EQX z&!gbJ)fI3BT!DitAO~l3!q-=U@pqucH)u!D;8=N%(3L$> zZ1#xpkP}egsrU@{1WtpOu#*B8zwYa|I|+7=U?F$oqC``fja;X=4))DIV8!pW;Nt6G z_q7h@<96$^ec%2|+sAGB&SN*Pzzre=O@*j|IddOw|Gp+Cv9Q0(aF{Qi02k_bT3XJHI3Z9aQaI4uMw3)T|l?7Mom zG&TiXo}JNP7TEOYn?k%8atxC|YfQ&Jc14vxY>$uap>NpcJ$t;e$G}fm@WUQ6gvPR2 zd?Od>uvL(?Ood@G=gIACihYYo3U%a&@6?e=wDh}VMeZZ7U6 zy==N?_M)%y_Uv0V`GEiolB!)+5&Hsk-@to~^MNe@S?F}gzAd=YbxieBsN1N34BsBkI3;ebz?~I{*Z7@@S_nc5$T6Ftc$`GXYRel7|qB z37bX|pjB(+I& zw+EqsP-S`LV}<~&S|itKtb=`7=+9g-<2J7E;Ha9f-wujM+yI50785|T<{U)Lm4lfp z2?2o#nV9cSD=w}NI(SCyGl z>=8?r@ICv_%XmI;6z!#XyNuglg$k)x1(Oa-I&^f^Hs!Cv5yJEAcOSRG$QzR6Ar$Dg zP~hqC6JJ;~_<<|n3b+C%tHAW)e>(L^$5ON#H!sw^YDyI|gnpOo!%Aw3^{ZIE_drB_cwFJgGx``4w4a41%R zh|X||gFWVjAmxC|Q`l+lZzI<P)?GE5Q_<$MiQV^YvgJlx6f81 zSt(-Duv*WJrmM;;MyWya0x5#>46Sq>O4nwNZ+xAz0^C@GvTwFho2flFyPFew`%lwu1Y>@Mh zPP$Sr&J|cHa4|d&)}qT+KYH{EJly&1FuY;kG|um#KYjjfpWk*Hso_w}0uhtD{A$w- z0a~?2uIU|c%U4}UZ?zA>{%M!4Fe(uE}ie9Z(v zT8^AU3289}l-68uCDSS%g3JP&^x74knhAh%l01Z1OxQG%04*AWv61UI<5sMo^ck97 z8UWms%=h2E`SC~NaKuqGHE*ABYZ3~nlTv0Kil=I(h5|~fBDj)i6%RpXfz6)dw%9j? zI9*vx2jbwy=f+RUp6^!8`}``&baJYZFRO?>0fB+j%k0X$PwU#Z`|Q{~Jx;DG{L)Dm>ct&ifoaluc;bB-WtYHk4c;`yXd`ZSSkHMv>nv#Zs@o!Z z_N}dgM~2d;Om3O}`~CKjo!V-59`5}%1pZoP|A+qmaM8AWJ7`soeE~i1Nsr}zD~O9w zjJaTs%mPP~mfWoxxuzkmWJF70CmCC)jF_U4CC_e7A)K^77KXE6e|PxWn=cwa$4F?G zb+BN>kZ0t`Ih2s9Szi@US{1>C9Qn~;&R~zsFu_x@U`_#0PLhWZiwT=X5};LU<5 z0Ln@75MtdH3S169aTd;oC!?kdj~}=KC$E5 z5~;Xs$rYcmmlh|)Qt~f`vvbyOhwr_3{@SjC-N?~v{T8`}4VveZ!aaeDR4H} z*Or8j9#+v_?Q5>Ug?@XSGo78uaTeZQbG`4}eT5-C+gVM$tI0lS=aPwRm1J~m&ETGm z<0(C-n>g}MpPDQG=E&P zv@wVbTc_t1TP=U3Z!w9&lkVkp2H`1R#v=4OqOjGkHgg^6ec9)^-cRRP=uNWwg>Fu+ z?_YlaM>$;RG=4^h*7RAxQ?$>XR4&zI!CK^u&Z~DzV^e_T+3BTOVAG>-3UOIV5ZDz} z@_Qm5+e3MC@}50j*<;`*EcjuM8A4;(EWVM8bl57%x{h^A47#Q$ZQs7tg$0)d&V5@r zUX>_k-;111Ypz)Em~JM>?QCjw=2neU-Oo->S(E1eji|55%@JjqV(A3IRUw z#W4@zUH1WL4SH5t!PYTiVOP zb{p)?;#4nLur|;RgUxP6;Huy-g{K`&w*i~oWag$6tR%>@BPG_YZ}G$`S<-cwqp71X zyf$WvY(9Ezdix+d8XjcTeT%*r_8YV(H?Qw2le3?e0>5VENI0#Uyqcr}LTi4yvY28d z32rwwa!q$AC47-&p4G;w&doeGw#-2US1r$Op+fjvGXKx}=H-_T^?9(&Jd%_(6998v z9K_CN9g3&L6i`|f!Iey_cnC5JY+^mi!OX@%%>+O>NghHhCTto>fL5)M>(s{WvkyPK z{ybPbAJvW;An-m#LCz0jF$I(^Sa2oNDjtH&0-LClaxk-TP%{Bg zPLhWZ>$atUo`2IG5Kz&0-ffMXx(g zP=V_i+Ru)a`Ks0lo}lfLrg`>u(`&k~^qZf%6npTN!|hZRkoo=LsaAN@y57)mX6v^y zyzRsGsKW1>OvZq5+qfvvl%bL9)YieC^8dX2-Qmsm)YoszYvzH0aL?;tLJf^$4QSOG zxlV1|KD+wDnf;!Qja&Q3ar63?uip;Jh`4@tP#qiB0O4teVG_W{%Y=QmEd|8(-#@c~ z_|)eKTeA4>sVfl1d%!RR4X0kJ*XIgE1@tRH#(nWsmZ*t8pS}Y6;UGge{W`tE2cp2S z{$4ixNx{$%(fzo%orhwkPI++BhA;&^wa8iS46Fbp!i6x7h}e>4i5 z+!>c-VzhrM?$^mA7Z#s>vTG;HlUIPKM3n?49>2ufPMo z-(Ghr@bsnY{n}P*fW7@a?@4~YO`MkLO?tv}Pfa^rNaN>k?S|Vpp_X2K3cZNo?RiZ! z)EycyO%MS^XE?>d9+`!?=KeNvO(ROlm|v+HhfuyUf~%Hiw@@K`E_wX??TfRI8b@xY zbuda2HgjDZa8HPJB^?T?#S~Cl6~UEfpKjIxRIEoinAtd}nE)s!$wP?6giRv}(5f|Z zwU1j{Q_Wpb)nWk5nyxA{r+_ere&J6&8N&bkbO`6a^JaYDEE)qzLFALHA>eB!09FO} z9=Dr(TBRU*X%^Vr^SGsigV_%sjOjo`_8IV2v+s|8>-V48d$Zr#81bqRw=?XD@!HGy zX5@Rcu6^6PUtEJHWz$`~v8L(NJyIzBS~3bdZbTJP5ry zi?4-l=Zs#Ot&ttUzvl+cF}5?qYhkddU8@B;Gfl z>>F5%3pK!9{EuaRD~Ld6IK{ypnFWsK{-(HsLcCflvbNc=`7K|`)KRDm)~ZcuKUw$E zLWS_#C4V8VH{mSWdXeikSK_0NMaIb~JSVxb4#m@A3TSmQ0XBKf{fJ~xhqKN!a6T{e8z_rdM?!5MD|U*7B8f&(Qe#(YuHfH zW4Yf7eMKla+6(=8BiA&gP%@(B=Kuamrj9~o1Q(4g`E?a@3gO9;aTHC= z+hrXr7%}Aex{?JeAyu6#K}+`2`26j%|9xlUblXYJE8PcQGXW5F3LMOG*qkHI~O4aP*7v9zxx+6b&RV1EVvaLja8d9jcEfRaG434 zm4h|cCR;DgCb<-{xsDcQ0hHv*IuuWfDWJKUMH0caXh~@vK&>1?Yl{h>a*{k`7y{uZ zV`}TS&rhZrFT@pa1zdrLqrmR>&U;_KeK_KJrQH;`S~1J2rP`n%*1rQKG`k&Mfz9D5 z9~fg8;{Te>h3mYR-btwSBZRIuE=n}11lKqJ z{Q32AMS8I$rZc3%$(qdOD__afakIL(Vk5X}=@p;pPA-JUdIASA3Hw#^b+DT=C{?v4 zzz9rgt|0Q~YkPTe7ZO;jHWj1+WE=#_!Kxr@yK(%@F=DirgYT9VuifkU6Y(%aJV&KVft`Kj< zUdH!hzZlshw%Cv8PHe;!?NjsSC!yw4!qeTyQ?gI2iU44$ro^>?lt}T3eHoInldUW5 zAW6;Ceh(m9@TQbDc7Y7}*=a3*rEf8b!jtahbq3)nU&bQzI-;=EuQqe>X3I?3ERw`` zVY9e#v?k;xxNM)7*ez5DPj(+i*}i{XxFiCx>9bH~HScFnDs?3b)+#T6t9MJ93y?fJ zC(10a>CrcZxH1}mm|amNzbEpsJ@gIRyl0PB_89mH3x3#RhR|3xi*Mv29kxocu4CO2 zgRUt`+qZ9ZVZmjAbKe$@S0&2X_adj$nkyDOrke?JJDXZvd5v?FbGzF4UR1N-D##Io zjHxh8<~+HbO|fq=NuiD$@txYIyXc)b4jL>6Wm}Tz^6-F1+)H}dbkFQXU*+xDw`%eO z0eG#sN7H-Ey?<&Sc%ydX$t%w(W=K`+jUgJjWFkw?lejA9neGT(w8Wr^Bmcz9;-$G2 z$W+<+5V>wSZcXh7DcYOGsa{MH;D`k)2UWA#jRHzbnRPVX25fe-tY(o!&6`OZy8xnF z-(nIa%c8P*G?=5QqcH4jvU7w7xB?GSfz;>09%M(n=!dGn)$a{Em;TZ}54N*ruW^9T z_xyBaF~w+-39kS1-*1}v8B*aS$>z&n$<%Q(&s|M^2(H?q3-c^#nj#6|$?jKq0oMqD!=y>-q~>Tc1+_S_^VKZ4^6Uhe1vc^Y zCOogtMl zE}zX``%0#cp6g&=7V^h>0taz@hyAMg`t8jbl&V@2U<4*LR}hQ_VJ8W}feD)=Lh&p} zXs8-o-`xH8(8YcaJzdAa$L&Y-VR}Ij_LJSmQ8gd8_cd;-r)D3(X7}Gi*Dk+@zEANU z^BOClbKh_F=BD%xxAtS01%~0v=l1V_*DpIf^Wxpbve!q}(WMM0JxK*_ z{Vi;}#)4}b=KHQdMuFW|t1?8(X6}`;Y-%{V`Ln|ky#5~1rQeSZroiT4OdW|rNABIJ z|B`)AKL1VZ<_feZAb^q!L5hbtpRfa@YRmwVTrUY-a7|y2tFz-z{>U=+)x3}jG=PgO z$^mTE8HY?jp4XLGTiTJk^}{lo+FqY^Fq2S7law;+P&`#L*%Z*?WT0c9RoEocDjtH& z0-M#z5L&Bd>kjfF~Z>b&Nqvli|Guh_861A{I1Jc($>qyK-AfD0 zLqBo_niaTyF=h6{qizv3z2w&eu3rzYantuPPA}lQ|HDKoo1_{K)TRgGS<~=B-OHM$ zSG|%OZ_mDUEW1d3-u*X@jl;3E8~)bZEGtZGX!YW5athWe~$4>)}VxOl?XdPrV*tashLikkA`@xRSViFuxTT~;!W8VS?kxMz4>)@bf z0wBqibts+|Q$TYyizI?;(X#4-0RpI%LuhR=0aQ+shYZ8$yWS>Az61TDdo)xn$)$uX zxNHDn%4T$Y_v@L?JOdiQt)!x{YOAz?5V*{QO|oPhReNOPb|dsSDTm@gO0&Rbbuxt3 z785|_BzXw2Wow%nEI9ZM;607sLqELnwbQ-qRWITz&S@{>o00F)y7q1FeoZ&ARs3{w zUA1pDK#N_OiS*-lM0no<00C@AxLr@k7a%T4DksT9h{c3WBMH!=F~S3QC_LWH%Ew$&zstP0ib9 z+*&TF#}hZ0gXQ$qozRS{fyc7n_Tn?1*^kqhDFBzXw22e;{;7!J<6H{tWWBkO@L z>yZ5rfpgl+_@C)}w61--$9{QgSHKl;1zdp^1*S=B3-mY`>6k{larc}jOjFcuwbzJy z_N|uN{jR{HQo!%G2RWTR?@5p4ek&-AP>i`?kIVu`la{QFG;&QtT*;VTlZgv0g~|vn z8d>t}<`lw{CF3ZXn77M1STJJ9^K~T)Rzj*~p$aIiir~t#6J!?H#M7f3%xoN#ESOUO zl#}Ek#A3pxkpyVf8oAoXt$+SDAbK1p?B_McTQdbPkF;&Bjo{jDnbRtsyN_E~giSd~ z9zv{}E8q&a0Tj-))P30N!YKNkK0>ku&S5=1W;0Q#gji@sO{zoxB{+# zE8q&a0S*V1bF@sJ$Q5t}Tme_$fhZu<*|;dt)TKr)U%xG?DR`4oW*v&B z#S~C_wMlR#(<&Z<%mSOZUZ@<*Y#h`~0F;yDA;e54xzQh1W-9i9zv{}E8q&a0cZkP zI@TAVKgqLzqio+lFI*CV*z{Q_vzqs_CzZOA1#6WTz}35@u_-|E?3_Wfz@|sv6ynt| z3ADy^>|<9{$?u7LY!Bu4%HFfbD|-z5gatqBF+*r9o5eSBkq%oWS=X^{i9y#CrS03d zy0GA~z`1V=$EyZY0VW29@EVPxt&d|uDr%M%DG+bd@rh5a24c;LB>=VCUc(L z&ZgM6n50lgj`&XP(_QpV90v`SgR(8jba{BdBkm==Y`SOmqObDy>{~VYfdJh6@6q%g zbMK$p2i~aNc=F0~iWyQBdt-=3E}6*U#6>wy#|kd4)5YxclGd8>vItNCuGq7V0K95% z7N>g2g0)Htz-Biia8+=?YnFC2-3DxSGfx(E#kFopYTm7H4WogY-CO}zz!h)>Tme_W z704?fxKh)VZz`U}M2d#0rMNP)HRDqF7D?9gsa4AyL~zye>=r77CridrwfxjM5SyN@ zRap%nfUtSy0aqZYK-1q-lab!&3iMJyATBOSoX-%TRV#80 zLVSeSu5vuDqC`iaH7>NQKb4@ko8SE9*^Nb@N~gtBGhe^Gbp~sK;TJYZ%@t4nd~M%B z1!yA)(5f|Zu_$Y%Y$}!d`Yj^Om$B{VH3nHT1(4QUaOK$vG7D^CJ<7q%#zD;lKsiYs zLaduB;0m|`u7E4x3b+EUfGgk%99seZd)d(x-yAKICvpW|O9g~pH!ez41!Bdjk!yP$ z%)aJg5Xh6UcUixMbzY-cv6~Y>CM}+lsX6G{>7~9GeU-Oo->S(S;0in@1^j+{kh9tIp5*u2#3^D4;H0eQg(SUt8hR1K z+w+=es5>-Znjiv-&Txu@Ju(Y(&HZiUnnsk8F~3qZE?DL(Be-gLb_*54lO^M*T97Zg+cz<8L3$o1IJY^5 zwkHgOGSZepo+z8U#~GPJg%>x%X5 z(bO#*)8hQ(rz7tPN3ug}bHopmU?3O>27-ZLAQ%V+4rAcV>)U>~dDr5wDxB8jv^&@= z9qe&{!*f~R5^8Smd=wLycEyS1-v_gImh;C^+c~K_KGXh=_z`^7l%eQK?B8w}Bo&Uk zjEMokNadWNDOjorEFgq~YQfM{AZTa`2BQ<}6yvs;%cLYZRwW>8lE(?gEepz+aVr#( zfa_G63WlaD!Un@gXN90@_n;UgSmDKPT|P8TY1YPY)icZCn&8JO@wxGq$l5MhSHunm z&dxy0w3N*s+~yeCo-ho`NLylU394mF3CqwtecS?taA*gyP2d|6 z3Ahrp7A%uey1g2eaV#T;^GD*;?RGJEg#v=@)GSaFb z1Xb0t8lGa1V6{>ZT0KHAY6r1R;2RPQ1Ovf9Fc1s`1HnL(0ftsz?W~qCO&}X z6<0lBP}vjysaU{JOL*I&BRe62+8GQ@Pdc^bAPgM6%3TD)lbuH8y7Oop`Im02GrceS zi&g#H$AoIs@*8E&s=wW-o!|Y}=q~d2Kad+i#LP=oFkHHF|8;9@68vp+j0TZ}gFeEb zX-cy;hHEwCR-dWL;P=Q9zbEoXc`*J^^o=|o-fc^5-KclEVWgNhZbbfy|Z{)W95XWa0S3R+4l0XIkq56J4=68*;H5!I zHHJxvW1Vc9wdEjHYlQ2%|OeaOF!MMIW;T7hRQ8Le&qu{%^BWvphKas)w zVtpdCgJJk!k85ym=NjWoFc1s`1HnKra6=5F8_M;Wq)Mp6UanM23|GlctTXN3O3GCUWz9UV1qn5&DZ{NR zdFgy-2gxS;Ji4f2KrmACG8GJsM;JzW!UUBXmZ6zBNjTS6qR{k&V9*X?o4^-BI5%Cy`?FC~;=wKii2nK?IVBqQ)$bYxIy2K)(4g&;zd*`E=z_cq)EZ;sD zKIFXroWg2bshbQ8^c|nw4!|A3LQNTpuH=2NMv%2h7gY=hMrK~Bg5ly3hLO$+L1m*~ z(LR_X(_(_6y3($i;Ga$8lyR+dm`CZzal0YJ&-o-=l(4wy$$C(#SPYy}We*g3!a>C{ z6%36>7)B+VDhNSUwPZRN8aRn0999ZKt49b%?I5-Zd_#hPU?3Q<7)X{P+Jk}1GJuyo zQ|7h2sSiak5DWwZ*TF#iz3goLusvI(2owx_lnfB6c5X^^3Bp3oiRIhBo&GKW(}dWo ztrs*lg+#0G_y9|Ua8Of*qA4ueG5cVW4k#};~xJ(FE zC3#(ut7zhS}fnXpQ2nMc%f#y%(}_IK;7|~wsAyBuuxNmqASmAAFM$Kg9}h8l5n{2J{Tbfwc{9? zs?*WX6bwcu)_KM)NkUZ#)!ivd80aZO(G(W#m~kr<5<;s~nhJ)dE5ZiDNN0thQo}Md za1u#4964^AwK0J8%yKwboLum^@vD-z1GD)s3Oixoj1u|W_$6g%ZI>)8Vh01kKrj#t zI2f20tq#jGnb5#EJPdo}I$>U-4$Hj>#!I22s@x zk-onJ)23jv!ihBxaRy#z=flnn&P{=()4>8ub`HaeU{5)OG|ig8iUaM6+^N`gTfnXpQ2nK?IU?3O>27-ZLAQ%V+ zf`MQl7&wLjf|GMo!iDd|^6!H+c9vZUsXIQ?{*Jg4Ak>th=*p1&TSO%s%)C?u!^I;E zBb^n3%0|DUeK1F+#RNrlrCl|_Kby!Y<67siY*^ac_;BuujV`VP_nc3{MG1?Gp6KKD zGjU6B%~*_!k_Rq&LNGA%QWXprk1&i%HWd~@Rkf^25Q7A(m4eXf5rR=Wh;0JjkRc4D zA*cZw39POOh$ z|27TTD{A+br;8Xyx4sWXD8f0`VA>TY)<-aI$Lw*U18k1F-6IAJqgx-hs39a62nK?I zU?3O>27&>V0Y=%wRhW+#>QRyEd=r6Es z)Sc$Nq@HlvE#Z;L&fPvz`$u%e(e5uBb*JH<%CnX5cEhl;(Lp6-(LImQc6$5Rsm;o4 z@qfEJY{HYBMpeSjqjBV4y0QAhCYSJ}&x8G?)eBMyp&GS(DBAM3yT&b%;G0WeTofvC z(Uk9h(8)_pn46ecdH;25Y!b|Dbd(^Ha2Qb=1Gw;{<^c=HJ+$~ekw40VU&`Sdc|6Et zgP*X#4|^07G`2c&``B4L(C107UChSiokmJvm-au*%?kYM137~mVqHBt=*f`MS*<{2PVU@upyC5Ee5 zC)SzvZzbibgtBIy*Mfwa)RdvFBxqGCO$9^a5r&aIItVH?EJJfe;}+?J1Ovf9 zFc1s`1Dj3!U*y?F!S-yCB2X|833z^+hmfURjD);42?$^Mpeg_zs3+$YFLIQ_HV&L zNH7o#1Ovf9Fc1s`1HnKr@DVZ)e=j>*r*F>|DFOupA0-2Xs@pps#RR5J!G4Mp>pc5l zBnjy|KKMMR2;rcn3`J8|v|~PhEBO#Yn|Y}UhD%q34Th1<3PEL~!$~CJkUtR1xF~42 zXo`Rn4BA0#6Zk?12PX(joASA6oml4?w{In(!s#kAQIb6Ll%Z(K2hk20w@DHugkB|l zf`3bFe-(}$mXXlY$8Ec|5`+-VEFa2{U?3O>27-YbWMF>rzn;u#U@ANe8!wQfWPYh1 z1>eOTSz9-F7z6{)iGi4JPtuzs=cJf#l`fh$mlVx~nnvD8G^(aF3K+N}?+HiV$zkI% zAy}apba9f0u`%ba*NHWcC`Lqkr)t{xu``2nS8Q}~CAh08L(!EX`(TJlIGA~<3WkeE z7)ClP1eJ|GPu2-mNABN>C&9fP#5RF1gm7?zz_cq)EdRKD6XO=7=W&8_n`3Bu!Z1+B z?Utaj(XVLSBGHoxz61EG1YbKnN*+c5-(%p65_~iA1!ZV$N7ohW+oP#lIHtw<$xlb# z6OLqu*5-&GCc!{3Ff;I0WkPRFs;#ThoN`X|a>1@FuF>ejH70dqIepj8m)q)wHkrEl zy`ri2DpofJetRh-7zhS}fj$HB(YHxxevYSL;5HceJb&`?fOMa}SmXnuxlAcr?H2?u zroXpL?N3iQ!^iVw8Cbj{S}obSneE3$*VHheUHj94R`~P&`J$co=Zn*Q zT1~#uSN;cbJ^8I#etT3x@7H5?fg``{bcB4-e>w7%e?;tX=`fK0N2FtBslT=qRr^2Y ztM&Z;Syq+jwM|jkC#n3miDJXY4X@wq7aI!b)I^pd+Mg=}(dB3BSw35&2owwi10Np) z&DHMFbflv_!!a1}FmTiwxeNTn_X1t~FsK+v)fFy|d!Mp`aW<6h9y1H(ELJ-cS%GRP zU&Qn6FtD0^PBnmhf1od8rn+6;fsU!-PU1Nj2nJ5e!0Y^>kWcc$??u{0NYmG3 z$~jEQLu0|cQxJyJYF-+oa?oUDLN~leGfY28@a<@!Q^RSuDv*PfC;a)he zu%?S$_avOloUxS5xnA3WZ+I6I)1rhjLC!;Nck?JS)&36VU5Yt58LA6Oi}U_z=C@!? zF4fRjf@H;_4f%^qcU4B4)TKkGt3ih;>_OP2gs*6GfA`h)t{SQYtYYE;u7*Z&Smu>k zsYhTj?VVKFWUR?)H`y@GG1)BzP9l$Ln@8Ykm`}FmVV+nktWAEI1=emfKMn`HpKZ3~ zefod@9`{TBmmDqH9sTMLOMQ~Nal5-8Hq#*gSMfpv!;0ilHQ%R-fd-x} zrdKGM8w%*sWrfjhC}9DrCT2&mUH7u-R%Zva0 z>Yl$B?#ncA@|kp+8R{uTX==?3N6+2UJ+IA0cxh-w-0bf8X=VVo?{tC}twP~z{ Date: Wed, 5 Apr 2023 14:34:11 +0100 Subject: [PATCH 2/5] [LSD] added generic version to ALUDemo (pratica05 - part5) --- .../lsd/pratica03/ALUDemo/ALUDemo.bdf | 90 ++++++++++-------- 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf | 71 ++++++++++++++ 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd | 36 +++++++ .../ALUDemo/output_files/ALUDemo.sof | Bin 3541723 -> 3541723 bytes 4 files changed, 155 insertions(+), 42 deletions(-) create mode 100644 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf create mode 100644 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf index 8789a97..c84eb4a 100644 --- a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf +++ b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf @@ -20,28 +20,11 @@ refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. */ (header "graphic" (version "1.4")) -(pin - (input) - (rect 272 144 440 160) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "SW[7..4]" (rect 5 0 47 11)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 208 160 272 176)) -) (pin (input) (rect 272 160 440 176) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "SW[3..0]" (rect 5 0 48 13)(font "Intel Clear" )) + (text "SW[5..0]" (rect 5 0 48 13)(font "Intel Clear" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -54,11 +37,28 @@ https://fpgasoftware.intel.com/eula. (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 208 176 272 192)) ) +(pin + (input) + (rect 272 144 440 160) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[11..6]" (rect 5 0 54 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 208 160 272 176)) +) (pin (input) (rect 272 176 440 192) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "SW[10..8]" (rect 5 0 54 11)(font "Arial" )) + (text "SW[14..12]" (rect 5 0 61 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -73,9 +73,9 @@ https://fpgasoftware.intel.com/eula. ) (pin (output) - (rect 616 144 792 160) + (rect 624 160 800 176) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "LEDR[3..0]" (rect 90 0 144 11)(font "Arial" )) + (text "LEDR[11..6]" (rect 90 0 150 13)(font "Intel Clear" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -86,13 +86,13 @@ https://fpgasoftware.intel.com/eula. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 792 160 856 176)) + (annotation_block (location)(rect 800 176 856 192)) ) (pin (output) - (rect 616 160 792 176) + (rect 624 144 800 160) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "LEDR[7..4]" (rect 90 0 143 13)(font "Intel Clear" )) + (text "LEDR[5..0]" (rect 90 0 144 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -103,24 +103,24 @@ https://fpgasoftware.intel.com/eula. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 792 176 848 192)) + (annotation_block (location)(rect 800 160 864 176)) ) (symbol - (rect 448 120 608 232) - (text "ALU4" (rect 5 0 34 11)(font "Arial" )) + (rect 448 120 616 232) + (text "ALUN" (rect 5 0 34 11)(font "Arial" )) (text "inst" (rect 8 96 26 107)(font "Arial" )) (port (pt 0 32) (input) - (text "a[3..0]" (rect 0 0 30 11)(font "Arial" )) - (text "a[3..0]" (rect 21 27 51 38)(font "Arial" )) + (text "a[n-1..0]" (rect 0 0 41 11)(font "Arial" )) + (text "a[n-1..0]" (rect 21 27 62 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 48) (input) - (text "b[3..0]" (rect 0 0 30 11)(font "Arial" )) - (text "b[3..0]" (rect 21 43 51 54)(font "Arial" )) + (text "b[n-1..0]" (rect 0 0 41 11)(font "Arial" )) + (text "b[n-1..0]" (rect 21 43 62 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port @@ -131,22 +131,28 @@ https://fpgasoftware.intel.com/eula. (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port - (pt 160 32) + (pt 168 32) (output) - (text "r[3..0]" (rect 0 0 28 11)(font "Arial" )) - (text "r[3..0]" (rect 116 27 144 38)(font "Arial" )) - (line (pt 160 32)(pt 144 32)(line_width 3)) + (text "r[n-1..0]" (rect 0 0 38 11)(font "Arial" )) + (text "r[n-1..0]" (rect 115 27 153 38)(font "Arial" )) + (line (pt 168 32)(pt 152 32)(line_width 3)) ) (port - (pt 160 48) + (pt 168 48) (output) - (text "m[3..0]" (rect 0 0 34 11)(font "Arial" )) - (text "m[3..0]" (rect 111 43 145 54)(font "Arial" )) - (line (pt 160 48)(pt 144 48)(line_width 3)) + (text "m[n-1..0]" (rect 0 0 43 11)(font "Arial" )) + (text "m[n-1..0]" (rect 111 43 154 54)(font "Arial" )) + (line (pt 168 48)(pt 152 48)(line_width 3)) ) + (parameter + "N" + "6" + "" + (type "PARAMETER_SIGNED_DEC") ) (drawing - (rectangle (rect 16 16 144 96)) + (rectangle (rect 16 16 152 96)) ) + (annotation_block (parameter)(rect 616 88 787 118)) ) (connector (pt 448 152) @@ -164,12 +170,12 @@ https://fpgasoftware.intel.com/eula. (bus) ) (connector - (pt 608 152) (pt 616 152) + (pt 624 152) (bus) ) (connector - (pt 608 168) (pt 616 168) + (pt 624 168) (bus) ) diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf new file mode 100644 index 0000000..1bf1ca4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf @@ -0,0 +1,71 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 184 128) + (text "ALUN" (rect 5 0 33 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "a[n-1..0]" (rect 0 0 30 12)(font "Arial" )) + (text "a[n-1..0]" (rect 21 27 51 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "b[n-1..0]" (rect 0 0 30 12)(font "Arial" )) + (text "b[n-1..0]" (rect 21 43 51 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "op[2..0]" (rect 0 0 29 12)(font "Arial" )) + (text "op[2..0]" (rect 21 59 50 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 168 32) + (output) + (text "r[n-1..0]" (rect 0 0 29 12)(font "Arial" )) + (text "r[n-1..0]" (rect 118 27 147 39)(font "Arial" )) + (line (pt 168 32)(pt 152 32)(line_width 3)) + ) + (port + (pt 168 48) + (output) + (text "m[n-1..0]" (rect 0 0 34 12)(font "Arial" )) + (text "m[n-1..0]" (rect 113 43 147 55)(font "Arial" )) + (line (pt 168 48)(pt 152 48)(line_width 3)) + ) + (parameter + "N" + "8" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 152 96)(line_width 1)) + ) + (annotation_block (parameter)(rect 184 -64 284 16)) +) diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd new file mode 100644 index 0000000..8f0ad4a --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd @@ -0,0 +1,36 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity ALUN is + generic (N : positive := 8); + port + ( + a,b : in std_logic_vector((N-1) downto 0); + op : in std_logic_vector(2 downto 0); + r, m : out std_logic_vector((N-1) downto 0) + ); +end ALUN; + +architecture Behavioral of ALUN is + signal s_a, s_b, s_r : unsigned((N-1) downto 0); + signal s_m : unsigned(((N*2)-1) downto 0); +begin + s_a <= unsigned(a); + s_b <= unsigned(b); + + s_m <= s_a * s_b; + + with op select + s_r <= s_a + s_b when "000", + s_a - s_b when "001", + s_m((N-1) downto 0) when "010", + s_a / s_b when "011", + s_a rem s_b when "100", + s_a and s_b when "101", + s_a or s_b when "110", + s_a xor s_b when "111"; + + r <= std_logic_vector(s_r); + m <= std_logic_vector(s_m(((N*2)-1) downto N)) when (op = "010") else (others => '0'); +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/output_files/ALUDemo.sof b/1ano/2semestre/lsd/pratica03/ALUDemo/output_files/ALUDemo.sof index 446fe14a3d371c3fbe358492ea0ce93463326963..4c36467134cf34215603e8c642948c3a3b009bbf 100644 GIT binary patch delta 15447 zcmdU033yf2wcdN*=_I)~IXB}?AmQ93WP}V10cG$6Ap`{t%IH9YV2f3&)T({2^?5>3 zuqq84@$f`6icDxdoPwa-0wNNyrhe8c{d zd#1hDS$nO2t-bcz`@FjG75*yzyZgk9?)6Vj^qjtO^rIhhPDhiAt2i@Piu0}fkH&{9 zIin(&&Qv;3@iK#HbigxVFs53~e;*&QjN{|IX4(FFQ}=j>Q$1OWPGq6x1}ZbJ)p@rV zYaKp5KFfUAALxD1Q|L2q^eW+43!&dwY-OEf;ulpP(vu znlH=)GkvzPD%32@tjP<_VNZ+@hOpLMCaxsnx%AUQuf0gtcF*3HFU*mb)|_zd9Lb5P z?QbS}y+(8x=N)F37>@TWqgo5x_k-1rt9efLH+vwyRUFcoPLc|m--?#Sx_FeIbYIqh} zf`jYEQpM|h=^kI46}~Lw_F=gI6Laiez3*G+H{YwON(G4oGJdjCh4w;`Yz{=vb|gR& zy3)hF_R1>%{9N<3nWdk@^dhtDAK&Ad*Z@Wzv@btXd7%hr9Yi?fu_rwk9aeBs1m9^Q z5$sGd)fBbkML=}u`ya~U_^kQ z=kW71J}??4aLH8r*xjBpLp;-5+CJR8d~&%IFRua63+&LAjK$>%%tAo73oGB#GQ3yY zKQdkKEP(b`+_0hx`84}@k!Nq%?p^MKdK6NREy2^cW?`-0URWD=vM$DB&e1;2wx%t5e#xr<1 z^WKe>X6WqUQtGeV?Kw0${t9TeKsYMDa(X_A{WDZT_rU7GRdQCu@0nbl~|yxUV-!F~4nhOD2o z@NUFA^=@A-^g8b73$W#At}hR^nlv2d_(V0g-~Dai?~3C|p7MeF$%CQdF-39-^}L4A zYY2RJi_JYEY<5NqZJ=WJ7>bK-AcHSd;`X4|Jhd)ud>*Oo{n)$t4(??E zQ-_spxgH1_bi_IV4s396-81RrZSS7wU+FnX$$l+t|4U;*Mpk-xlD0A4*y}3-|0K8% z2I&O6_QR+7_jBvnsr-Fu)_}ey28TYV+#<|FZyBgOUf93dJEFnwTEb86yfAcvW2t7( z)x=<9>1%V;b8`C0IN`gmd?UPB{esbOk#6mo%0D_efgJuuA`(iNjO||_E_XxUcwlJ^ zj7Rz#h$qi_?k-UwdBM+zLnsI1){fF4ahxuse55Ub?UDDSgXI_CsjnZN9;S(yj?O+~ zkUojdPANPw==68ea1qBQ@fv&IH1A{FzUN0HPH)VmOh9Uc=!~6D%?q5+*m)|V=$@vS zF-{b6$PArMdBruEc9}oAdhOh+W(KPr(!e#Yi zH(qC4UQ(Sy+QnkcU56s}U5APfrPV;H&qyUYV7hi9%F)j&8PsW{lDBc$>crWQ=V}?G z=B1iPR??KbU*jp=k;gJqj0ZB>e0NDd6=Vq8|9VMXF(QdnDLbLHlDDDFzXtgQ{13Nh zjVS%+(sVerFyQ~7-;C1!^h5tm;^cz>geVy@dZh5`QKnn5gE#yRBY zGxHs<|2UV)$${}tC?EN-=$(`7J2Y`*Q932tc_02mQ5|@F_A5x++~*a#8$nzgmpUNc z;~iS+lu}Kk%KjrMoeb|&ZeBILA*O&O|6__+rS_X#+CTf2Z%aP+S(q`7wyQ#ct~STX zn}MxQaxFfx-q&dte(2wKaB#iFdisTg4}Ap?_n_mWlY~^W(}5t>AA&iD%>k}3ZT>O+ zSXH)&(laH|p`dZxlO`#Z&NBa3FmfW<3JhHTP&oe0$dD0F^2}~ACS^4J9XdyF>Vwku z$^VBC^Z(G9xL*B~&Cb^q*tb4hJ^YRIaGSSup7XN|FRdWz zNI`Qe{JJPiNu1JR%DsUNZ5gI-!eVNPmAknD-aNy~p3E1!iNFd;wC}wdPXpF0>fJTF zSG{P-RrBCmb>txeR+a7fu6?A%{~lKr18=3&x6tT>Tdn8vnh?iY=m2&Cywch=j>}B0 zowXy-@BHGoGb|X3md^_f+IpWq9!!xh1*4@^lVH=xXA$*+Oox^ZO93fV_{j z)>&_Rd8AMmNoxyCN*2F}Bxurxm9iayOXcF@IVYI;?U&f2A+$OoGK3Xg%10tYof?<9O70g}WH%it_jnxdo|?&zx&om}{Pek-d3q9iqw)LQo2YMu;6J$79Ewci7c)B+LPt?Leb!uLn_8yXGiZp zNtzDCao0G^1uJUoOEv0#^XY4Wz9j3$+TFOkqDmc%62}=aj-^TET6~|Vcjf<>LGV357O3BRDJWTPkDGEROdleR6Oc)7J=Ip%HK4mKZPgv7ihA_-FNwzI_?QguR;x~ z!fILtQ=&xIq&2F}G|P**OxZ3p<-o3KJ?wGl`jseFjiTk`WR)td1w{6AF}B^u4C=eG ziSs@BVy@xbEt(bnXnZpSF(x$?*xNB@3eVP64HbnS3_}cX9;2&tJqwiRcD&4EeWdw# z2`fxeg|@3iP^Gq1+QLod%7z-!k-t_lG@V2%^^C`=X5bw-NtALneQ$4gU0Zx@J}bDE zp5`(y3t|rpbT|_JJ~NZ3d8v!-*6X)>BOb$}oA())4DEO?2}kfg75_Rl1&T>{b%>M$ zrC>^z_hLVNUeZXc^d4zFLb;sF)m0VhBb~Tw>=A5KhmR(cukV1QPaTIT!d;_5f;V?}mEVr8!`xdLnAG zMa@jpJ3Izob-Lkoq0esHIW1SiQzB?AZWTJ{8n~2wrd=1s{s<(%X50I`;!g%f5U_MBqHi1nq%3%B@9#4 ziEpO_nPI)X$@3yAn>=XHO`&_4SMh*EBQWPL)1`BzCny^J4gAN7vC5wNYq3y-2x0lG zPh*0K`_1T#rjgV`B~z0v-0B4W#5rSqW^+a{*$M5>dWeUWzj%bvi5lV)Lueg_3Y^x~ z8GTK-wd;1)p=EpH&1-b0SI&*Ttf=nOA-L0J3Q+C-B*1h+(3_ufro7Vtsa2DoV~az0 z?VBFK>XwjAIK45ZN134!C91ON8pf4X5zkuuMybmhnOq-w0&6Rtq8fDEf z*z5MEtX#Hj%Gx+Fc7Gm7dMO?OIIj8NzQ}mNf*A>5v|mhDN?gJ#-aiy&3Net&@6PZwR#lv!dL{9bfR?D zPAy3p5#k92RrJWUUc)?gRxFA>!kb0b4+6}%qZq1q7SYuGbyJ#%RQnCaDji3*;7d`K zhoeCkSKPc-*XV?*(4d84&<}x9!+(>xtG$56MyUAETV=sF=PJ4Z+H9;)Og^C@a==4P zQI0wX=eH-)`?cr{VPV@*xllFholTw=pMjd16}^^6y(->Bkb{k6yKbS31Ut!1Xd^L= zRfwS6N-=_B!w%%i)LEtG(OH4)h~!N&tuUi^-^QD0+^13eXX`zt;G#EY^WH#G5=eED zKpQo2Cl3K&&NM}^d|`EU`VeN*9>j0VS5+f!H3<;&4=lw=ZC zXJiqob^P^M2X6Nk!$^AXE6k-i-E>tcKikU=kaTtf&&z4uw7#kjxRqrhY$aZjv4NXm zTf3G!*a&wwVcd9VDzrHs(mPmJ4l-4BM0G{81CGw~K$DEdz(2bp!g@41p(-`4y45$1 zkD!6MMxntV(K=Jo_N7>L=+nC$`>dv zV6$B81&JMc*16r>7hs)FLpfv3V^#H|h#A(`#9PS;=uKA;yRq`fqJ(46dxj~k_R6OM z+gwm&@h&nH+r6%!Z6g>-;Kc)8Mlqa5p-m+Xql|;Be3RrBFVfwYkZgpxvT!T_S0!>Z z9r$adOm+>-UB?JFDq=vDisEIOg8;_>3?sMq>gaNjGZ~aRAzI^IykVZ7bXhBPxeL3x zl6BeF>1UezS|iHBU2&w#O}8as<0W{4he(Rk;TCHfrjbVLiSO-jgwl~iqnfMH;BCcB zmlUar(#rItSu@$YS_{`d8#bxE7T}pbY^0%CBE(n$i0Wv>wk_+4EAYiVSE4H2C3?3U zPp7~zQ=U^)*4b9hy4EU8`zDQAbv4kSCZx8Sf(~IK!#aHA-eoaX_lVUxX>f_~8-94v zCUDbSPN*k|%0fNUSzm&J!3-a1`Ae>ro8|UMvty2Lcn3A1riS$CbmbI->C|SS$kuhb zLsZctUB-dh{u2214C(q2)gRg9`7JcRmS=Y}fqM9-gJxb`*j%b0%wwxb7*yz}_Rv!* znz?>U9YL;HFyN}?QDQU!&6yBITr)(qn${1Q?{a2 zsH(#byY`)eXJkdLZ9%^AV9y`;-nG4w1Fd%UQ+)Z=2I!19S*!wj!$ zma_)4?afl*8Dl;_vjEAanF7ukR!1`c-fFGS_7u2DC%;*9P65q0&l@_dzC=20#c*C8 zgxhKwX+$-;0>ZZ!W(c38TRq6Et>jvG! zzgu*fSCdyY48vL2;Iq#vNmlbD|_izWM6;UgtLb8g=D5cjlokD(dZDt`r|} zZ=dQss3i$1C6ringr_B%g8&S7-~2|Zqf=_=Q;x(^cwIxa4sn1q6}?p+oFBd2aPG>> zRaW$)?5f@~DuXnV-)v*k_T?O@mEU=6P`p&oUaO-`C%MnZqp?KhH+ zT!`Q%HAPgWf}7;EBxIiCqEK;`V-OIg(9D(dM`JKEyk=*6adxgoeWP9i^2wQ3H_Ig-*_jsc9k&P;xuIA{_ZrLbi^36SA39RQUA} zf=Op@amd!!`j_Pnxs3El0cIl~KQ9{H%wAjy9n@~80$pDy(k1m)rtb3Zh;eyS?6U=c zASs7S9hDdvMGJ>bc^ZeU#-jp;%1R=?cp|!>uNSHl+omC=qR*ReR(xKK{K&D^D!a`R zUnQU)yd(6OyG>AL_C-8}6hwYUZqAtG>PxZl-P2A=d$!AM@1xuY^d-m*ac#+Ogs{&Q z8yNm6!-#qC1oGmamY|V@@QG%#C&U*e(9+5C#%3&Oc1{h<^kxzUTpS zKcWr{_=;xWXEI5q~G$QMc}{xo$t{}k+U`+VCxBfrB$I_8}$ zZ7Fut#J&va-L&;3o{(O~hBlvh?fi=%zkWOLpZw@3D-UN95baH; zyyG>_mis|94B2KV$sS?KfE{*mr%3KGj-tXmC+}eHoE60;l3K3!HgBCQV#2}uZcHl? zkhnGe>6T+e>zvO#Af1QepOwi^vOXJY#L;8mc=6Q4R=h;tF(3@~-Q<+*scJi+M$X5Um)>EG=4?X;z(1xnMF0wvIaa$HvzE$X6+dZa#9-4%EL|0Ye-lGFlcJ@%YT zlDYT(_kVuhe1rhWNbc2DZX2jU18bs1gn+F)_PWGcO5KG zv#&T`b4rXDZDkc%_JW_v73)VtWV^LVdW(C8 zkDu(YMx_+s*IXWur3;zWS)y6P%QVWYRVN?I>t_|GG8-nIW_K(cH{V)P`C@2EH0hZP)sfAoEOY-lkBZ8E83H#bSsxS~0$gxo37iDhU&7Q@ZYutE94==}ru z&V$|Fdnk?#x89yKg&Mpn)xDVEj!(1I)oxx|;(wk|iG%DKVd0tRfOHDxJu6hQGshI) zg7b@+x*Sb2Ohy!*_JH<^?MRcp6<$~sbxyIQ zkmYu_dy-$c8RO))A<7GI50k^EQ|anzhg&9)e8gxs&_M_Kh)9i!%Ji@~Ch_^E8-$Hi zQK>{CQFslk7-qMhN7L=fT$&G2m94WDtfz`Kbykj6^KFVk_KzfHa2+@bqbPfEa}!Q@&Msdl?lYJ_gV>OSRkFlG} zyoyYObEbpGGtin%%;^J%OO#*f_dm^GKc@@}>rhZE_ZBdRObnj^fhY!jUDl|X3sGPJ z^LTbU45Pi28N|UbtJ*PLqUO!+rhC;*l9YelIi?tt~m+Ct?#RLm$vH>NLV$G7@f0m zRX@G=YTr~7vbC0w&W7|jwY9m~B-rFM2+5_98pE7AM)HuAeDkuQoJq2Nnw@`+zXUD1 zj-rPh)(abILRYluX=**_6UO|%8Fhk+MO;7$A3_Rx&@`Rd`OPJjxcVYQ8&zG<%*Z1W ztUEWM9pMfqArn1>wB{tR1N>iANXT*UYHe`G8KSm>Cxf@KfRW1~Y()ZVZjofjuq)@* z#L2HFS1 zlM`w04Sx!nq4s)Rl?iZP6bBq4yY@;jE}10I8OyE~rgcF&{pMuw;~WLWKF-upf(2k> zk@Ovi>@I$--~R+tb-*5Cz#ermRnuZgbpbOJ^xF@ZNL#3<)uAZpZIX7PNca2V@&=Hs zOMB@qU<=eZ@Gz86Ax2QPPB_U!BZX@P>!w+sJ#a$E{oZb>g@3$}=yyYa0#Bj(g&}Rv ztxVfr1Z?M&JIF+l#~j#YIdhIuV4h<8noFjkH$#0;sEis`_sNlh#W3_kvH4Uj1D$;e zfP%oV&-<+QYcipv-UN7&(m`thwj%H12rKVI;6mT<8E$WA7?DF=w=!XCbP?GW(Z%eRRx(st0TSEH z+%Uz2giI6Y@duVdK}!$@O5(bHOg1jHeT_oYYi1HMrIDFzF6b{9l>?hKGri^_D7gJh zArrF=65NCk06d7qZ(aO1uCJU2a-U71?DQcf>D--#4(iPWT|jtGCRpzGcG(@T6wkKj zsdSszm%QUM+>g|dAejp}UkH7Mj$l?BqquR*W9o(m20(wq%wD9Ksw!2mwMhs`N9Kzt z)kAlFRRJ%Ey2LOiWGD_EJB@lwoG62f!o;vPuV02KcX^+rDN1W!<0I{T}c`|ez9kihwf9sRjO zRhdpshdl$i@t`#XHat*2otO=AZDSn<-W|GV-xH#>bKA#%J@!lt22^mA_Zo4EjFgP_ ztVN*ON|Ep4tUTT`mfQkP4!|BsbiM$FPDd3I@x)%Or5J}5(z&k>-(6#XP#;_x1=4ni zij!qVp|1kdiv@$BzVjKx(gC$zwqXJy+O@sh$5Ydpp5~HQf`ZHly;*iU#TA7V&;`6? zQr`S+7C0RYkc9!R1WxbL!izxr4e$yaOyKn{Pt9LI&7FwM=c`b!kxs)yJ?t%5vkUH< zIRYCu2~Rtyh(lj5M@&%FuZ9ry zdl)er5^KI&gM`Qf&2kfIu7z)N$>br}VRLV6T9|q#)k)wZnJg1Y_ynU$?{VV{ffY`y zD-y&_pJYz(B>;vM*dQADZLtJ8Pe7BaUQBL84Zex6ofh`Gl>l1Sct~%DWakNg3{*G| z=(}kjfZ79E1mvJgkM{Nl!ycIQ`g9$%XGGtmVNCZO1k=IaH0N5tk$E>5j?l^ zwiYy(=)s>Np%*;oRqo6ea((oAQV&kc2d2B3sU`#v?I1qu&9WlYnD^2gO~*Jdbj3J& zftb*-RbE$bov;}-kNHQr zcOE1{R18ErU&ndDekuem%$qcnPikhzoN8^WR&8Gc&9a(m9sG_?_KXiVemPQRAbO|F z0nWBCylz9xI7C}eXQYmcw#N)`2zazPFxekfn8@y@wuFEnhnzu5N7DC z1sS#cv31gO`F3E1G@5^Kz5Mal=!!Z=KbJ$)Gqxlixli}YE#M#Uk!xMH?R%fOc++o#lz;C*OIi{PykWkfX?>ilOk-Bv+iC;}RI6 zU!84_A4v;QrI3PG)0HTBAnA7u-jG{Yp`@gF_R9x+K)0W99%`F3hoNXD$bF3IMSy{8 zss$>W9}Ig}ti=ruNfAK0f5;dTcW8U<(?fan?(!{y?Rm%X>8I%Y{T*3nmROxNsn+(| zeE!`Yc1i}yIn0_rY6#-T;jXMN0^ZCpw;!A|Q1M5wQ9K)Q@bHi!Cr(Z1hK~F6pUIb` z==fPq=sz`pSJw~8&zTYP)U4*z8I6c!Q%d?{*wo*r{V5YBI{6LhOuitQK7RSgm;Y>3 zA1c_>0B%cO9qIg|yHv0$>pQ2ka1b~%5MT|$ju`_Y_+W#$RUTbqe?Gdn0DZa!9+3_& zyT*Y~E*{fhtNPSo?XxWt1toP-(v;{2bSoHo;BYBTP!R49jFf;$Bg7V7DleMGtM%;Q z_7=FpD+j&}tDKZDtAbw*yIvNp55M^S>5XLNlFF=3YMR^?9h(G{&9p4;z=m!=Gf&V?65<=krCYtDP;idBfo~ zg4gEdUMKpUL$C^pG7R;W;T| zKhT{_59pwhoR8XHOZ_Zo5Q+W&A^IbP#AIL-f7~1(>ajCtZ(rriKn96LH0dGp7s8~4 zUF=hF;5*Dl^&YXPDvrqd98TXBZBa*cfAmj$EIp#*@lm(RNt%xqI8Vcwdg3e0TH7|- z^45*8K4{D5@7yb`DvSVI-t@d#m3>ZVN7A?)kLnfUgprKLZ6pJJyBN-2a#UnGm^b@> DFY!P8 From cfa4ab4a84d3da3bd881518ee974775110cebdb8 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 5 Apr 2023 14:35:27 +0100 Subject: [PATCH 3/5] [LSD] added ALUDemo version using displays (pratica03 - part3) --- .../lsd/pratica03/ALUDisplayDemo/ALU4.bsf | 65 ++++ .../lsd/pratica03/ALUDisplayDemo/ALU4.vhd | 35 +++ .../lsd/pratica03/ALUDisplayDemo/ALUDemo.bdf | 285 ++++++++++++++++++ .../ALUDisplayDemo/Bin7SegDecoder.bsf | 51 ++++ .../ALUDisplayDemo/Bin7SegDecoder.vhd | 32 ++ .../output_files/ALUDisplayDemo.sof | Bin 0 -> 3541744 bytes 6 files changed, 468 insertions(+) create mode 100644 1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.bsf create mode 100644 1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.vhd create mode 100644 1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALUDemo.bdf create mode 100644 1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.bsf create mode 100644 1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.vhd create mode 100644 1ano/2semestre/lsd/pratica03/ALUDisplayDemo/output_files/ALUDisplayDemo.sof diff --git a/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.bsf b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.bsf new file mode 100644 index 0000000..e7f4eaf --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.bsf @@ -0,0 +1,65 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 176 128) + (text "ALU4" (rect 5 0 32 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "a[3..0]" (rect 0 0 24 12)(font "Arial" )) + (text "a[3..0]" (rect 21 27 45 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "b[3..0]" (rect 0 0 24 12)(font "Arial" )) + (text "b[3..0]" (rect 21 43 45 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "op[2..0]" (rect 0 0 29 12)(font "Arial" )) + (text "op[2..0]" (rect 21 59 50 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 160 32) + (output) + (text "r[3..0]" (rect 0 0 23 12)(font "Arial" )) + (text "r[3..0]" (rect 116 27 139 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "m[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "m[3..0]" (rect 111 43 139 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 144 96)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.vhd b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.vhd new file mode 100644 index 0000000..64977d2 --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALU4.vhd @@ -0,0 +1,35 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity ALU4 is + port + ( + a,b : in std_logic_vector(3 downto 0); + op : in std_logic_vector(2 downto 0); + r, m : out std_logic_vector(3 downto 0) + ); +end ALU4; + +architecture Behavioral of ALU4 is + signal s_a, s_b, s_r : unsigned(3 downto 0); + signal s_m : unsigned(7 downto 0); +begin + s_a <= unsigned(a); + s_b <= unsigned(b); + + s_m <= s_a * s_b; + + with op select + s_r <= s_a + s_b when "000", + s_a - s_b when "001", + s_m(3 downto 0) when "010", + s_a / s_b when "011", + s_a rem s_b when "100", + s_a and s_b when "101", + s_a or s_b when "110", + s_a xor s_b when "111"; + + r <= std_logic_vector(s_r); + m <= std_logic_vector(s_m(7 downto 4)) when (op = "010") else (others => '0'); +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALUDemo.bdf b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALUDemo.bdf new file mode 100644 index 0000000..ed4ab56 --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/ALUDemo.bdf @@ -0,0 +1,285 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 272 144 440 160) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[3..0]" (rect 5 0 48 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 208 160 272 176)) +) +(pin + (input) + (rect 272 160 440 176) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[7..4]" (rect 5 0 49 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 208 176 272 192)) +) +(pin + (input) + (rect 272 176 440 192) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[10..8]" (rect 5 0 54 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 208 192 272 208)) +) +(pin + (output) + (rect 856 144 1032 160) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "HEX0[6..0]" (rect 90 0 144 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1032 160 1096 176)) +) +(pin + (output) + (rect 856 224 1032 240) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "HEX1[6..0]" (rect 90 0 144 13)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1032 240 1096 256)) +) +(symbol + (rect 448 120 608 232) + (text "ALU4" (rect 5 0 34 11)(font "Arial" )) + (text "inst" (rect 8 96 26 107)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "a[3..0]" (rect 0 0 30 11)(font "Arial" )) + (text "a[3..0]" (rect 21 27 51 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "b[3..0]" (rect 0 0 30 11)(font "Arial" )) + (text "b[3..0]" (rect 21 43 51 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "op[2..0]" (rect 0 0 37 11)(font "Arial" )) + (text "op[2..0]" (rect 21 59 58 70)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 160 32) + (output) + (text "r[3..0]" (rect 0 0 28 11)(font "Arial" )) + (text "r[3..0]" (rect 116 27 144 38)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "m[3..0]" (rect 0 0 34 11)(font "Arial" )) + (text "m[3..0]" (rect 111 43 145 54)(font "Arial" )) + (line (pt 160 48)(pt 144 48)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(symbol + (rect 640 120 848 200) + (text "Bin7SegDecoder" (rect 5 0 89 11)(font "Arial" )) + (text "inst1" (rect 8 64 32 77)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "binInput[3..0]" (rect 0 0 63 11)(font "Arial" )) + (text "binInput[3..0]" (rect 21 27 84 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "enable" (rect 0 0 34 11)(font "Arial" )) + (text "enable" (rect 21 43 55 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 208 32) + (output) + (text "decOut_n[6..0]" (rect 0 0 73 11)(font "Arial" )) + (text "decOut_n[6..0]" (rect 126 27 199 38)(font "Arial" )) + (line (pt 208 32)(pt 192 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 192 64)) + ) +) +(symbol + (rect 640 200 848 280) + (text "Bin7SegDecoder" (rect 5 0 89 11)(font "Arial" )) + (text "inst2" (rect 8 64 32 77)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "binInput[3..0]" (rect 0 0 63 11)(font "Arial" )) + (text "binInput[3..0]" (rect 21 27 84 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "enable" (rect 0 0 34 11)(font "Arial" )) + (text "enable" (rect 21 43 55 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 208 32) + (output) + (text "decOut_n[6..0]" (rect 0 0 73 11)(font "Arial" )) + (text "decOut_n[6..0]" (rect 126 27 199 38)(font "Arial" )) + (line (pt 208 32)(pt 192 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 192 64)) + ) +) +(symbol + (rect 608 128 640 144) + (text "VCC" (rect 7 0 28 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 5 27 18)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 27 18)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 27 18)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(connector + (pt 448 152) + (pt 440 152) + (bus) +) +(connector + (pt 448 168) + (pt 440 168) + (bus) +) +(connector + (pt 448 184) + (pt 440 184) + (bus) +) +(connector + (pt 608 168) + (pt 616 168) + (bus) +) +(connector + (pt 608 152) + (pt 640 152) + (bus) +) +(connector + (pt 624 168) + (pt 640 168) +) +(connector + (pt 616 168) + (pt 616 232) + (bus) +) +(connector + (pt 616 232) + (pt 640 232) + (bus) +) +(connector + (pt 624 248) + (pt 640 248) +) +(connector + (pt 624 144) + (pt 624 168) +) +(connector + (pt 624 168) + (pt 624 248) +) +(connector + (pt 856 232) + (pt 848 232) + (bus) +) +(connector + (pt 848 152) + (pt 856 152) + (bus) +) +(junction (pt 624 168)) diff --git a/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.bsf b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.bsf new file mode 100644 index 0000000..2cc9938 --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.bsf @@ -0,0 +1,51 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 224 96) + (text "Bin7SegDecoder" (rect 5 0 71 12)(font "Arial" )) + (text "inst" (rect 8 64 20 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "binInput[3..0]" (rect 0 0 49 12)(font "Arial" )) + (text "binInput[3..0]" (rect 21 27 70 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "enable" (rect 0 0 24 12)(font "Arial" )) + (text "enable" (rect 21 43 45 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 208 32) + (output) + (text "decOut_n[6..0]" (rect 0 0 59 12)(font "Arial" )) + (text "decOut_n[6..0]" (rect 128 27 187 39)(font "Arial" )) + (line (pt 208 32)(pt 192 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 192 64)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.vhd b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.vhd new file mode 100644 index 0000000..96f7b6b --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/Bin7SegDecoder.vhd @@ -0,0 +1,32 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Bin7SegDecoder is + port + ( + binInput : in std_logic_vector(3 downto 0); + enable : in std_logic; + decOut_n : out std_logic_vector(6 downto 0) + ); +end Bin7SegDecoder; + +architecture Behavioral of Bin7SegDecoder is +begin + decOut_n <= "1111111" when (enable = '0' ) else -- disabled + "1111001" when (binInput = "0001") else --1 + "0100100" when (binInput = "0010") else --2 + "0110000" when (binInput = "0011") else --3 + "0011001" when (binInput = "0100") else --4 + "0010010" when (binInput = "0101") else --5 + "0000010" when (binInput = "0110") else --6 + "1111000" when (binInput = "0111") else --7 + "0000000" when (binInput = "1000") else --8 + "0010000" when (binInput = "1001") else --9 + "0001000" when (binInput = "1010") else --A + "0000011" when (binInput = "1011") else --b + "1000110" when (binInput = "1100") else --C + "0100001" when (binInput = "1101") else --d + "0000110" when (binInput = "1110") else --E + "0001110" when (binInput = "1111") else --F + "1000000"; --0 +end Behavioral; diff --git a/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/output_files/ALUDisplayDemo.sof b/1ano/2semestre/lsd/pratica03/ALUDisplayDemo/output_files/ALUDisplayDemo.sof new file mode 100644 index 0000000000000000000000000000000000000000..8651d792b7cdfff6bfdf6fec534ab8f3c1db735d GIT binary patch literal 3541744 zcmeFa!H;ama^}~M6e*b?2DD5NcfmzKn8hVvN_?8p%m~mJY0pR=*aLWs!PrZcr1v!9 zK++@ho{aG>7KYdK&I>OtVD8No0q^dg!R3WFUVAr&4UgkjeNNs!eIhdRc2`zccSn$w zUFUoeUqpN->r{4j-R^(+U;WV)_8-{eCs+TajsNxE{>k6`>TiE3|Ke}H{;QwMKl#O9 zee?BS{`_y`zxny!{POEx{I&e-QWKw|M2SlW2%4k*T4Gu zSAY5QpI!at)k|~v_aFSfzy9z3{n7r<>o1JI{_p;C!>+FM-!XFLKf3yar)FaRQStxu z^1r?MyI23?PdJBNR{j>l3fB%2}>My_g zU-10Nzy0!O@L>OkJ$~`Gzxw8HfAz%BfAcrL_%}cQvtRx3*MI%zzxYo+68VGW1kde=Wb#)(`@KK@+1LO2 z=fC{bAOEAT{`4RJ)}Q>npZvxXPzjGt} z56E1Jjf`XY+0XyGum9}l|M<5yBL0?q^C!Rhi+}uEx2pW*FaG?uI*T#jD*Oe>)b$oL5YuB;A2DUqMtc<{Sw+)wt zTZ>kY*)_m3xw7onC2`obV>Lf1H+qBX^{@T2_r8DqYyb0GuGOVWxH=qldhhCkqoPV( zi&X*9>1Y)EZmMjmq2Qyvz0`JQ&rXn8y*wOj+UG>q>KwRzF|H%uR%Z8#>&kAz&a^sTq3AtY{Q@!?9r6l|WlS|-P zrL?D2OQ=(OQFhQF;$)>ry6 zgR7KBzZ_D5R3H^d1yX@jAQeajQh`(;6-WhAfrbJv8(2aoRp8Z0*^(?3NCnDcvW2cAwpUMFuqvf`n6E)}>;1#~Uz@2*es zYbtP01^5(S+F2Bkvt-F6sX!|5ycKxyyxY(2Q-M?<6*#{FAD%yDW_*$g~RiP&Sj-$AZrMk(BzB)d8ql@Z*vnB78!aJOWDuK7CcT2P2;9bHy)5S+rgTtEpK zF~zA?v*8k;&6(Y7Fo%NI4iL_cnBde-61xzK3Bw=>u1({D4RW1l-ij6EUm;{S69%_r zfTpRTbLOqpBcu-NasefzYo>}$h7lw9{*oD}%R3H^d1yX@jAQeajQh`(;6-WhAfm9$BNCobq zfDkmeDKW%9$ThwXcAx!QDt32#j@yq03Lb9B09|`x`(O<@6fG`jA55sny>JS$x#*ne z+8|fX+oqBN>Pi^`3Nm7f)8@j~9dZG3(<;aX&0ESzO9fJaR3H^d1yX@j;BhLDzq;#e z*X?k&OqnPZNCi@XRN#>)Ak^93`KT_qc5RR=_raP<3aBe(2q?&iDNc`lA53V%6-Yrg z?Qx=OgIqapn@S3(D`f~M$cQOUn+u!!wdxN&)IvGwwIdRvnyJbstg8H6u!8Jp1Sh{mX24s)I9 z`?4RR?w4yUbmO+)Xmf#ne6R76C^-37VF{1FeE&h`rRo-}G2sp5^=GZQDR{>0%m%Z< zFrznxcr)edcZ_Q~Jh0bP`FHH`jXm^z8$P$k8+%;+x;_4pJ*o>Q+h*~DT%^NxN%nQ@ z $6s_^&TPMnBwHm4gqr0D#!)RTgpjG1yX@jAQeajuCDUmMV@UI9L|<06Qu&F zKq`<5qynixDlkm}fw{f&QC)ED+8|f%gEf^DP*=(jP>>N*oF4l=n9zhPkb-R5<3!g6 zxpLk%l@w4{$`DYH5mTHt7q=67 zby$}RC?Q=lH54ab6#jz?$gUZH1(~MkjXeWtXh{c3qkObGJePrk$*Lmjc zODm{qx~t4Uv4>wWK+|3sI%VD#D^y6mEBFNeEV2EoaN@K|LHD1x?cQn-y-fv2QE-fvHGHD}(F@_y@F zqGfZ*K_2MT%omc(>Z!~khIi&`VxaCa;4|bRc+m`(xY#4um>ceQkZYMyO2&An>bUU} zS4MExVs;A^!rhVqx;AAWjI6>C*5v|9$cQOUzA6F~voAO6g4Z4QZ!J;?ZzqXeh{c3q zkObGR4RVdo+ZQ=+5q+H}JdZU7?U~}>&f9|k#q1X}Zz=R-#_s^WDC5^o&$2hOh+i{t zW*NU3`2lTeZ+Gr1*SB|;?nYp_@%-dZXTBx|ik;fqK>l<|1yX@jAQeajQh`+9-4w|6 z?Yrsadl&!oY0f^C^{pUBd*`FN;M%o8uJL`a#?ErL7#!RkpX0WYfr5uyGCzcPX(To0(rkZ$>p4RPkJi%TR~}r+UyYd`#ZQcjlGdUu4RfVe4U+-xH5v9Mrh1# zpb+ks4A3+&)YmC4n3%2=^ANnkx?DgB>6(QqPQEGv6tfd#Rv7NK4@Riiqmv|dAr=#c zcBRz?*RBn6jnCWs{B1$>b)N7%))=&Bii10E4+0dk-+$i1FAVJ@u?w+jsX!`_3Zw$5 zKq`<5qynixDv%1K0;xbMkP6&I0U>B`Q(}mJkZXJ&tg*8^RFJ#lbKF+4aDl=t8K7%Z z_HW553}Ia^poEN=;^eC$Kr#CT?SlPi^`3Nm7fQ=Ms>Bm%TKvzwmgQ1IFT!r2iM zoZ3lZ7h=;=fm9$BNCi@XR3H^-DxjeLYG=2EX%Yc`G0r9Uo9&VaXKyTjUR>Qi^EL?A zrhR8<{2>AZm2kg(kd}RGr57B!E!=Wxk%CG4kLa3*Uods_Og}Y`sNh3Ojx~r3H!jaD zwqE{DZ)*~T$M5nwgYYFTV-tEE(HQm1VXiZMU-m=P{c??kZrt`8Z7$G{?=@Z$1tHStGgWwCuc?y1C-RLw^nDvX zx5pcMT=6F?_`@F6g_CWw_(3kxVY?*zI`(JQplgcO`0=e4He421d)okST9g(0D$;4s zwHAC#R|%pghhAN=#t~&buXepR)oi#La;!nd)R-nUPxR!_>}^fbs3Ri2*ZJixdM}QX z2Fpp=wq&|JJmC@dl3BLgGiT9vd1v<4O@1PW<<0s;Z0}5??;LRn0XgxGSK)Z^+G~pH z(!Fd4^BClkh0I?k@v5wAo1^RI%o?5BrO$41yX@jAQeajQh`_j0g9I^ znv91lWW*FFUljq0 z*$FZ$3^RIDi2cgeba-IG(5|$);M%o8uAH|`B?Z)#G6WQ4#1togb_kH0RzWUk-cn9l zDv%1K0;xbMaCMdcF7j-%;BdA~nJ5)V1yX@jAQeajQh{j-2+ZxBkLrSJ*9N(AAFQdQ zfVxtKfP##e;`G?}!GtDUffQuZ9w)js$d&W9sic6qQigznjF{rIxv=%8F#_bKRgm1j zMTN9fAQeajQh`(;6-WhAfmGlbDv&=fJKIG+oGnu(N(G*!0z%jAosa5*Ytz_I8RR<8 zKA2cR{*Diy=QI-rw`72(siAW|e{1y!sl&QlKndxZsi8Rest8cbPLNq)SU(V}98@+A znr7sJLpw?ALM$c>gCw{%?IS}6xz00hUs^#`(_Li-iaq?20h;#8&?)n_SfN7dUBM^# zXNm1!g%hV$3cCNiZTD7_2-(8+0n<`}R3H^d1s!X1LO_n0>if7rgGce`}FKcsoh#LM$c>gCw|iZIEkx-oD6ri|Fe-;d!hvXwMV}citWZ zC}zK)c}t-uGkyo~MH#<#dX~MJMf{qHGt2nR$PZ{!d%JUAxxT%#bT>A?Cz{4& z9OOFBycH{G)52yi*gzcok^!2ghR&I{CZUkpl`;erq-&;z;^eC$KyF$EA;_#StozBz zL1p8hY0ci0(8}f3(Imes$mhl{%3e;a=G83j!UdaU_POzgw5h#4WMi2-6-WhAfmC2X zfo0J;;CUt&nV3hXanD>QEKAgBwfBf;_SVatcq;Iu6v+GSNiOHid(u<6-wH}2)Mkgs z-`~NtY3z**axGI_;p^;t#FY`;G(ux`1BGz6WPqlLp}tOW!Nhc}n1|pM*5v|9NY^Y> zaq?9WpqQN?v%+wY7eqm@QiCu_IO9fJaR3H^d1yX@jAQc!^K!!cjF%?J!Qh`(;6?g^;2t5vN zN({*{$ThwX*4SAdD#+dOIc_UixIp2S4A8YH`?q8jhOjOdP(nsbaq?9WpqTxF_Q3`! ztu8dxwRYD+{OV#)m1AGSx?$;W;}3rzFJ`yYLjJX$!a+^TLCxJ5BsX!`_3Zw$5Kq`<5Jbnf8??9dH8XnG;DHEjvsX!`_3Oo`8ggOT|C5BoW_RLi41*-Nc5RR==WSC- z0d=Je0Rq)!Ze8hzpxL%406drwo7sZZY+OZT-`py;;J0~P2$24fBDX!_(KE+DzSdaAT9gW zN-sEcTe#)YA_bH7AJH`rzhLU>QNf3n9BU96Zd{&QY`y%Q-qs`vkKg5W2H{Iw z#wPSSqA}{1!(3UhR5ss@ZTg9<}t`63z@%8 z;#FDKHb>XZnKfwPh`;c*_=&-a%dWBzD7bg+!{$=YEm*sxIN0ju=(sDmfSUQh&~3n0 zH^JJJg4G@kcBI6n^|oGEC5zuBNLnh83Zw$5Kq`<5qyn)50u(P-HcO0{F~gWpN(!heWe6z9h$&9~><}O~t%6+8yrrD9R3H^d1yX@j;OZ*> zUF6wj!QpI~GEpj!3Zw$5Kq`<5qyp0v5SZILAJqldt_^bKK3G#p0d=Je0RmQ$y!`{?_UdQipZ9fD+O*Q$um`RS}?=oglNquzny`IjC$LG|k8bhjxCa-C=1zO;g>rn|}v6npq312pZGp;P8cbvjgAqnWkx9(;+@tpGgY-;rXeWtXh{c3qkObGR4RVdo+ZQ=+5q+H}JdZU7?U~}>&f9|k z#q1X}Zz=R-#_s^WDC5^o&$2hOh+i{tW*NU3`2lTeZ+GsCd%{K8a#x>HlT2YX(lUz} z-kGn7fx63pPr9T6&s~A_C*x042YsS_Wm`{Nf#o}nC*EbY=PQuwTVI!VJ6qn({oTAD z|N7S6`mG6}ZJLR9o2m=0T^r;Y-v?_{)-47HcgN?rtz@9!;g$^0wfzRB%cT(2I=Zap zAUL^PA)p{5ra0AVHc13%b7tLsQ;_9dsqlOU2xmu3aB3%sU9PT_@GD^$B*C?5OvXX3 z^UPbZg8VDAyfxt9mkiJ}HFVCrH3@~(u9P95AYC&x6enL50dmtS2tj6r;g0jR*_%S_ zSGK0ZT%h-dcJ%bj>C@T3&T`|U|C=gfQ3lepi$y1EjSMkwZ7u}84MF{CA% z6@y&M6jw5Kc0S_D2yPmoF}s06xLYzn)5Opz`(VY0A&={F3syq9W}%9cuZjT0>;#z= zhIL~~IjC$Lw5%8?IJA?*F2s^9_Rz)StqUi*wifiy@${QpUCcr8s$H)@;p`flpTFJ6 zfi$j83Nm7fldJhbfMRxn%nHMLd6a|7#zD^nhjxN(!heWe6z9 zh$&9Hi%~c$3~pKlxrljNs3a{FNCi@XR3H^d1yX@WtU&%9sIy(X!`U)rqEsLiNCi@X zN1}jGXM5+Py5QQiL9W~fYbq(Au9P95AS0$YJ>Gq=>lTGCu0RU1X^#_K8|2D)+f-6O zT`5CAK}Jk*+FV#JM&Yb5xM>ySBKB`7BrO$qYzoL@D>&;-1xx`+6;gr6tAJ9vzuMV- zbfVkk7Y#0P{uQCQ?~({vZmh9hG*(@>Htjn@mk+=E#=c7fm2kg(kd}RGr57B!E!=Wx zk%CG4kLa3*Uods_Og}Y`sNh3Ojx~r3H!jaDwqE{DZ)*~T$M5nwgYYFTV-tEE(HQm1 zVXiZMU-m=P{c??kZrt`8Z7$G{@2xM1R+9Zk#=6Ykf6#fUx&>>O7l+rMwdSVa60`e*XOu70U+r)1s`{SCLM8uC?G}x=Ii|IrQp^HI69jd9~}k zsb<5~kYf!prp7d>d7>wWW^ZefMja9Hz0NOp(R*>6G+0i`wk6Z;;R%npm&~%|o;i!Y z%R95TZt@d3EN|8)VtZ#AedmZv2*`>*Ha@7jmWrJh@`c1dxt)y>gyS8xF}^MRq;fURzVwJ8OwJsRvt ziB0QmU^ZC#p+=8|=8y`c0;xbMkP4&%&rkuO=XklYSx61Z6LayW1q6=O#hxn1nO82uEp#YDulZw19UCt z?Uf=@)wrveIS5X!<_c1|To*jjQh`(;6-WhAfwv0ezl(gU#pGv)$^1#53Or{8SOTY| z0*_6BoVSndieW2!$3a0hn-ZMp+8|f%gEf^DP*=(jP>>N*oHiG>?vM+Rn^r-V z`&{Aqx=pDZR5lKJCOEW{#4f~Q!Z1jJYu5(3a^5zT6i`>n5Kxd2Q=IBd+awX7&6(Zw zG>3xM4iL_cnBde-61xzamI|Z-sX!`_3Zw$5Kq`<5JShcOTVK58&&$qsi4JGWl!;P- zXQ_bD_28yNS0FaG2D#3&4<=TSzvHvK)!^Wl4A3++bk64(O+q2HD`f~MNY_ja#mQGi zfZVhSLXcTuxZ^%pvp0pdN~;U5P5a2uL9X-6+m}{Qtb>7K55Hu9roA$B z%DgQmp^(~@G6WQ)Ywcf!BgDID73BW&w%uDzB4l&x07y#(Qh`(;6?miyEN}joQ@u#e_!ZSH28XKYt}+7!j~&2t9I_`iZx2G(t{e(-LGzY!PG^Z3ZbG&+rY<~m_nqE4&5M?AB)Uhc$GfhVOv-fvHG zIcMIJp341JP#U3_bHyIP2FH+=?2Qa^EmK^{*xC7rD6(QqPQEGv6tfd#Rv7NK4@Riiqmv|dAr=#ccBRz?*RBn6jnCWs{B1$> zb)N7%))=&Bii10E4+0dk-+$i1FAVJ@vC9$;MOrHGh!l`VRBM)+3Zw$5Kq`<5qynix zDv%1K0;#~$RX}KMa8qJPg+Z?IeXz#P@=!tUj?Zyh$-)H+w`72>P1(OCt1yIhxquQf zVv3WmiU7sz7qJgUi7kN?c+(yyy4LPmh+kdosdBtYvim7R&f9`W=h(l!5;Coqr*N>Q zRSqs<-WDoJO9fJaR3H^d1yX@j;1Mg3e+TMp7w>SkOqnPZNCi@XRN#>)Ak^93`KT_q zc5RR=_ivj@3aBe(2q?&iDNg?0qX4;S733oJ!6@YFN*LOeRu^2mHprFpwyC6mx>AOK zf{d8r^w{U^NTcbK3S5o?ayg}BC8@x$0y6BGj;X-KDWFv3uXenjO}Fd+Vw_7Brd^UF zaARrr;_CL9w?8#sIN~qg85Do`#Xu$2Zy%&(-&*Mfhi(hETw0`H(*7g5=HVAi9X-=e z%_A!K(2`>fBEyZ#bBnE)zth{AMB(wfyv`teiObl8UPm-W{c@P=Oy8IN5Ou#?W1$Ot{XV#!=iq`n?trj+1 z7Fc`R0B>5975ggEY0tG5d`wpfq9=!5U9rXyWj(KUy*JftxEgY-LB`aWCN)p=*mZFv~a{D{V5^&8ZA!svj|MwZV$*tCFRYTq@6v#wMqx-x z1ujK_aw&ym9jQPnkP18t1%xi+<;rG>F(k+!*O~Tjt>jG$bLf3v65#8Pw`H*b)$Y|SQk-)qJ2mq)F;L`bdb4>e zB%>KFaj{3RF*n@rAlEXZl#IN5gePunqcUQ;7PDKZ5bmc1>DiQhFp>&GSeFYZAtRmFwF( zOLrr%+<1QSr!!v@1I145Z6JTTqynixDv%1K0;xbM@NNp^`u5%Q^1X|H`ZQ;s%KBE2 zqrLM{U2yH%AlLXlSnl5zL|^wyc^+#F+B3z$-yIa7nEitG!3HX=E;Q9NCS$v6A%1nS zr^>OfVZBiI*uRw~yMZ{=dI|?MEe9=A1Ly4Dnm$72Rj0zi&AMDb@#>mstvLCb3sB5X zkXd0^>roCW8wX7@a>1dUBz7V8F&POH5~JhQi6?!;4pC#68%Z%=YLXWo;Z%KcVQ z8lmFdrs{%g)7Tps_Ti>Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5qyl$QKnNP# zlo;Y4nL~ zb)l)QwYwJLR~LJ#9Qzv94NInY!EZgI94s30v~4lF#R;)%J%xic3D3Kh^Y+#i>?&ps zf|IMcg2dMgwbN38R3H^d1yX@jAQgE03gq8`I@>iooGnu(N(EAZR3H_2Bnk+14sJ>e zwKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-sVOaMIm4nL0LC*w-c9PhISWFlONpS7j zAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S@Y(^w*%1?*+DT#;V$)KAR3H^d1yX@j zAQfmTprHP0XSalD5&?cO&L#Mp?UD#*Z!CXaT-`qNHVD_IeP?L=Ap!%HaKC+!mVIlb z7aY1R+;VA=f=T<2=$eOLFm?1yKQ)i2;6qD}HHZv1F3&BtUj9yRYZ8UW@A5i>@Fgx| z6M7xd81>6xt}}gK_CwVDa*c&<-1Zx7F3^wfHC_@0C;uue;qjO6Kj^$v-GVhHyn(#_ ztTi_U&zPOrU{)Ar^rjGRrrho`Rd`^psgl1Z@{K+8eH%Wv#~XWG@h2?!!yeUzlWnv3 zK`zo^yCnNM_Gi|hYl_zR@vRm%Tozb++W>D`lok6b(rM4N7JN)s38E*5UR|-q5oJBE zcD*;%Y`7Y7tU<=qm?kw(^yJX&ZB5dsBO<=n`QPi^`3Nm7flRrBI$W5yt7c_4vCoL671yX@jAQiZ}%6}Jm zwpnmETc%8u3OqjrmUZ^?YdkxCyb8$G)&KB#tIx`xs{%G}&5mPx=cBsd-?c%m+y`qa zDWI;DA)p{5rZ_$JeK4U3S0Dx1w8x3A4RYnYZ7M0Cu9P95AS0$YZ7yv6X^a55X%&PZ zv%;|cAV4{&Y#j7VaA+rqU5HIf1yX@jAQeajQh`(;6-WhAfpaU6KQB9*;)k?;zJQqm+#CPStV4GOmo^uEp#YDulZw z19WZ5J{VbrA*{;_Y6x zjo$%$QO2*Go@H-l5x?e|&N6;8@&nq`-tOENufdD5Wi>LTCYi!&q-7Q{yfa@D19g`H zpL9tDQh`(;6-WhAfmGmf6v*}MDXv911xdv5RMxjbgM*tAkrtctgIu|PyHV}-fpEf= zG6WQ4#1yBxbG1n#K$|nW?JjdDcdMfu@L1~0y&J}wE8yrJgvRN_6wM=m(V`t|h zu8iQO5gM}_D1^Hu12jzxow5&Bj2QB`F1KJMq-z$cIQgmwP|Qw{Sz%Z=rj&!q#zD)9 zfr3LjN$f%_CJcilxOQ!jYkc13=Wh$5uk(cGvBsc1Qyl6{yUBGBpqpoES_QfPyoE&= z+DT#;V$)KAR3H^d1yX@jAQeajQh`(;6-WhAfm9$BxQhZp(BP)T5dR?8_&!);XL+a~ zcgN?rzhmJ7gl2sVOx?DgB88OAlS4Dtg_6ynv8>qCp&{WsjT?_H6i#=72 zeGTh|?{KzEnJ5)V1yX@j;E^aG)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY z(<%r-W`$whFH{aH8wWiT9NI}@7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PIabjk_gb| z%x-#`L&0kY2xmu3aB3%sU5HIf1yX@jAQeajQh`*Usepp|tDW5vrbz_&#W2@$48oVVj7{iuL}S!1hq=!5ec2CD_scaFx^dfY zw7Eb(zSnq36rB94u!P57zW<=}QgsW~nD7Sj`m@&D6g*>gW`kK_n9-X;yqR*l&s5=o zy{1b3p2#=$(D!Zl+#YZ2amAmo;17FL7f!a#;s?1%hwYN=>)4-JgRUuB<}&zwcy<(=7EH~EPimN)AYvAr{mzH`JS z1mwg!UWMbuYpyANQeC>2?O+~FuB*x==8F02Bwm$uZ6o+?&a6QIP2+9x6Z25Kc9n%d z!M$rAHkW#C!P+Io!B#g%$6dh%)XWElZUeTuX=tu(cBI6n^|oGEC5zvs0Yi<#kd_Ku ziUQ?Q3duTBfm9$Bcoqr>UB=6m%@SiskU_39?cZ9-n-=Ptd08R}J-HdN~;U5T^r=edD~P{KwT+A zKtV=Kaq?$}0J&)uVy-yc5S9_raP<3aBe(2q?&iDNc`lA53V%6-Yrg z?Qx=OgIqapn@S3(D`f~M$cQOUn+sci8Y4h%S_N6wm4)Z)4+4~f%Em#@1c!E#*oD}% zR3H^d1yX@jAQeajQh`+9Nh!eE`r<8rUUs%ibU0h4dn79Nh|SPc;9(UI(hqJ*bSq^) zWsvJU`(R=P)jgVBWd`ElmkiJ}HFVDBZ>>-v^{(I({PQkTL*e#S5g<3Mf)Hd@81A?a z*6d9o_A6V{;eiQ5yV94d3tyY|k)draA%1nSr^<1s(z?62)?R}MZW^V>>;?+qYdwX7 zH3`p~_R7#H^R`$$Lh7(C7f?dF*8WvELVV1QlxBrt)k!(18gtOHc5g~(<#Ow2ktdc4 zJbnduVWp)4k4=GfQ8iHavS=OfJd=w|%%jt|d4rr~%Uk^{`Y!Lx-nz-tAr*K^3grFv zBv*6hJ?Tl@Z%^IC#Vv(rzL4Z3bJQUz&bgDFn)#X-DDnio$#KiU#@^0Hb-}f32*Y$K zc>0?qtBW}(Uc7vSCo51mSYsP(xOFXNw@@M6PYcqsDf?g~6^5`b7f?b*OmXs65uliz zAhW`-ULNJ3vT@Ke!J(Zbc3GskiapfW-xfrkA$x~{j8EaLUAwU_;#UE|U5nW*R0wy| z0(9+(&D(>}u`7pyAf;Jhc(8d(@)H}s1NfqhUpqa^-pnF?&BU2y{AT0_w5h$_xi4OW z7iGD=y?r@mz9w$xa*m60E>EQbslY~oZ#PGIkP4&%sX!|5zzXF0_7oSOoPs3ccq;2# zp~3dfM|HuqYlB?NcT5Ujyi;}jY((yZA=0|<#Pe8V(4Hv{zUBfHvtQ6Y*g&P#g{GRu z3~P5S#IG*)R5|uFtQYDY^H!Sd2I5fbDICv93bt81pU z;^b>CKruT(W`$v`M>(i$95l_y1&4N$*oD}W8=o7$D0?}vnpd;93)gg(+2_U|(x&$I zkd0;TR3H^d1yX?l1z3q*l*z;#z=hP&;95i0iRB#B*!#e|_k;b2X|^RDH* zy>$hMnBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugVv{WD! zNCi@XR3H^d1)2&dsK46TEn%8OfM1Mr3I1lgB*NJn%byolx6ix{!nJAN85)0xz(6J3 zZy%&(-&*Mfhi(hETw0`H(*7g5=HVAi9X-=e%_A!K(2`>fBEyZ#bBnE)zth{AMB(wf zyv`teiObl8UPm-W{c@P=Oy8IN5Ou#?W1$^j+SWy>*kH$YFW2J`vkH)95=#TtYxjyyI0kUcC02qPlc1+rc~rxnv>p z*Gar8>)Ph%x;e83EgbO|-WESGSaI1^76JwLu6@{C>bV7LmlOwE-5ecv1s6~=9~im~ z*y<)&n^Lgaqrr}p*tFi(3#(-DyEI^^Q5e!vflE=KTuLEXM=FpCqyo=E0inxyxw2Ve z3<)yGb*BAWD|ypGT{ACBB%vp_WPq;4OXotYzgp|EnuFjJ*5v|9$cQOUzA6F~vlC=i z7-saQ5c`#_>F~gWpN(!heWe6z9h$&9~><}O~t%6+8yrrD9R3H^d z1yX@j;OZ*>UF6wj!QpI~GEpk<{1jN$+0U=>?D+92Aocsh$J;|z{`?fMd24nY+dCiC z1^=!Ma^*f)Q%M1Jr3?WD88OA_vG0QkO}GLn$fi9`bZw9;=WSC-0d=Je0RrZ0@$W5yt%eu1geEmUya!}bg=$YWqP7=Eio0bZs0;xbMkP4&%sX!`_3Op$VSX*Db z<16#B?C0=m7!DSZ7~Uj)UK2vpdejq|0*0I-c73@_n)`z-f9vdn_CA! zS}KqVqynkHBUNB|^S_+xWnw8hjhi>fS+=~@&!X@0&g`w5JRMSjr=&pMZ%=YHXWo;Z z#QpZvO=$3a1u*}{|IesN^F6ng}l ze5;E&C|;W+yVwRB!Ci~lEmR0!>nR-E-YDc;XjAsV$R-S7T`r)6jF{r&t0F)#J3(fJ zVbw`FsB9ecOmJu?iCq?Hu3`^0_O}I*XUN{6AmdXwYu9e)TUYn{o=0h~r7DZ*86l4bn`! z+f-d}?b;yM@*R`H7w=RZKX4jX)-9&ZZm8hlmJHCfC$_;GE! z#td^OffBl#o_5X$iXnA_u=67by$}RC?Q=l zH54ab6#QEKAgBwfBf;_SVatcq;Iu6v+GSNiOHi zd(u<6-wH}26mzcFBiP^=(vrQAL9S(rD;fPgnRTP3Q5nHaBTLL~pb+ks4A3+&biWbg zaw$Z$4h~vY3=|w(t`Ja=t{JD|RIAw}5unYP^(rU`L1u+v2MA|-R?I}5=JPrlqag>==rUI!zDv%1K0;xbMkP4&%sX!|5#1#;Sf9hiuCJEt!QOtjh(IkP%ayd{qP}W?%N73SJMk4|bhfsG(hH zb-}f3gIqapn@S3(D`f~M$cQOUk9yvYbeTS>Kq`<5qynixDv%0XtOEIWpw4z_4rj}h ziBf@7AQeaj9*F`%o$iKVj+62Dx(HHkA}mSIQ7jkP%ay9`(FkUOywvrcWwxF$(0oy_idpg`@(h zz%x)l>Cs>9>^?ftmE((XE?LNSNshpcrQM6G+h^W>sAJ)XzkFv<{NWb^l~})hkd}RG zr57B!E!=Wxk%CG4kLa3*Uods_Og}Y`sNh3Ojx~r3H!jaDwqE{DZ)*~T$M5nwgYYFT zV-tEE(HQm1VXiZMU-m=P{c??kZrt`8Z7$G{?=@Z$1tC(`=~&a@fxV_m{+`G;_R#lj_}m_E>~Y1Pu;34SR2NRR z&Ef~SNQdo`?CaQ{S%a=ATI0vJTG((|VC`)KylGKZ?5jwpJ=a?BFnVzI*DNct`Dy7q7jhs4iWx59Tq*B@5ZQa8Zu^SOMa7 z8m@M5aE-UcPYhAe9eW5A+`IN+bE)SRtX)zZY;|*V+!b6v&3s_!HejopU~NjlYL5mx zQexA38<-8(737!-qynixDv%1K0;xcxfB?kHmCZsb;@F%Y;#z=h8evn#C~OKIy^98XjfWYaP8V4 zSI*m}k^<^V83GD2Vv3VLI|Rs0s~{INZz(4&6-WhAfm9$BxVp-J7kRc>a5!70Oq2?w z0;xbMkP4&%slYS^1m^b6M|HuqYlB?557tytKwT+AKtV=KaeD0gU_ukFKnk*Hj}u)R z_K~53T<4j$ zFRh@e>8>&Z#U6gi08M*k=#+U|tWY8KuHX~=v&8nV!im!=1>Jw%wtK5dglu8^fN7~f zDv%1K0*_FE<<0+cDwm0+=rnHLAZOX~RzHir%R95TZt`?U1)h=udA~i$)tq@x%KNQz ziI&YJ2YH}VGhawDtEV!H7~Yw$iGjMyfX|SN;6*cB;$n|rV{W+LL9S&+DH-FPs^i8_ zTp7V#i`gwy2zN^c=-QNhFtQ3mSeFYZAtRL3to5JzqLpqyqzR=Ar=#c zK@wcMHpn$TZ(roRMf7!^@I2NSv}cNgJ8usH6tiE@yrs~S8NUPgqKscVJ6-WhAfm9$BNCi@XcT*tO zx9_Hx?_K=Ur#bs1*0(m#1UYCX-fgNbxOQ!jYkVK9QCYVb9NZnB!3aMQwLqI{gW@;!-zA6Ibrd1Gv%nAeVt^4*tn%3-13H2*m(>2NO3i7$}i?Wv! zt9dnxyD)KPnSE~jA#G}J57}7eP6bkdR3H@?P+(cK4tSo)MJDFaY1}i{3Cj|7TJ1gJ znZ5OLC!PvCDFyO=dy>mJ^PcoX?zg`wD2-5?9isgP$B>q6Rt$12Q(P^gxAPHKMsU*z zjrUay6vEw-0h%U;`Z~o06VtU~9)ed`mkTH%U9(Wd$yY^yV)kXy7rfSuDdnKDanQ12 zpy1F>61xyfy4XV(kGC$I=-N9JWLyeg?b?ma&)*hATIUJRU7ZwU#1to2^Me4z>;#z= zhP58$pt5n$Gr^&qBz7V8nC|!_hg2XHNCi@XR3H^d1yX@jAQeajQh`(;6-Wif6%bqp zHzkHx2f5C(e@n%6k7ieyfr7^lU|V*`rtII6Ss22)TtEpKF~!MOMSx=VOW6mrJ-C5N zs|!tat=+W{zq;5{<=EG7-5_lIM1gbt%Y93L+hn5^`5E*VX*Y@XZpVEhp7AI8VlXH?Kj$7pda6Byd(-v{#97Q<1gQT(0Qr4 z1#3)r19|;fYizO(EV)Ii^YB?3#|}*lVif?}>b44}IT;&+YNX9#{Mc z3;wW2b>U>&EPjxSbl5J*zK;EwHRzh6HGX`ng$?h8$~e3baU><{9vXHF{ z7vF{HvE*Ru1VaBh;+bV!|*;f@{|XxpLk%l@w4{$`DYH z5mTHV`@9`#G<{NmR3H^d1)hTf`R^jnc9joj%an;yfm9$BNChrl0lD}Jv%pkfuYep+ z9Y=S=MZq`QC7kHmAXn~#HI)=lSIQ7jkP%ayHW#+;nG2AcRzV0dD-5}R8)&!+lRtOZ z%oFBJJhMQ1rZ_zIdE09;@l+rcNCi@XR3H^d1yX@jAQd>J0{Qc@Q>xkIIyBJ>0n3Hd zk!7R;@2-Fl67Q>QwoAI~>;n#RooD}6te{N`b*GYDHZx&xO9p6~8an6mw^omkI;_hD zl#s5O8j6#ziU7sz1eq0vb(>N-sB9cG&Bz6Zc9PhISWFlONpNl2M}`h^ooC*@w1TRp zyUGj{d-x>-H0_n4Q|4{4LWR`3f=P$DfF7aV(Ee38LU_!6|9M-Cd>~2eLV;V~KzRNqaw{G%uNClpf0(rkZ$<>^BPs;nPbBUJC zB?oz+Q!`&kGOMRDix}RSuZe-W%YaYEMUMD!q!})8u}82mH{9=r77yCnm3ZOT3vS%o32%LSB>5mTIeRRkzzUvAa~uRHGFTBH!(P7=E;;qOF3 zzOKDPLB^%<`5LamyvTWrNUIz?k2MDEnd0DTeh{FT{UYWqC7#&$9l#f5{MzYR_GT9G zYbMSt<2NHepiS-V&V6xDxF}mzBU5UUDXd0XW)Z_X^EEM0cNy?WmsB7XNCi@XR3H^d z1ujQ{T;HDJT9i|eL>y0LeJeEB-ub95xOQ!jEB9}kN(!heWe6z9h$&9)KG>B2xoH*T zg7(3LdfJs%7hIdhWE|u=&%6~Y$iG6%TLTV$$pB4LL+AY5!6Xz?yHbXLf^^N)P@H^K z1jtRRAOx8e1_a!<57M+|Z%U|N*_y6NepissjbD_#oLJ4PS=@z*Gt2CA;}2<5dwa;n zGIuJF3Zw$5z<>hFqIJOYOfE7pk51#BxlUM?sMBii5zp+cmpk!P;7KWv_uG?P&YAb5 zr*gj)ltw7#T(L*6!7-#In-zmx%M@2Kc6L7E$_Q>6p)tFGLbzKpK-0v~{YH?>r4ZFR zIA~chP;hX$LO?;fW}J#st!9%%fHr5={U-$>$gD8z0O4%Uia7{Q?If`av6wInlHl64 zL9X$6o1eceh`!Dfp2r%4_Dpeb=j}m&V)pybTlj^cog{W4HZ2uM1yX@jAQeajQh`(; z6-WhAfm9$BNCi@XyC@(84Q@&d@egv1?}IgVmWK**cYKc9N)|3qxFrL0ZOZ;FS%o32 z%LSB>5mTIeRRkzzzm$D2+Y=n9w7Sq#*VxSh$e(um_H&pOg>nR-6 zv>fy-=k2X4*b@v~7+lR2q%#|ENlOJ%fm9$BNCi@XRNzr7kbej2Y?tqFwoI8Q6-WhA zfmGm;C?M21xG6Ez(jZsv-!|10P*=(jP>>N*oc!G;0dmtS2tj6rVcjoO4k{Z5Jrf+- zNn#gbF<}@a!L@6HTsd!>N(!heWe6z9h$&8Wrfrf4(B{l;dYVJQYX=BtM@(>PCy8B% zU0*-P=Q*STk41syzW-Rt%{o(oR3H_2)Cwro`>UPZ5~kbe7vo&AknNHjfg8)87gx8> zyj@%^9PyX$42nNQV4xD~w-3^?Z>{u#L$`%nE-g|pY5x&j^Y9C%j-KhK<`ETqXvwh# zk>SSWxy9DY-|1~lqVV`#US|-##AR$kuOk|xemTr_rtiysh`L{{vCxg%exuC=`tiNS zOQPW9Uxg(+{__0?otLUxu*QTpkk_BJ=BD5ovojma3d4-v6ynX4+kK`A59~En^7ll( zv4_5I!{_#RV~;ETgav=tqq=aiZ5BVsMLKMkWM9Yr%o=n}(HcL#)xw6$0&8y@;7yCN zVqZl%?YY*1kLfBw^yJX1E7mxotmoCP_okW+S3{09$e0?_q~?j99GbnYNg8!T#P>SC z+(qxjanfKpDchDzw}&S@;$AY#mV4$b`Y!Lx-nz+8m**4b!~HW-JDs27LND}Z;PK8thnqd3xR@r*FJ16_1uEB zONxW7ZjO$-f(xjb4-DM~Y;_Z?O(|II(O^ePY+7&Yg;lcnT^cadC=6+-z@;cqE~SvH zBNa#mQh{fofY4>UT-hu!h6EYpI@A8GmAq-8u9=r5lF*Y|GCv91lWW*FFUljq0*$FZ$3^RIDi2cgeba-IG(5|$);M%o8uAH|`B?Z)#G6WQ4#1tog zb_kH0RzWUk-cn9lDv%1K0;xbMaCMdcF7j-%;BdA~nJ5)_ehMt>?B~~bcKmo1kox`M z`;*e-(}p z@1|9d`_J2UZ#9XK&8-6?$zb%M7 zL-q~@8K1&gyLMw=>}GNeBDiZYyM+qjZd!n@J+XOv5IT0{P!OavD+~`dZ%KY)<97gG zl<{k)XW5%s#IKn+vy9)2{D3yKw>$U6Yw)5h*SEJX$IREn?Oe`ran9wbR3H`DDDds( zC=XJBR3H^d1s+&|T;HDJ0+dsbL>y0LeJeEB-ub95xOQ!jYx#~z;fr^wj-QRleK15? z_nmkiYYf^m#lhEHfMWIw+6Nn`w7Sq#)0koHu7&v3#hxn1zJ~Qe-DBQLliffZYCVO6 znwEo>seyC$Z%rQ|^Qu$f;AUMepm=r7v{sya%>^iCC&;WYto0}dm5qa@8M)xlP7=Ei zdvfD*;}>NwCsy-n7I)#A&NBPl_(R&%-X5~C%$*9P0;xbMFrWY{(Tg&fSdC8Op1Dp~ zmZ;Ne?-9@Jt(QCTRNzS|koVh@T+W&Iq^EMf6_iG(c(aq?9WpqQN?v%+wY7eqm@QiCu_IO9fJa zR3H^d1yX@jprOETHn4nR+pNqFA1oVT~GU{^775S(1i6(qi1sGXJyqynixDv%1K z0;#~`S0Mim)Y-1#;cS^QQ7VuMqynkHBT+!8b8u5)sHH)!+`nzADWI;DA)p{5ra1Y# zO#P*`t z5unYP-Sjkvg4YfZ&W@Pi)J_t+5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+vOaW28% zY?nkhdt>?Y;_CL9w?Viz?K?x`4-pusg!}D-wCr0ez2MMo;g(B_6inKGMAtn0f~lit z`l)$D1s_^+tU+YBad~dB_40RmTazd}ewWu7gfDR!o6zfs#;9KobDin?vLB-EmuoC^ zK3dq;SJ>VXRWy@c*g9^2D8F2qc??kGv#)l zslo$$O_lsTk#Fpw@7wUXJ>J;kia%k&ANHs&oNSxL4|0(X+a=l8u|Km0T~oBik8icG z;j+Nm+Xi^kqO90gkxqNAwcumAN)SCc^y-Q=jwtJSwd=j9X2aExV+}H<#x$vUq9=!D zZ)=i99TD-p&M$Y-dvTmJSWe2eCDZNU36Hp!%(CU4Ig7r_JF~ZL@)J2MZ`LPbduJMb z=ZH%P$ccBn3df7rUQ<+;?qxfe#~_z1Wd1sdS7lw>99=hO)}VzW{=(bhCk87nyUIeK z;NG1toWi}tuDBBZICPHZBt1B zb)^ge1sO5L$)6npmk0;xbMkP2K~<-dzO+blSoEmI~+1)iS*%R2k{ zHJ%+mUInCnfB1NN$jYCe0yb~Wj$?c0qq^YVwLz}j2Wu)Rpsti5pdcfrI6d}#Frf)o zAO+d9$BC{Da^<{jDk-3@lp&xXBc?cQE^Pg2i~zZ56=Ydg7M`y^2v80x8wWiT9NI}@ z7h=;=fm9$BNCi@XR3H^d1yX@0r2uQ|i?{rF+1W19;cS`ik*M4wHbYZ^hgCpGKe#E; zt(5(gL9X-cgNYSX_h@#N8Hj^lGCdN~;U5P5a2uL9X-6+m}{Qtb>7K55Hu9roA$B%DgQmp^(~@ zG6WQ)Ywcf!BgDID73BW&w%uDzB4l&x07y#(Qh`(;6?miyEN}joQ@uB}gPsWv?If|wBF$Cop~n8UAo2{^ zI}~Jm3TN%wjeW73$u)@JuEp#YDulaf0lN0Y=Iue~*p)*;kkYI$JlMP?`H79+0en%$ zubrM{Z)Op{X5!2;elzj|+SK0e+!wFGi?Uqb-o6|&UlX@;Img90m#0#JR3H^d1yX@j zAQiY=1#*3RifdC&K@xF1mG!OAV0-7Iy5QQiL9XRHCWSBFsXBh(H21*}Y2A0?d8{#L z&lCq=a{-FkFK8cZpwj9>Q%z%rwYwJLR~LJ#9Qzv93w4iqD@}F-aj5ka4r*EsTBZih z*}pY?gv_f>g@c=Qxq#x;HPc#g@--Krn4KWA!m!q(98@+Anr7sJLpw?ALhQ+n&y8P{ zy_{Iht6AKIYdXv9bK?(bQ+s>J#xi#*kP4&%slb2&tVA!$WMVZsjeF)gVOgS1tG!1& zv$tOE#8ZJMr9j?qPjWeD-jkln{Z>#Kq2k@9>Vj+2*c%z-TBf+dS9ej|*hXapH;pVY zyMaQupBAKLV&Ig0uwulJ$91^{D2}K4SCwO znBC%p*tMR*!J35UUCViU>k4)iGY7%R)m%a1>xJ5BsX!`_3Zw$5Kq`<5Jbnf8??9dH z8XnG;DHEjvsX!`_3Oo`8ggOT|C5BoW_RLi41*-Nc5RR==WSC-0d=Je0Rq)!Ze8hzZmBd{LOYrgtIr6KQFFs zpLrXEYtz0nH2x5Qfl9dFK1j>HwbBa?-4<@Sv`E3E{YP}o!!MXRdZwS6M^x~kCC3^> zh8vgX7F#cWr?)kU!sB;&ok92#m$3=Gj%bYfVCP#LN{*vjW!qP$M+g9 ziGq`V6_)V$%l992UaD@v8WY|?UVqk_n}TP|&TKF%3^RIDh&NMi_n9g@u-8<{-xK-9 z9{RowpWEY&J+Al@7W`q4>cYvkS^OXu>9AdreI5HVYtS`CYy9|D3mYyAti5f3H!aGF zeHH1n=UNLsrmF#lxn`$;(4LQ~zV`@y3nkRa4X!f=yY19!B-|PHx z7rht9NrUC2Y+Ewj9-i=sd&w+Y?wPabySy`d>n1;u!}4Z*BDQy?(RYrxgn*oQ$E$F> zcc$wKC@lXz9uwaw9Wb7l=%IN~q7Eq-FK;?sxa|_lk zDGs)}IXdnNE}&*UFmxNR)lINArC_y3gB>ZcX}zr%R>|UbX~0mUFr=jdm!d$qltQwO zR3H^d1)hZhLYMJ!WwXQ>5@e9;O#8Q1@}`BlW?q&^LQihV09}ih&V^Wiwbo@d2f-<< z%LSB>5mTIeRRkzzC&;WY%;-%a_A6V{;eiQ5yVB}{Yu5(3a^5zT6i`>n5Kxd2Q=I(S zAwX_g1-YPkOF3z&Kq`<5qynkH)m8qx$g|CY!`U)rqEz7dDX^@wpI_tI@#9rM>i36_ zw}-6!`6*!Y*6cX8cRs2M{#_g7%6+h=k^<^V83GD2Vv5sa-v<+#a0OD3O?#Z^+8|fX z+oqBN>Pi^`3Nm7f)8@j~pT-D~n^r-Vb!FlC`hx)Fpt5n$Gr^&qBz7S-Efq)wQh`(; z6-WhAfm9$BNCnQVK>ob!Y>FSwmMIgZ0?$$bq3gj-iLOBGrwnqPXCF+gpt?u1tIR+g z{E`8hriRY>{H+x#q}~;Lf`8s+YAD>kDgxxDRS<&A3d0@u!J54(#C~OKIy^98XjfWY zaBbR0h7NL_XWqWFf?^#E6npq312pZGp;P8WZ=w!GEPqVMv~?5&$T9a4d(q(I(p zPjWS9-jniv>s%r>D=x}rzK~>Ar)3r~yfa@D19g`HpCK2)i)OgQ#U8=N+;G2xT+577 zGR8Yq#|_K4GJ?Anvs<)E^0 z&@;iIog{W4788a+5?s4B$TdE1U*x<+^mU%_Jk}VrXNp6eX*anJ0(A3CO{*XmG;b;A zWXA6Rz9{3@PS3J8vxr|aab_968TkQiYHxS$E7!MomhMJix$*quPiMX+28x~9+d%$w zNd;1YR3H^d1yX@j;N29+_3gXq<$D+Z^l8pMmG!M4M|a&Cs%U?sa&oL9+)t=9tyG{;Y8DzjDuX~nYUsE zZCcpu1sjNiUot?`)X+Ke)+7{CyHbXLf^^N)P@H^K1jtRRAOx8ehIKz#IjC$LG_Bd2 z5?Z<3I-2Bn1^L|gMcK=V)x4U;UASPg%sw~%kT$iqhioi!rvj-!Dv$~cD6lMA2RzT@ zA`|oIH13(}gk_03t@a-A%-(vr6Hf)6lmdCbJ;~*qc~5#G_uJnTlt!q{4w1jVgKN{+ z8yVzUrnth_+4+boBe-dV#_R?P;cm$QO%p?Xo#KLt=~^)l!7HrG1(cAkS*YUVt0F)# zJ3(fJ;cok2go-^nNn#gbF=1#|T3vAM+922Xyv@(w7DQj?3D0AVL3^e+xbyZPKr#FM z=Pmrg&`uJ&5Sx|?qynixDv%1K0;xbMkP4&%sX!`_3Zw$5z+Ds&f(ADwhWH1$#`nP* zJIg}_xjR0`Z6ymADBO|(x;AD1maM`M*5v|9$cQOUzA6F~vtQ6Y*g&P#g{HdJ?plan zUF@lH>}yyzEaPo_g1Z*8Tc{Ae)>AmBX*uXw&f8m8uqPO}Fu0m4NPN9eJ1rGR1yX@j zAQeajQh~>>K>i)5vt7f(*)nCKR3H^d1yX@WqJU86;HJb-OM_gwf7?`3KwT+AKtV=K zaq@SY1jtRRAOx8ehIPMCIjC$L^h|JQCy8B%#e`vy1lO(&a^<{jDk-3@lp&xXBc?dj znYKwHK$|nW>1hrHuN@$q9WlYFog{W4HZ2uM1yX@jAQeajQh}xd3hJ+Rc1xHh5#Sf& zT!O#ZE{SmV#`5RI)$KEHgK%xycZS9vA}~-1_uB_)*|%1D!J*s2EteK4n6&?hu6g(c zQ%BGAQ}c)lKD6XmgUE2>^4wzUf5L)4>``4f**1$G)fHC6%XTo2K`vRy{B;tq%DT2Wx^B*_K?_Ixg}22|3|3rr zm4!gTy=xyfmwIl&+9k!oRyRk-UBLy^%m;>U1Gc&e)}|D!_GqvpB{r?M^};Gy{4Na` zY7~aFRNzt+D3?-5){zRN0;#~WP(bK1UaoAG7(;>#a-C`a)=J*AP}j`M5=rREEg7I| z@zS{v>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6^0qTDa3wdYdSnIVQ5!cU2yH%AXm=Y zrji2cN*MwQGGdC8KRX1-O{*XmG;b*KkJT`5CAK}Jk*dhGjP zLKCh)3bJXB6I~nR%6Z#VQb1iPLqI`BOmW&=*!t5L0dmtS$g-|1JYRnhpd3^-4tgdy zw3Eax#HOVJsX!`_3Zw$5Kq`<5qykS$0oK+RZ~61Gvt6RY*)rWDQMpHKhNc1!tALPx za8sgNDf=mdT<6&b6Dz3h(d;TS5C^|xfTpRTb3T7-g$k*61)t!bcbOUrx37u-xoH)I zAhW`7$9=G7Zwj$r*_sXyOc>ggRu^2G_K~53T<4j$FRh?h2Lr_(e#rn$du8a9d0R|E zA+;-I2q;L`+P?}%h?PUGec za+WP`^|R=^yfb_2CQpY{;3+AP_uG?P&6)S4Cvm?$brTo&bBviUBss|(bx4YH?qsKC zz9t5WJV9@A+}E%%*l|!0X14HTxL+KZF2x?fCg18}4vN<%$u73RMsU|+b_*54*Ln&E zw>Jto7uuA4FtQ0lSeFYZAtRKq`<5 zqynixDsZ_9sz70_RdFj!L@6HT+4S%3SYcab^O3-?t>xHy6?pE zSYyzhDGt8o0u-}f&_38erPYO|n#K%kcP+%PF7{M8_BE^*>K^k}n(PMRQ0pli)U+J5 zObwi~e{1>(nOB_(2RG|-0mZ9prnTbaYc4=BJ3(fJVXa3wsB9cG&Bz6Zc9PhI*pnNd z8^0)fIkB2ov$zY_be7rY#vjtA_V$pCW$siU6-WhAfdK_riC&b+#At)MhQ#k)<_1=psrH!{ezOmT&;?xMJ{jmija z8d+lY|DU}#$Zh2K-M{@y{s~?LSp;7M!7Q@iaRb{(NmTVGGS=|r$kbJt-swrzM1BGxuElA76z$yD+#fTw~>v9WLLb_(5 zij%L30LAPCnH7fT?Sm02_UI&uU5LempgeVr#fk2MDEnd0Ei z+misr>@T0U@C!pbN$f&wS}KqVqynixDv%1K0;xbMkP4&%sX!`_3Zw$hQ9uY9+>{vN zALJU}2Ybo>Efu>vKId&E0|gJaWPq+s*}o;LFobovfD$rdij%L30LAQAv=25=X?3Bg zuC==s;#U`YsvP?o)(uOhc)@QyqZ}+6^0aL+yTu8yYdwX7H3`qVmh<+}73?Zz4uX@b zxq`&k3$@cyfm9$BNCi@XR3H_&eFgG+pe}X|PZ!ISiBf@7AQeajZbSj0&cRKIp_T@@ za{soerhvLqhJb>MnBwH`HVKfMRzV0dD-7#?p>j~!IOv(+&`uJ&5Q_=JAPKHr8|2D) z+f-6OT`5CAK}Jk*sxxhqM1VGDcGJ@w3SK)vI6Gp3Q#(oQLTp+pkP4&%sX!`_3Zw!} z1r*d@?d+B?O(MYG#<>K4vt1J5?1Sachr7pTz6Rmiw7(6FKSW@l67IJT(z3s-^nydT zg-0$eQZQ+MiLQD01ye`Q^k?&k3O=>uScAy$;PO0T>*eqCwkA<{{4Vb^2tVR7HlgR0?kQU1kB?f|a9LpOZ3BF1QC94aNT)s5TJSktC5WD!dUeGbN0jxv+V$R4 zv*BvUu?87aW17@F(UViNw>3$lj)?e0=a;+ai#QGqmP6ULWV$^(@Q8cKEL-lGv*^3L zGkfbMKgeNuvp$IJ$u#=ph-(PQiFdvV=Zn`~Q&gAkWjmS2AeSs;{yK?QWnJ5xT{mae zpoJs;!Q0|b1}iSR%0i&v-nH+WOFg$>?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdoqrGsfmGmKC?IqhFIP58j3Ge=xh}MSYb9@5 zsB7kBi6r#omJHCfc z=j$&5l!MB~LC*w-c9PhI*tAq26-WhAfm9$BNCi@XRNzf1z}ouiEq`Bju}gHiSf)&r z3cO1NgsulSCAtE!pEAgGnSC&^g6ba4t}+90@Jj}0ni@Li^S4&0ka}0}0spo)>bFiFtGyH*b)$YMqWO`6F0U| z88Kap*)3EE_tS#(Y|1_uNrfS-%LSB>5mTIeRRkzzC&;WYaF5!z57INip`9dlAr=#c zK@wcMHpn$TZ$HGZsBSSh_*ZCoYY;r#k^#E5zi=*>LR9PMvYLb7FN89M)kw=MVt8l1CI;#*13u}J3cPa#{(9&AXZxu@Dv%0XnF6`KJ>r6tBS<2S zx3az!8l;(cx2d||+O;*v$aR@{D^^gfgMm2sB?B}~4c%Y3f%coMfW{%q z&;5%w1QeueriS9=t0F+{7R;gG^~`zO>`fu|D_hgyak%lh@vE|r6RY_&i@Pv!W|@6% z{55TAZ?D-{=1v7tfm9$B7*JqYv<`S)$VDdR(P`W>*9oj1U(;sx_L_ZV?o{C73grFv z5XooWlitexR!|zDm~+J*!3M{WmTXoGaxGI_$=KQXh$|zwX@th?1`6SB$pB3gL#OP6 z6(fc`uFEZ03F(@JDo(yC0u-|oWL6l~jVa}zvT@L|VxZvAP7=EiiwVOZ39elm}yyzEMM?@hc>&Rg2!4< z;h?7Fpl3O6A6>zoVBo^wYOWxi*?>!0Dv%1K0;xbMkP4&%H?2T^57fmj-|1qRGEpj! z3Zw$5z>O#%)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY(<%r-W`$whFH{aH8wWiT z9NI}@7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PIabjk_gb|%x-#`L&0kY2xmu3aB3%s zU5HIf1yX@jAQeajQh`*Usepp|tDW5vrbz_&+c=ltZ?;P!oPDtT`Ed96%-0}XoA$S% z@rMWuRKorCL0a~gm0ob@w(!WMMG7YEFVQs*zhLUgW`kK_n9-X;yqR*l&s5=oeWpsjPvlQ~=(jffYL74W zxZ^7<_+pRh!pXK-{2&+Uuw9aU9s4tD&^<+K{P9r>8!ij1y={OmEy{}h5$Uw&S_?j> zs|3-LQ?IUAQz)DaQC==^dQeG$i@!Ez|u zmQ1&Y2Oe=RnPtm8a~6G{7_3w6!BERlqs+>!yh7B8I(vHohU%W4jSQ&^V^C?O-JIQgmw zP|Qw{Sz(ybn?meYwx+`a6NYxB)dknC4RYnYZ7M0Cu9P95AS0$Y`LjcS+_VaEMe~+& z(o%s`AQeajQh~d>{C|-bn+2zfWy(aU!245RS!ch$#Pi^`3Nm7f)2;7=2~D^HDafWhPIPUME9Y%fNda}G3;_ih zF~wgZL1u;FnfqYP-V|cLvNatZm@u>}tuDAW z?FT~#xh^wrKUzVt4hD)n{E`8h_Q}vG^R}3TLTXpa5Kxe=wf_{35bvf{keAQfc5gL_ zkj?PUGeca+WP`^|R=^yfb_2CQpY{;4LYT_uE6R z=FEH2o4DT|-Ne=X9%JSUNe-E#4oPv&9d>HwYhs|t1A3G5zJ`s#j)Q_QvxO(a{o=@U zDfS39`BoQmP`oxtcCigMg1Z*8Tc{Ae)>Almyiv%x(5CEzkxdxFx?DgB88OAlS4Dtg zc7n_b!>W^VP}w->nc&b)61yzY+{GSh>|YBa&yYQ#AmdXwYu9eMalDoFt-#tdtB zEyS-b_Eb6cHLMrv1@l&#>;~dc>nR-6v>dcd4V<%oYx)S8SDgw659@LP#j9(kwc_M! zEa~ zD2-6@Zc}x^wQ1~)400_~T;Z#`C~j<{GJ=~%mYCf@A>2<3(lRk{%05^zV#wpV+=7*m zu34z!ynS>9yNa2E z;N)tqAo2A=?X*-N6-WhAfm9$BNCj?Rf&3n*i(SLh#WH20R3H^d1yX?V!|*;f@{|X zxpLk%l@w4{$`DYH5mTJ%Oxq+8pv{@x^fZTp*A5WQj+o%oP7=Eio0bZs0;xbMkP4&% zsX$W!1@%`uyCqDM2=KRYF2Ubymqa-GVEOam?(vzgLAW;UZ$sk`5g4e1`|X3Y>@O?5 z;LvU1kxPpdOxjNfaKx%liz%kGPCY z=zTF7)rRf1~b~YbOt{XV##5iq`n!qZT$?7Fc`R0AE^^75gL7Y0tG5d`?#hq9>VjXpWz8Uk|Sov*_A;=IppDxPY4Zz|d{LRyV=gl!DbB z4R)l&ruDX7SS5?!r2#{Y!jP5AQeajQh`+9?k@jd0+5OQ7Z8M6j;{T@2~Of_@))ed3)2B?>!eUG~eF&s4lp6ZICPX!J0}6s4Ha%D9DH@ zPPe`fCN$v+q#&F2IMKC1uAH|`B?Z)#G6WQ4#1yB^g{{Ah5g<3Mf-LXPh3D%p0+fTw z#zD^nhjxMeg?cCkxzx>%-6lnT5{1%$2# zHzm3Pv7a)?b(wuIv4ZLz&8{*7aqvq9Xqp;2=kvE#sE~SB@B#n5%hXV~eN_a=O{*XT znH7d-?t?XZQ;7Y_)^vDa!qBd?y5QQh9}FGjy3D-&Xa&VO7%2AeO9p7#Cqt*q+hP(5 zsa+{UKta0J{!=(Yyqi`*UOsQzz11W_Hn$Fdv{WD!NCi@X8&zO=^S_?zWnw8hjhi>f zS+=~@&!X@0&g`w5JRMSjx1>PcZx6YeGw(@n;(mK{6Ib_pjF~SaIb@DHB*i&**r}PX ziGd=I>CNV?kc?)y#Kj)L#@ukfgIvpuQZn-L5uUiQjmn7WTFh>tLb#t6q-Rt1!AL3$ zVO=hugp8QtK21T z)pS>xfr7^lSoikuJVaDG8zAEF-PS3J0vxq-4ab_8R8Tl1$ zYH!c(E7!NrmhM4ddGP%3r!!v@1I145Z6JTTqynixDv%1K0;xbM@H7Q-efu=MeDC6) zKIZIOS>FnBw0AzL3$9%o>Qp#*SeFYZUR^V- z6(?VF0gBlPGAj&gJ<364O`F== zYc`g-Q-M?<6-WgJ6j=VTHQ@OK7kPs3W7n6&KIVFStdCC|c06;Puq;viTIaP?^Udt7 zCdntMzy-fgNbxHgTwkwLCy ziYt6|7sZWjR7P;q$P%*~D1`fIL0TpTPT2=5Mhtmems_wB(lrZJoP1RTC}t?MkZ)u3a1C8lSiM`P+i%>pbCktTAZM6bE!{j!~d<#|TTWBJCNCi@XR3H^d1yX@jAQeajQh`(;6}W@~LXyEviJ^K1xyJXw z1lL0Dbt_0YSoUOi+BSE`=gehsLabXdK-Z@1-;z}r!n#~Q2^lfP$yG%KC}zK+eXxN_ zs|!tat=+W{zq;5{<=EA*&eE6s-l5HIsNk{IQ#e>(96ayZ8=JQcITS6fV%`=iNlOJ% zfm9$BNCi@XRN#gc$nSx=*u^_tEYmwkB=4ZdD``=$q>d~j6?mfx2z|L5K?<_jqT)o? z2D!%fZ*w24Ao|1oxme@w(!g4!Hou>{qc5Mv1KrOaxJ5#sX+vHEoQe+A$+Z;a8T27(6ijXeRKtTf`JQztGR;2*9*1NQi0o4KyI__ ztoofRa4YlnR_c5M(@AfrhjXp%HAQvlw)LBN406drwyr0YV=wkYV?nfV#6SKvC|-mb zsKk0{Ip4Owtn`9Qw}nS8ZCYCZw!cKz!u^7&qi6cFc|--DT5_yGWQbR%z5Jct)+7p# z-{pM<;YVD?CiFg{G3xKbTo>9;_&4f)xyC{_Zu^Zk7wErl8ZU{0lYbSK@c73+-*sN9 zZowK8K0rSI(wdusXUxuQFe?l*dQ*ruQ*QTfay+olRLS>={AmyU)`nm0@x>l@e1!#H z>``4f**1$GGtrz zBkm=$Y`JI7qVMv~?5&&pAcy76`XII^)98~Ut|1^N-uWt=FJ601QC+&1?PMNm**4%i2cn&aMy6d|g~E9Ptm{7Jo8WaoJTC0tNT3ecxQ_xdm&N6bD<~oE>)s7f>@F z7`hGE>Lys5{+}h)Hak*c(|YU9hI9I%M$eu(qykr>K)I4SvW!$96-Wi%hXO*I@p5Ie zkQ!2Ckn2MGw^s6|h0T7TfkIDi$pBr8m(GP)f3?fn}ZDcTA5|;93-r`tQSQsmQOx z>P)+g0(zM=FUun5@blQ-`KT`EfZj03mHS{#A*<$_4d(+s9$;CO%(uP|CNwcP*KqCH zAXm=Yrji2cN*MwQGGdC;t*!Ihql~N~6?l^h@FAP4 zxBPwC#V*n5Vwql$sJtLHLsNm*RX|AZZn!AOX1jzFO=ELwkn1x0U}6PrTG(ud8i<2m zGCL=8bxm@h<$DHnX?a z>??Dp0vA^x@3)6YKJ%XRChoUKH^IL#ugYe=kYrY;Wfn2KGhY(}b(aC3j*FbP9Bl0E zd{h@)yM{2E-KKc2$UGH3UOvJTH&$XcV!9TyTc{B3rUmF)+*={`d3!}f3PV_z3n(EY zrZ~B(r~t+61eq0v8NDgQZe=yyBF&xP+OD*^;M%o8uH{as@U<)bkn0KO{Y&rZ*>FSCe0GjV1ae;N4|ZEA1N?u&cERoQY^pHh=d zVKve+ix}RSuZe-W%YaY1qynixDv%1K0;xbMa6Jm-`u2!xQH~&qINr+oR%o!j^HE)J z?b;yM_&!);H@I624(^W6d0WXq!NV;Xplfez|F$8AqQw>Mg9-Jx7fwMo7o8JLV=@kM zU1r{j6|`xAw8b6=%v&{yS_&!+v{e*(>m)HkW zAyfMbnnS^Btw%YiyJ{TtOmJu?iCu`rgkg{b*RBn6jnCW0Z$!Gq;7~Q)Rc4^zu><&Z z!jMh*y#twrA*{;QB`xZe)RASR0&i3Sp|AGNM|HuqYlB?557tytKwT+AKtV=Kak|}ou=^H; zAFeA#uBAeSs;yCi4e!5ZsBW7V~A#6SKv zDE<(Efl91jGDyq*veF9<-4-6Xv`E3E{Uy5Q;TKFDJ=34fBP#gRl4A`b!-LE7h^?2u z)7zRv;qkk?&mjDW%h-h8M>IzLeVFS)|1SGC>VCP#LN{*vjW!qPzi%2ZiGq`V6_)V$ z$3Ne7UaD@v8WTQ1KL661n}TP|&TKF%3^RIDh&NNN{)=%Q+h*~DT%^NxN%nQ@ಶs_^cM=fl)EU@;r0lu^-EA~gE)1GTB z_?)g1L{Cn=x?+tZ%6eYydT*-Pa5dyugN&&$O=_O#$*I}fnxs)jMEs)j%U$$E9ES$W zp=?_+-5wrz#JyyeE%(e>^j+SWy>*ix_pb3!4?PfkOFi$pBr8m(GP)f3?fn}ZDcTA5|;EEKuiFqqmB-k4-MQ@}( z`%{NPsqLMQ>Vj+62Dx${tf{1cx>AOKf{d8rbnE+ILKCh)3bJXB6I~nR%6Z#VQb1iP zLqI`BOmW&=*!tTT0dmtS$nySNc)tE3Ksl&v9P~_ZXeWtXh)qicQh`(;6-WiXDj;8< z=Cip9=v?|_PX$teYgZtDUv{x8bh=okOq2?|O9h0k2R9|U0yx|P!fZH&+jWXXtmHHN%SbN|Oot6sRngZ*hYM}1r&40l2LM}2fk51#}4RV$( zZ}qe2ySy`d>n2Z!RNyTskoVg|uI9{pQr>T!OLTQh;h8TaaRfNzR5^qtAes4^2vR;g zT^=61eNxZgppWkx9(>m)!A&(yREaz*o&at<^82JlrGe|CD7eVIl4nTa#Y_{+$zXj6N8 zc3-)^eYSKD0?UKvhd-V9niwc{YHtJi(KR3H^d1>TVYxxPK(VwWRG zB96DRz7-m5?|f7jT)Q^NHNFql*jertgM+){bKc)EQ1Ea|2I$%w+rMqdp=fax`(TAi zMk*EjxVBwmGP;vM3EfQ~JLdz%kh*jDl6fn}vrAj-O#xi#*kP4&%slb2&%RjaTJTK%T6Z7aa?wRWZR*$b~Gkbf@zA|?zaB&6letU@I zGw(@n<$fzDjZn}Zm%~Df=R3H_&c?JG=^BrUZ zsX!`_3Zw$5Kq@dz0fBjNQ(~xyL9X$Au*S~vP(kjF&v{$P!UYPqWPq+s*}o;LFobov zfD$rdij%L30LAQAu@6RxErAqx(;g?f*6vz}UtR2}a=b~h`z=Gx+k!}!*uT9KGOd@V zaImIT4z6O}7Ai?g1yX@jAQeajQh`+9h84)~fx6hmJ6$YOCQ1cTfm9$BxDf?}I@>!R z)dknC4RYoFZBt1Bb)^ge1sO5L$=`bvAUCaoT*W>Zh1}f5RmUbWR9-sO8Tl0k@{_(d#@rPdwRAT-1L0a~gm0ob@w(!WMMG7YEFVQs*zhLU< znf`1ZQNgE{9BU969$cPBY`y%Q-qs`vkKg5e2H{6s#wPSWqA}|4!(12ociF#D_scaF zx^dfYw7Ee4ebabJ6rB94u!P4y{`s!+QgsW~nD7De`Ipw*6g*>gW`kK_n9-X;yqR+Q zPg&t&_L(aAK9N7|q2Jo@t3AHhUhR5ss@ZTgO+RX?+kmZZg0(3Ht34X*NQq7BZN0Ec7Qagah8l$- zEfq)wQh`(;6-Wi%qXI(H@p5Ie#2C_Nkn2MGw^s6|g}P>5mPkTRZpi>$izO(FIxThrlz2}8Tm>Vj+62Dx(HHkA}mSIQ7j zkP%ay{MjKuZdwJoqIpX>X{kUekP4&%sleS`{=dkJ&4Sa#GG(Gv;QcAEth3)=0G&OY2=Wnf0A@#1{1O9uLsiAQDstAyqRzV0dD-6%v2W$4G5c`#_>F~gWps%r>E3V3BzK~>Ar)3r~yfa@D19g`HpCK2)i)OgQ#U8=N+;G2xT+577 zGR8Yq=MBraGJ?Anvs<)E^0 z&@;iIog{W4788a+5?s4B$TdE1Kjgec^mU%_Jk}VrXNp6eX%D$h0`%}qO{*YRG;b;A zFyn6kUzPD^r)SxhS;U{2IJ1nujQol=wYO*YmFwGQOZOnKJa~Ti)0wY{fnuljHjqDE zQh`(;6-WhAfm9$Bc$xyazI~crzIX9YA9MCitZ!|e33AX(yxUY=aP8V4*Z4kIqq1%> zIJi4L=lvZ61rN7mfUf2K?VTc0)wrveIS5X!<_c1|T$j7Mg42^o&H=4~$>?TNqILz@ zIUgv7)SbhZ%v&*@UD{$N516-VEOX|qNhn6{OBn(R(lt{2MT3-Y<~tFn(1tNApGyD)KPnSE~jHEn8dui04UP6bkdR3H@?P+8;#v1*H*+IallvY;X)| z$!5hM*D}SGjGdj2xH5v9Mrh1#pb+ks4A3+&biWbgaw$Z$4h~vY3=|w(t`Ja=t{JD| zRIAw}5unYPb^l302r?@SJ3u(wvtkZ{Q#(oQLM(N}9=dpZb>T$Uo=}i+DSW<$t1$Wb z+k!}|96XOT2JM;R;A(ynpqQN?v%;{}qa0K=4tgdyw3Eax=NY@y0Z&>ga2pE9ZIqc+ zrUI!zDv%1K0;xbMkP4&%sX!|5#uX6y8{Cu_l46kSGW)kwT=!^pl^G~_>;Sf9hiuCJ zEt!QOtjh(IkP%ayd{qP}W?%N73SO_a4|bnhsG(hHb-}f3gIqapn@S3(D`f~M$cQOU zH$87hx=f!`AQeajQh`(;6-WiHR)PE;sEb{i)5S7nqEsLiNCi@X8&N>0)7@}Ukj-`p zC%QJsmHS{#B?Z)#G6WQ4#1yB^h2>%t&I*H@Rza?1|JF(o>S;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~kYf!prp7d>d7>w$ zW^ZefMja9Hi_R~1(HC(X8Z3vhZOL?dc;FHDl3BLgGiT9vd1v<4O@5FAH~&{OeZ}0* zPn{F*tljzIwbvBYr7QNyJO;UBAzK$N%CR3SK)g=F)ea7>@wWJrAqu)<4}pSv*S>Eq z_1uEBONxW7ZqAOof(xjb4-DM~Y;_Z?O(|II(O^ePY+7#vv*Esi98-bYQlQ*cxmj&0 zkP4&%H?DwCf4p4TETo279pt*u{;id~X<@TMHc;rvEg7I|@zS{v>#x?jtmYs%g>|`r z5;9_nldp;Z#q0!`6^0qTDa3wdYdSnIVQ5!cU2yH%AXm=Yrji2cN*MwQGGdC8KRX1- zO{*YRG;b*}6{ zJhpc}s>?Z`Hw<#+K3G%8s`+Na`GAiHSXL$Tt?z>gO$^R8T)Q^NmGic#q=33ohJb>M znBsKn^LC`s^hpI$fm9$BNCi@XR3H^d1yX^d6v*F~9i?QK=hXGM0*7)1HDn2?!1ELk z!rToP1=(zuaH45!ZVhr>W*xYyT-6A+~)5&7t7+ym{O1O(Bl2*ZON0ywXyER3H^d1#VD* z<<0+kDwm0+=rnHLsAn1P!mnvFdwb2kGIuI)aRu^zdx+#S?@4*TbuJPA#=I(<`9hLe zot9a|@XmZq4Afl)d^#?2#?K?oY<7tJ{hf0_Zy4lSW|TtL+4+bo>(z3`V|IfD7q?`9 zuK7B}1xuH4aX34Mb-93!a-SW2RpPWY$g&iBJaZq6NMVRu@GD!l-Inktk&v%zPbkQ^ z6ux$)AL401aMxmX3l+lMk^#E*#^&uw=-8D*L6Fj{Fsu_vIj9zl{8fHnq2B_r+`Qs%%+}OsPqxuo`KZMGWuE*Tg{GWxyw0 zQh`(;6-WhAfm9$BxE=*^eS5^UC`XV)9B*QMYx7KKkY?iDrs{%g*9N(ke=#Y1br;2r zZB*7Rrp<1s;NhnQ>De3G2W!ZoNO48`U_w3ag;S8tl;T9wn2dv5mzlR>1#Mc`ygCiU z!7mx0X=>=4d2131sa+{UKtZ}@YA8;=DgxxDRS<&A3Ip%0`}RSa*6d9Q^($M`HOZd~ z^11P=vX2w1`812WFmYy?eQx|UZEA0?*;wXI1yX@jAQc!;U|F;dcwWdwCg#y;+%wk+ z%Mx{3?LFd|z4dY@o(jAv1@eA-$mN`QPkJNw+y4nlBh+SxXurWRq$QgbgIvoLSBvQF ze8iOz+%!VteH8#1QKs*Jbu^skrXZ>?$)*@Yn%t%MRI;{aZ2%Ls*v!C?O-JIQgmwP|SWU z`(U;QH&AJHp{cI5yB6YC7kjE4`x>qrgpJ>b5Ztwx-9m-%wVuL3P0K;ga^60=f<3{& zg~8QaLE`H*d^>?AEfq)wQh`(;6-WhAfvZ&@zX$4Km*#Y_OqnPZNCi@XRNzJw5b7M< zlo)DhkSq6Zn`#QED`f~M$cQOU{%(^1xoH)IAhW`-?iVTtm5qa*2@dTfu?w-7FbtC5 z+O zQDC|6-%7bzXDW~iqyjgsfKt7`+Sx5(x{dxe&Ls=kF3A~qu>ARO_xQ}$#nr+Q|M=UW z_(KE+DzSe1AT9gLN-sEcTX^KsA_bH7m*|>@Uods_On)|ysNhpejx~r34=&FmwqE{D zZ)*~T$M5n!gYY9RV-tEG(HQmjVXh1PyX@bn`{f!7-MH;H+FYRjzG=K93Qqo2Si<8U z|9sbZsk#MgO!xr#{7Y+Y3Z5}Lv%#z|%;-%a-b^{BN#X38j_25Cs^t4b{WVdvDC>E(>%FOF!_|;u4Kk+2G^u%_C#Pm_Ym!DC5%G)8FL%)waU2>f zhq7(SbbEN<5%-c=w%jvk(RX=g_SQ{)kOMdWS2TUa+|N&)6Ys3u`Qo+L6xF3G_Q^a3 zxnv<*7cR=NA1gq-PQ%p>4zBUG_>&N4f_vA#f3)^eB6dj%XE$APqG`6eQ5<}( z5E!}**y?6m%_fN&8l+uYz{9;53evT9Z)*~TXH!|d_T3EWkP2L(0_6&8%92unR3H_2 zy9x-c#mkk=5@Se(L9S)zLE+pasq2_^sk2|z)*ynr7PDKZ5bl-?(6xB!T!>v;P!4Jx z9P~_Z2>N*oHiG>?wJdan^r*xGAj(Ze;a7H z3X{Kg*vu2=Ogytdd!{(t`n>HmnRqIY3Zw$5Kq`<5qynixDv$~ssX+d|>_|16T&E_Q zU@DLbyifrlKHgW^Y?pLXu@5-Nb(#HJv4S=&)SXIp+02B&Eg7I`YUrHL-&#FF>aZ>s zP(r$9YA8;=DgqR<6J%Bx)@@4Vpt5n$G$R)r+DT#;VliPDB*C?5KNvd5b(wkl(F&@X z?kY1-?BSOT(6mp6PMNpG3KdfC3ML)q0(ypeL;FwR2;njN%jaz|@_{6=3k9a70;xbM zkP6(W0?V8K^;9ntOVMfEyiw1x<*j}eeV2D;Z{6hSkP5sd1@eA-$km*APs;nPbBUJC zB?oz>Q!`&kGOMRDix}RSuZe-W%Ye_2i{M2wT;gJnU}J8$-$AZrMkyKNovQQ3Ph1(n zU5nW*R0wxV2I$(9eK4{LLs*v!C?O-JIQgmwP|UvEtP5Vx+`qL*A-tU=b|DrMhCvcs zyEe!*K5swdyhZeNp71=@7_?`KgFA0e0u-}f(Y&S5!;HTHd{xGuot|Z1W)Xj8;>EBz7T| z;$sh8JifYcqHFE0h4|IQo+`(_hO037`P+g>s~kLE1uPt_fO0Tmij%APNq}N@g3JoT zCT(Q{>6zfrP7=EidoKR`B!^TW6-WhAfm9$BNCi@XR3H^d1yX@jAQeaj#uX4;2R9{# zSO>W-vwus)b&qCOnSp}G4q#h$$foSyl35tSx?DgB88OAlS4Dtg_G{S(vpu+hN~;S^ zb*V}uEp#YDul1~6b@=y4tkdJ_R$sW2?j0U*y ztTPo@6tX&(1#UzErA~jfvs=P+Tl#IBOBS+Sk~8pN`SaoK@tLoStA!)}@wY+o zhX@Q*V*U0(TK1QfUU2BP@W`b_3MTC@(KQdhVCv|Z{%jsm!KaoSYY-V8T%Jd4z5Jct z)+7p#-{pM<;YVD?CiFg{G3xKbTo?Lx*}qZu%QY6daocaSxj_GY(|Ab~ocyb>gvUSr z`L6R)bqm&*@B#Aqm)6`AJY#ldgIQsi(VIfNnQ}~%!r3()&#})`$@hu;X%GF@hF|UR z#U6Kjg#};iQC&FMHj5wRA|19%vae%*W(~ThXpKKUYGK1=fwi{{@TEmru|Fc6_FQYh z=X8}IdUER36>A((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-udB#k;E;uoD??xHW^I5b!e zW!sYJ_VB-dVfz#cQr9{;#@p#Xgxw zlk2W>iMe9y!bLgoV+DxUX}H?K!8P6%e=+#uJo^Pq5UlGJs~y42aPYHJX|U5nW*R0wxV2IyM6 zbS}iMEhq=I4i0)IID~b%fD$rdic_s-!zDnQGrJbdq2RRxgtH?iIJJ|+F2rKOFi3)H z*9N(A-ZqsKP*=(jP>>N*oNj&Ijx?G+sX!`_3Zw$>L4o{#kr%tlr;BCEM5#b3kP4&% zSFeCveT7+IDzH~T&Zo|!yWyhXo9z-#bZw9;_raP<3aBe(2q?&iDNdUUTldTb$W5yt z1eq0v+`kPpT!qQsJ8b3&b0(fypgmI@ZhhYNnoK+uNCi@XR3H^d1yX@jAQeajj#MCj zUv{LLO|DZDy%MloNgY{6D)4j#gphb&WwTwT&D2nwd{qP}W+%w3Fs$2@%0Xr0plL=fIJA?*F2rKO&?fn~ zy704UKN#BP65>}Ed#W7Qu2-ONb`|C&`?r)Hvl}S(sPz;MYFZ9j_Q}90^R}1_LS|o% z2v9=0*8WpCLcE(+L6Fj{FswQ$2UTMZTGsAO39Vdi9WC<2Qi0o7fEQL;DsXEGJmJ4i zE{oRd=};z?qSLr}gPdi{Tm3BhF7M3Vy2;Za6?jVudoJg&^GGvX;$n|rgJZbgL9S&+DH%IEA8};_cP(bO zP$Aqc8K7%Z_QA+13}Ia^poEN=;^eC$Kr#Drvo3f&Zy$_EVQ43bU6$}Ck&v%zPbkQ^ z6h2?WRhSPsZxLyggXgivpgmI@T+L4c6tiE&yrsm0jlThWRmPv4o@HNV5r1al%rgEm z@+;cZ-k#kT_k^pmWi>LTCYi!&q-7Q{yfa@D19g`HpL9tD-njyQz4QLF{Zt?oNCmD; zfn47naY4!vBoW7(Sl`+_6B?wMc(xF6%#A1y|QYK{h0uXc{wYkn1w@R;-{+3!B$~fjIaj z12jzyoilGuLLs#)We6xp*Gvt?$yY^y+_VZpkXd2iy>;I{NYk3VDWQI4Yq}=+b3r~g zepU8yVl|&;aTg}eEVIvzzot#??KKF& zPOH5~JhQi6?!;4pH>E({Zx6YgGw(@n<$fzDjZm8%qWuQPkd|y#400_~TrHxv^AT4@ zaMK8l_f-rO!rhVqnkI(&I>iMO)3stAf>&6V3n(F7vrxs!S4Dtg_GQu+yw;5=<)E^0 z(6VBn;LuJIyAVsd*h3eOuP&VE+7k*gE`_gl?Z)QkZwn%=^MvQFP6{$&ij%APNq}N@ zg3JoTT90y2**NH#;LuJIyPRk2QU^R~slaV0Ah%IwR+$Q<0;#~;P~d-WqmAq$6-WhA zfm9$BNCjpnAdn7jN(|*62nq6fE3LZOvZP_84vVTivVF>GT0VQO_6enL5 z0gBm|{ilN0tL=l`=N4*cS6W?g?b;w$&fBJv0_sW`0tzx>iqq}R+d}zisX!`_3Zw$5 zKq`<5+^_=qJx~|Bc&CeH%0#I^Dv%1K0ym<7P^Y`$q9B{?5>9k&kSq7Wno0_&D`f~M z$cQOUn+sb%Ff2fBS_Qe5{aY(VsHa_Nb-}f3gIqapn@S3(D`f~M$cQOUH$88c*Uw0^ z>5~dvhXPXX``1xVR*?#fC?F$F>5~dvrvge@{%U9U(TQ#czm0RrLbgkC1|BT!KHNP% z^Yud=3rGCpZ-e3wzZj^*`t5_X>@O?5;LvU1kxPpdOxjNfaKx%liz%kGPCY=zTF7)rRf1~b~YbR0?kQU1kB?f|a9LpOZ3BF1 zQC94aNT)s5TJSktC5WD!dUeGbN0jxv+V$R4v*BvUu?87aW17@F(UViNw>3$lj)?e0 z=a;+ai#QGqmP6ULWV$^(@Q8cKEL-lGv*^3LGkfbMKgfZb|0|llV(#as&WU%{?tJmu zYl`a975ii!gIuzZtqT|B*pC$;UZ>$|2M5=9Tl~oo1>LcSK*7Ch-#3?fZo%3m#lcoL zXUAQ^1=P$3hHeA4x(U{%6s-1Wup=clt+#>Ma9=@=sX!`_3Zw$5Kq`<5L<$H%yj{C|-bn+2zfWy(aUKq`<5qynixDv%0HQ$S#D?|f7jT)Q^NmHS{#B?Z)# zG6WQ4#1yAn-v<+#a0OD3O?#Z^+8|fX+oqBN>Pi^`3Nm7f)8@j~-^K`#n^r+`{}vU} zQh`(;6-WhAfm9$BNCi@Xcc?)AzU*Qb{dBQRnJ5)_mkJ17w|73O3$9ILKV^{XGW%d+ z1^GKZe4f)x7~GNpnx=-%`TVWbBcu-NasefzYo>K ze+nl~s}%I|dE4%-CK0lQ?E|Kz0;xbMkP6(O0?V8K^;9ksOVMfEyg|;g<*j}eeV2D; zZ{6hSkP5sd1@eA-$km*APs;nPbBUJCB?oz>Q!`&kGOMRDix}RSuZe-W%Ye_2i{M2w zT;gJnU}J8$-$AZrMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{LLs*v!C?O-JIQgmw zP|UvEtP5Vx+`qL*A-tU=b|DrMhCvcsyEe!*K5swdyhZeNp71=@7_?`KgFA0e0u-}f z(Y&S5!;HTHd{xGuot|Z1W)Xj8;>6g=FL0lJp^w|9z2RpYK=<{&t^nkz`%i%iO6z zDv%1K0s{&x|JWMvypW4b%%jt|XRZ^LCF-==d&Dz)>*Y>76?jt$1EzT${$;$RO7;#T7n(PiEa{X;emV)5sFD8z_XkB?B}~4Bc-8xm*fSt%HM> z6$1qamn#Gmq-(~hIMr%4Nd#zfX1xjuLXcTu*a5=Xo)vQtoZ3lZ7he2Uqix0LAPCnH7e$9_662anLitp`9dlInUUo z4tUa1f!k0(ZllbsG8IS#Qh`(;6-WhAfm9$BNCi@XH?Dxt-{7XikQ9Slm)XCi;<`t( ztIR;bV+XJ;J7iP#Z^S7n~bg@jCC>2NrQh`+9 zMidb0bT?cSWV2nuiLMQDTUC?NH|e;xH?6{*080y5&1KB>TU zDxj3*uXc7Ho#=M(+c=jjWVZ%_A!K)RJQjBEy5r^N6jNzth{AMB(wfyw4!~h|Ac7 z-bXY>{e770LjNxNH|l=5#zHr4`;9gi=)Z3oFNuPae-)PS_{TrrbzZ7&!5R}jKtBJ{ znwx@W%+72uD-1JwQ;0WHuI_ZK>F~flQzhRg@~1uYTN{40#}|9t@f8+)u}5{`WZNu$ zkc)KKF3G-*{h2lBo}xAW_^5>qmj%||Ho%t_WySu8blP*R1)tMZg6PSqS68fYL|M

Amu}|hP$R!Kex^PjB{a69wbsDaA zaBz*c#h(mO&>edS6x_S^eRHYj7OY)T9Bg%ScH9+QK+Sw$=r&-hn_z89!D^2NJ5plP zdK;Jx_Z8%r3Zw$5Kq`<5qynixq<{d#%azSSD&p9jALP2w{;id^X<@TMHc+VDEg7I| z@zS{v>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6^0qTDa3wdYdSnIVQ5!cU2yH%AXm=Y zrji2cN*MwQGGdC8KRX1-O{*YRG;b*1q9~y&PR2@wQGZ1xewM=4&)-@-Lh7(C z7f?dFW@;!-zA6F~vlC=i7}gKODhHK~gQgj|;LuJIyAX>B!ypN+P5Z&nL9WZp+mBXI z)pS>xfnpE8WPql9GIYwkEmo+IdROoP|1Gior*Pu5NievwAACh~b_2ni#0N4EPMW2wpV9B`)>|Hs*%=9pqYOl#(&tsXA}`#FY`; zwV2&Pg>biIfUZs12P3O6gmt-q5;9_nldp;Z#q7(?y5RN9{acF^!rMt=7h*AC7$m{9 zYlB?l^Y%l|TSQ;y3D0AVL3^e+xbyZTKr#Cj&07jR%=jC?S7rR!=~?z=7V&2$&Mf0E zBfp|e?d{op<@)y7(me<)51t?XbmnVfpxCLs4dhRkR3H^d1yX@jAQeajo~A&qZ=a@@ z?_K=U$DDmD>svvN_RdFj!L@6HT;uy-jh*FgF*vw8KId&E0|gJaWPq;a{_UM2Qq{Pt zm^lbeuI36-xm=gKyMoh`NX`MRfywA*Q=)bS**PC5hJ4+ye8K*$7|$+kv6BbPTQ!zB z^VTF3Blo2Y0R`!rsi8Rest8c~3YtT~i}%)j`yfqg_NIjTm96QTaJfQ2LAqv~ic_s-lSF_vXV(2E1tG|+Fzf)~Y|n~0 z2u|%Ju?w-7FbtC5+OztAQeajQh`(;6-WhAfm9$BNCn=m0zzwpn-W7R404U{gEe-RhYE6c ze9qfS7A{b@B?EMA%Kj}`g(0lV1(c8xQ=EKN1Sn>|ihVFjYzd^moAx--wRYD+{OV#) zmE%p4-ESFk-WEi<#QyD_kZHX*ZQ+qiixf=S zU!rRse!2@`48o7Nj7{i$L}S$7hq*5F z@3McR?w4yUbmO+)Xmf%7`=;@dC^-37VF{0a{PSJsrRo-}G2sK`^DnKrDR{>0%m%Z< zFrznxcr)eppR&Tm>@!vJeIkF_L%+4*S9^T1#~oi`!54c}7f!a#;s?1%hwYN=>)4-J zgYGF>oLx6()}VzW{=wVg zPX;S4yUIeK;NG?Gn@c^nVC|CPV5^(61toWi}tuDBB zZICPHZBt1Bb)^ge1sO5L$)6npmk0;xbMkP6)0<^PMk*ep0*EK?>* z1>TQC;xw+8|f%gEf^DP*=(jP>>N* zoNj#|OlZOtNI^F3aiVL3Tsd!>N(!heWe6z9h$&8+3tN91BS3Ci1zFaWh3D%p0+fTw z#zD^nhjxMeg?cCkxzx>%+cBq}e6&Cpcf zbrlfO4{l0yD`h`rkn1x0U}6Q;J(^u*2IAnC4A3++bk65*txzHLuHXaydzY!9aQmtV zkegOP2r?@S&)f%V_NEZ~m96RUz=WY)X?4N1X+Ib`$aR@{`_T%Dbuduu;g<~1v`>ak znYYCx6jHlVhJb=}t^KEPgm^ctg1mg*wtK5dglujd0BNZ}Dv%1K0ynC_^5%a%)yu?E zbQ(8rkh5%gtDi;R<(=7EH+edw0&huyyx$&jHD}(F-o*X(=q9f2_ZTx@NOH&=bx4YH z?yyrcUlRjG9?+Yd_cd$`b{rIhnJqjS?iWXgUZH1&jg2dlGtUD<}UV7 zWB*zZd4}u>1sR{hS-W;)KkR054I;Q}F}sBd;ci-huD!8&dlEW!yV~NhqXtr3?WD>6)pbIQgmwkegOP2r?@SytnS#2WeWfHzm}sY)#iBe=f-9 z#;?jgPORqBEbhX@nPv95@z=Dey}f2*nL8Co1yX@jU_gOo(K_IHAs3mLN2hVmTqi6` z)M>T%h-dcJ%bj>C@TL^V`|Tl@bLKtit=w+~r4eegL$u%E7}Ao>ib1YrimOHRc0S_D z2yPmo@xF?ILbzKpK-0ufU#GZWV!Bq$L+}dgasefzYZj_F`Kky|%)U(eg4en+r5sc? z4q8?W6dc+~Vi#gb7klX9@zsSBU3)@7#-;GpuHD%D{B1#`b)N9t)k#4{OmT8GKM7FG zPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfmC2z z0l{@}Q(}m9kn1x0w^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV1(c8xQ=EKN1Sn>|mVGeW zgBz%{y3kbD+Fc9rtBXBVj(rW+4Z_B6LlUBRAU;KJZ) zt|0OC8or%Cla>mk0;xbMkP4&%sle4LklzD!u}gEhSf)&r3Zw$5Kq_z>3j8e8Ik+h? z)Y2eV?%y`m6i`>n5Kxd2Q=I(WCINEODhNSlg<;(gbvNY#vd;rM_k4x^gg07>hHr`7y5VEzft$gH5R&Y+i$eFK>vNycu5qT{Hw5p$3Oo0 zuJclL3)YzM0rL5m*4z|4V|HePSz(ybn?k&qa!ixL*)<){vCmY=_lf*z5B=7LU+wY5 z9(R0&1z+q@T{zh`iy!179kxrduVa5^4Z5djjXyqWVZ&vCwYLrMrA1k>KO&v>Tx-GS zbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh&ED1|jXEOY7oA`3qA%h&G*}L0 z+mh+_@W3PPC9`a~XU?MU^3Lq7oBSXLZvL-m`ii-qpE@VrS-bPaYp*G)OIPfZc?@#N zLbfhklw&_ufOwsTs~sF%<8ARLLlkt!9s&jTu6_S#?WIKQk`&Hvy5vODY;~hJ_*@|{ zbQ`eM&9<6N5;ZhPyS9LbdodKGYwh0FBnr=_vU=^i8PXvYxIzWW71op`r2<0=@E)3$ z3f!6k|4*nmUaoAG7(>ksaxFU#3g;$CUB|3To&Bn|1`*t~nB78!aJOWDuEk5|LhRat za!~8wpl5O8s|E(*TcF5yJi2Dx${tf{1cx>AOKf{d8rw7IZ#&s>1qvLz6o0%}UB?B}~4W0A( zTdPM%9oFRnN=VmC4aLb|A%W7mwO)`bmNXsl@cxS#Q z2I?*YKIxJQymJNqdguLT`>8-GkP2Lx0=d3D;)0YTNFt86vc44>Z0~$j7hJnG$d&uI zO(g}?l`;erWW*FFcOUFdfZVhSaz*=KLOtzDs|&78V=@kMU1r{j735!`<*fk+zhr=> zsiAXz?_d%Nsa+{UKtZ}@YA8;=DgxxDRS<&A3IhV}+Xrb{vo|HwuWU`%B!4c*=fm`A5^&s--gOVnw# z_lRfq*2|rED)6Qh$ouUfmviPl>8;#v1*H*+IallvY;X)|$!5hM*D}SGjGdj2xH5v9 zMrh1#pb+ks4A3+&biWbgaw$Z$4h~vY3=|w(t`Ja=t{JD|RIAw}5unYPb^l302r?@S zJ3u(wvtkZ{Q#(oQLM$c>gCw|iZIEkx-sb0T3!<;{gy*rwpgmI@+(# zXeWtXh)qicQh`(;6-WhAfmEQO!2cRpLa9J1kP4&%sX!|5&J_^)AKa7}l4_7^d>^c_ zvpiIgyW?}-R zZ4w|it%49_Rv6a(Lgk>canLitp`9dlAr=#cK@wcMHprFpwyC6mx>AOKf{d8rRA<^I zi2!ZR?53wV6ufqTaCXE5r*@Lqh1j%IAQeajQh`(;6-Wh|3Mi<*+Sx5(nnZxVjdKb9 zX1gT9*$2y?4|k8xd=0|2X@46Ue~7?9CERZxq-B3u=>>;w3y)k{q+rti5?%A~3#N{q z>Cfg76?|&Ru?CUh!R2|x*2~}NZB3%^_+8#-5PrmEY(noN8l(O`%yprEm;D=czg%OX z8@K&Nn+x>cH;tD>!O6c0OL+X_pYJ*^RkvV`2_GPze`(E4!82xOHkcKL8NDgQn<=;Z zOcfs3XR74;MEjwtJSwd=j9X2aExV+}H<#x$vUq9>o;1TzdS+?9WXVG_gXZF@jevrfRW_=LblWFwH z5!Voq6YqQ#&KIw}rl>C6%XTu4K`vRy{B;tq%DT2WyKc^`K?_IxgSW+>3|3rrm4!gT zy=&h$mwIl&+9k!oRySwIUBLy^%m;>U1Gc&e)}|D!_GqvpB{r?M^};Gy{4Na`Y7~aF zRNz_^DA!U*){zRN0;#~eP(bK1UaoAG7(;>#a$RWu)=J*AP}j`M5=rREEg7I|@zS{v z>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6^0qTDa3wdYdSnIVQ5!cU2yH%AXm=Yrji2c zN*MwQGGdC8KRX1-O{*YRG;b*n5Kxd2Q=B#zw*EFofZVhSvaBl$&(~iBC#0si=G`q?S#KA8aplNF8oX_7{p+f3i!3X^JE>lC{_EixeH?4vYWL6lS zxewOtO(FIxThrlz2}8Tm>Vj+2elT>9>oW89qZJhEV4&E;FBza|pA4NcZ;MGNq;{nY z0R`z=`%mEr@oriLdHK9;_g0e#+1xq+(o%s`AQeajZd8Hg&Hs9;mx-n5G;ZD?XW8;r zKa0N0JF~ZL@^nZA-jV`&zdht?&b%kRiTmx*O5mTIeRRkzzC&;WYtU4(Nm5qa*2@dTfvCAUOUF@O8{wVBy{Y`p&&?URv2Dw-je)a<8J_8mGNh% zXW5ro#Gjcsvy8ut{E9ZUw`cdoYw)Tp*SC)^$IREn<6O>han9wbR3H^d1yX@jAQeaj zu2+Fv-yU&o$`K?H$D3H++B_2)q?vfPsk-3WwLz}sUrY*Lyi;}lz-e4rx0p7&p@N57 zGCQr*as_AGE%AF$F=PmGt8X?O6YES+BqL6hSUwh#w!Z9rir1uw1tr6 ztwDJ1mJHA|HFVCrwR(iqVO=hugmlf+P@H^K1Sn=F$gD6R;J$s3rWv{5&`uJ&5PP`s zx$&#Aj}xo;G>f}%O=p>XZu~WEYHzRESmsUzQh`(;6&O%p`N!6P=Y?EkVji8wJ#(F~ zEK#S`-XosbTQ7Iwslc03An&(_T+W&Iq_=Xv6_iFO=3KEyu)#5;C3_=-T+0+!GWvTm z>qbkXGJ=~%mYCf@A>1t)plM?0ej~``Qiy6D9JH($C^)!WA)p{#Gfu^+RnHh5~XMWoDJBKq`<5qynix zDv%1K0;xbMkP5tU1%&PJ(^u*1_~ZKfNj|!o3ejPW?=~Hasee| z#1toA6# zx6A8iq}lXI1+GH@srUWss3)sP1x6H*5vTM?1+G&8r7VB7v-{{ow}aotxnv>RB{>5R zmUbWR9-sO8p^k+k{_(d#@rPdwRAT-1L0a~gm0ob@w(!WMMG7YEFVQs*zhLUgW`kK_n9-X;yqR)!r(;cr z2lkmN`96_9?V;b=@T)z(*yE0`u;7b5stYIEX7Phuq{DVe_I2#ftU>n_t?|c4Eo`_f zu=chAzO*PS_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_ zq)|si{G#*AUGzm9hX%``Y+Ewj9v*nay=0ax_sm)JUEZ0!b(0_Dz|H>^O z?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdoO;))E_TbHVdhtRtLE*w0~ggRu^2mHprFpwyC6mx>AOKf{d8riv z70p}9NlOJ%fm9$BNCoch^8ZC%Y!;j@mMIgZ0;xbMkP2MA0&?{gW`U`|UI96uI*;w0 zkLq#`=naEhxewM9vTDBBa6aJU0hU$CeCzvQLKA~?4cD#>a^<{jDk-3@lp&xXBc?dr z`n(-!G<{NmR3H^d1yX@jAQeajQh`+9C$U#c1+TPJAQeajQh^&(V0rVu zp2}rnDLRdtH|kl&yYOq;%-&wJugskaTwH;?-yS0Q%zIMaZ=FlTzcH`MX1IH1mKmkcb#^}D%6he&@tECU!Nn~ZpliNP zalz7MTpZ4hVO=huqugglUzIp*4YDl79?#qdBT^XR7W~TAZMP--NhIX!+7k*gE`_gM z>4$h45Ztwx-9m+Mw`72>y|HqD}4X|7Y)Qk{daCu5EqxV-Fl2IPP#bdf)-#8?^_H@Dg$< zT!NQ?C3p!v@B%#YxR>E!FXhEWRb{JGKxRs0@<#$9SYp)y4uF%%Vs?rxzPc}7gEwW% zYGg`HGKJMh%PeAeXTBx|>MjF5>5>Yh0;xbMkP4&%sle?hkn7uXj72#INyPD1*0(}~ zgPRhO7MtsXT+6?hl-Rn9;>I?1mN$u`lbGEigeX5PNYCEbK3GEzMT#5R2NUXX2TnmY zgU*GfF&PKBE;Db%3fi*!L@6HT;uaL zKYv>geVr#fk2MDEnc`4q+EcEB06o1@(<;d6^A;B2&`uJ&5Sx|?qynixDv%1K0;xbM zkP4&%sX!`_3Zw$5z-tr`f(ADwhWH1$#`nQa*}tV?cgN?rzhj`_;g$^0wJH0zWEBo! zT`r)6jF{r&t0F)#`wi`b4OCiPXsT=Nu7&v3#hxnXzJ_(fGTz1~xN9-Hg$m(oJ%y8+ zmXn_4ynQx;J;A_*gR8lM#N&n9X{kUekP4&%sX!`_3f#W}`8`k<8^goJGG(GvAQeaj zQh_^BK&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5r4ewfmGnG6;P`8S3A2UOt;bB#<^r6+a);yPnJI)9-d$M zy0}_6;vat-6n}`oKqc01AEae}S?L9bZVS&`TBKmo{t{jD@C&Anp6So#5fyxB$*~5J z;mPnkW9#Mb^tL8Zc>FFOGYCK8GB%-)5sjn%KFoEYf0z9mb-#?U(2d)Eqs;~S@0-R; zqTu9@!V(_;_~*OMOVuq{W5OrM=U-ZLQ}B%0nGI%z!;Ib(;?0!XeWnTz>@!vJeIkF_ zL%+4dulD$2j|aZOf-m-{E?jJz#Se0k4%;Q!*Rj8{20c==jz2zYVZ&vCwYLrMrA1k> zKO&v>Tx-F{bd?}_a_H3+YaCJ5>uTe@sb<5~kYf!prp7d>d7>wWW^ZefMja9HN!ORV z=t&%B4VJUAZOL?ddd4&EC9`a~XU?MU^3Lq7oBT`;%bWF?*j`MdFOIl{fSh>8Q8*4> zdreVYy2Exbk3lY3$ozE@ugbc%Il6AHtU(J${DZf}pA1%9c9n%d!M$tWH8ZEPj_DX{o@yDNydM;H)=lsXrQ@-^ z^HE)n0lmW@SMGx~g{+!yHk{A!@c_%JWWM)(FrkUTxrS@k2Dx(HHkA}mSIQ7jkP%ay z?tR{lG@3rCKq`<5qynixDv%1K0;xbMa4rS%_hsi&vdeSmdZa@tkP4ijfDr3$xG2bG zyMzl(V{>be>oWUbVg+ql*ldRyh=X4;K-1LFIiJ5Z35C?Ilp&xXT{ATlCtno-a?>gZ zL1u-+`hi&Gq_T0+v}SKgXytP2SjmMau7`qbNVw3n9}FGjy3D-&Xa#LrIODwiWZYBc zZE23JWo>8-+O+nc!VzNIBWMl=60;JGgdjkZYMy3SVdEBd)AlOq<<0t`BmJ?}IgVmN$u`le$Nx$ZkJ`=WfXW zO;bbX%v-BRNFCPY0!m2NObx}!S4Dtgc7n_b2i{xv?SnMU$OVUXlGugVvm2iqzbX4T zv6@e_xC>)C%j|RG*R-jn4S~OTqi6` z)aNNLc0RMWi#3snQh{4gAn&(l8HJhmq_=Xv6_iFO=3KEyu)#5;C3_=-T+0+!GWvTm z>qbkXGJ=~%mYCf@A>1t)plM?0ej~``Qiy6DoV2VMC^)!WA)p{#Gfu^+RsX!`_3Zw$5Kq`<5qynkH+f_hlZE#a! zNQFVJ@qMsU_HU`!-SIhYD;X$wxFrL0ZOZ;FS%pJbmkTH%Bc?d{st8cbeiQp(l-LqT zfj8}Op=<4~h4|IQo+{^?BuwbS)w*H1yQ090hCFRs%x-Z)>{?IZq^9MhXE|@5jbKkO zaN*!;t|0Mvq4qL4j?eOt3Zw$5Kq`<5qynkHeJYUO19h=+J6tSNCQ1cTfm9$BxDN$> z7U~?_lo)DhkSq6Zn`#QED`f~M$cQOU{%(^1xoH)IAhW_@-7i#5DjO#~6CBz}Vi#gD z;V?*oYu5(3a^5zT6i`>n5Kxd2Q=IBd+awX7&6VBsG>3xM4iK)6nBde-61xzamI~aT z0&;&PXT_<&L(bcr1b3`}QoFy}*)3tZZT>dSB@5Xu$q{(6{Q2*8wRh=2TT zQ2ZeR1C?06eUO&@Wu+G!x-C3&X_10S`%84q!!MXRdZs^{M^x~kCC3^>26r$Nq-UMp z)+7p#-{oTl;YVD?CiF3)an#?3xi0kYvVWuQmoXN)aocaSxj_GY(|Ab~ocvK(!s8$R zeD@%rVO=hugmmrmFRi&L#K-K+2D8FpMsEu7X3Fh8Q-ufinJW1{kw5LB-`e33$lj)?fA>&spAB#yHN%URjB zWV$^);~DpoS+?9WXVG_gXZF@jekOC6VLO<| zAeSs;{yK?QWnJ4GT{l?ULePtDB?auHXV{ z<^w~w0bAV!Yf}nVdoze@v#8igS(6}S}z^i~SVI#Pj`DzM)8UmBPG zslYWA_&*_gyjVj+62Dx(HHkA}mSIQ7jkP%ay{MjKu zZdwJop?OO=X{kUekP4&%sldZS{=dkJ&4RPi^`3Nm7f)4lJ52~D^HDafWhE_7{>E9Y%f zNda}G3;_ihF~w;!u=Tew0_3JukY!z2c)tE3Ksl*wob*g^XeWtXh)qicQh`(;6-WhA zfm9$BNCi@XODmASFT0rHhl^!8AyGLYHbYZ^>nb3mAKaAaR?2?LAlGH~!Ndxxdo;Vs z48*}N8K7xu=$y~rTA@PfUBT<8{9Ql^>6)pbIQgmwP|Qw{S>f=?eJ~>z!rMt=7h*Bt zFi3)H(|#~?kn1w@_M;W#j}Wq(2?w`ifTn#ibjrLfR*#T6tjh(Ikgm1=6pj!dv!6b1 z+r262*kPLn`o=6v+GSSw?f_Jt^P1y${t8fVGasee|#1toA z6#z((X?WY2%Kq_!!3gr6s90O9$K@xGimG!OA zV0-7Iy5QQiL9X1tZ7M0Cu9P95AS0$Yx%*%b0_3JukeT~n@V~$W-*%~$5NVZz=ds41JyRT9%?|<;v!6b1;TI0OBwQS|rH)be^h*U&fm9$B zNCi@XccsAp-c`5RY$}inqynixDv%1iNCClnw<&Q;`(UT+-_o4B<8$0rGEnev+Xv{H zuhVV|S|O@+bXmF6-WhAfm9$BNCn=r0($$+ z=l4KeY>W;U%XDfYIh7#eQ-M?<6-Wif6cF6veU;62$q?fpSMGx~)f7-y$`DYH5mTHt z16wzo1;|aSAh)uAYo!SFv@5MHxOQ!jE9Y%fNda}G3;_ihF~#Yw=k4Kmnykf3>szO1!$@+O*#c9psXQXqV&&JXzX(czAwg`^)-;$N%xS zUG_h0(O+Quj9;(*Wu+HRyDdC(`AXqGllGVBT2QR9&-nGK{%p^qf)5XhXUvY2*puOT z#`cKE$GEpR#zTaQaT%Kyy!g#E{e76LKW$cVPx@TVzpYWQ+J*Yv_5s@V-#3kyL?L!< zK{=^Xa?-SazU$QG7OYLq75w~5Yi15uWcz{PB1a7C#;2%6S`0Xo!HiQigznjF{qd@AGzTbp4m&dY(U1 zfm9$BNCn=T0{Q95mTIMH5)Dg+FaSSU=9VZ9UxpCF~Mn7 zX2QWO8K7xusISwh`(Rd&kUFf(1(cAknHq|duZjT0>;#z=4zJt?Gjbt(mxPNiFu`|6 z;*Up{_J?t<%go!4R**kJr_b9@#_j8L;=C>4*pd#5$LkSq9NT{iM~IBsPoKAOZ;hH0 zN(EAZR3H_2BMK~U{$o3al^R^brVICE?-=Oz_>2 z_~S7|JIFOYZ$IR`Mfi1|@I2NSv}cNgJ8usH6tmyZyrs~y8Gi%#ri?#3J?Zh+kdosdDaXST`)=X+Ut( z#L$@CKpbj4g_D|=la{H0bLOq-BV=B6Dx5s6%LNp#u9+H&ldp;Z#q0!`6%K1X%1LG8 zq-jPjIP8)%qb7rVZv3X~g*L~qJu zVl_IAd*(V}S)xv>y+=H=w_fhVQ-L?7K;Cc9GMqE-NpIzTD=3Xn@orOf!L@1ZjSO-v zQ(WPzyC`mKqcVb&f9|k#q6igTlj^; zE(sS$ZK-3_J^fOFR3H^d1yX@jAQeajQh`(;6-WhAfm9$BKmp;f+m!J4C2{TAAlLXl z*eUzBRO{~e9JiGW6g=FL0lMbvL~n)Ib?-_!sdaGDGr=LO%LSB>5mTIMH5)Dg+FaSS zU=9VZ9UxpCF~MnS9B4xLBr4lnSH*sX!`lCkhC4x*IMEve_=-Le~blav!Xz zq=33ohJb>MnBue<*t+2?KyF$EA;_$7xaR(?r59>wS6W?g?b;w$&fBJv0_sW`0tzx> ziql=s+vW8$(ro&q0ym>T&fA+AiYz1*NCn=30!okmYG=3f?#l7oIF~GByCg^8$4m4=7M{60hQ~)~e~GRI#^V*UJ|gUY}* zXxo3^G+q*g*tG@aq)N$2)BgFcQv zYbc2fdA-g_Ul%qI#l2*fE%(e>^j+SWy>*kH$$|HpE1F(0_v=&V#5-zt96YoTPI)zO z(=7JbFJZXYw1c68T(S`P>!eK`N7v1jHE7|8fAF^WlfjD1uCfp)xOeUQNGBoGEm%Ri zW~&>;X;*OJs+kWA-3DxRvmaQ2l!BEfF*{OXGkSYu&SNT&3Zw$5Kq`<5qyncZAP~gM zmCaITDAyp@h4yc){>8~g4YfZu8x@Cv`aD)`NT(fz9aF+<4IWjbdW3OZ7iW70_sW`0tzx>iqpN% z+mS}oClyEqQh`+9Jt&a>FY;oee7IPqOq2?w0;xbMkP4&%slYS^1ZH=`ML{;(C0yv* zAXn~#HI)=lSIQ7jkP%ayHUnFCwFSscs~`lK6%M(78)&!+bIRv@5ZE6f*v*84J5T^! z^Y^*yG+0|uh-w{OR&x-X!n#~Q2^lfPsaCV$5}?hMT?^(=@Y(^w)e#e%c1cDe(3 z1yX@jAQeajQh`(;6-WiHq(J_@>|(+nE|w`1r2_9#0io;Nri8!0(-nvfph2$7?B9wN zfjbkPp+>!yBriS`Dow^TZ^$4lMx?DgB>6)pbIQgmwP|Qw{S>f=?eJ~>z!gooy z_yQArcO?FJbZLJW=eo?i{b&XGBXs(_{bby}PAAUW5{@nDuz0*4@y4 zG-uwE@_y?K(ejUU2f5OvnJ*-n)l->84DZa>#6aC;z-P!s@S>T{=FM=wgIvpuQqspe zRmY8=xH5vf7PDKZ5bl-?(6uT1U}O~zVO=hugp8Qt!yh z=I4-+1bK}>vsl8pZvCN$cqynix zDlnkHvS=OfypW4b%%jt|XRZ^LCF-==d&Dz)>*Y>76?jt$w)gYs=&K^c#_Tt=4>nL~b)l)QwYwJLR~LJ#ockKC8-zJ;3nEoH?D;C- z22cZrO!4wH7oeE^hUP7WrlkU@Kq`<5qynixDsZ0));o`lJG>Kq`<5qynkHT`Hhd?XPy$e+pC=T$}crp@Uqq5bcs2fhSA54-e0;Z2yt6 z@c2Lew#)H{Ukp^@{E|Uh_Lr4jaOk%1%%w#NChafLH4ndF>gbvNY#vd;hn5^`5E-5f z&oj1O{2<7jFAbd(STY_f6v^QE*;|S~;mw za?-SazU#bH-Ga5rxq_d6Y0XW+EoP?$v%=xry}|hc`%IO5pU9u~&~NSVt3AHhMaVm$o1tedXZd1No2_Dbx!)auz@J< zC9`a~XU?MU^3Lq7oBT`;yw_aO^oqG(pE@VrQM=>dp@neDtAU$lvB!Q1!^Nf@3?1Z> zg~(qgZR$9>Zmz6B3rGBex5b|fR$O+Kg+Rf*Yu`sY388Mm3eq)O-6&4Gf(uv8d|>D{ zV5^(`zzU=ktUQU?krJEH+aq%xQ-M?<6-WhAfm9$BI8_0GAYQI)mO4YZ2DvV@e`_Ug zTG*`J4HSBEO9tp#ymT(auFG)cq}IVn&jg3CE*DTjMoe+4)oi!~Xme%Pf;kktc7Sko z!~~~Zl99+KKEm@Ii9a4s!s4fcTsd!J2@MfYSIQ7jkP%ay?tR{lG@3rCKq`<5qyq0j zf&71w7aQfn#WH20R3H^d1yX@jAQeajrYRsWyBjVFve_=-Le~blav!Xzq=33ohJb>M znBue<*t)ANKyF$EA;_$7$o<0_d8*&t0d%+JZt<>*%tY zgWwd_NFCPY0!m2NObx}!S4Dtgc7n_bhga@{8MzR?OTxt$nBco3@yDY}`@=Zb zW#;WiE65+A)939cMjF5LoR|B&2%P1y${t8fVGasee|#1toA6#y`Vr7Ab`9l5p__Ciw11{P7r~9poCH zw;yueBK$f}cphsE+B3z$owo-8irH^y-csnl<|1yX@jAQeajQh`+9WeVi__GNnc-o?Ls zo~v(VeJjY(-ub95xOQ!jYkVK9v9sJQ1_yV?=eVt8py1(_4A3=yzcAjolP=@paC8jo zaseIXK05lU#A$1gWhwTk`*O-jW#go0g2S$bUeq&OINy=@BWn(~Mkj z*d=L3O$Pbg_)XcziPe0X#a$TFS!SObzot#??V62c?o=QZNCi@X0R@&t>wxElTx4P% zoyI+Lov z3JCGLO^I9D2W#vs5A|O6Xm(v%xInQ3j@!l{J@a*nH=LzMxZ+30ur3$SHMaNj=;*5= z#K!D5v=25=X?3BguC==s;#U`Ys+{{8t{a3oZwn$-Iqdl=;090whD`DDH5Z_m{f6c( zg{GwfsX!`_3Zw$5Kq_#b3gq`dU2NPA7t551Qh`(;6-Wi{LxG=#I@>!R)dknC4RVct zjxqPa3Zmye*k+upOL7FBEbTr#JioI2N6NzE|M=T3#~*$%P>J(P z25H$}R(ipq+rl%K7AcstzeLwO{DP^YXZo{wL)fHFdGe==Bc*;N(-1^2FfAL%57x&iqmFb z>#nu{xoH)IAhW_D_iqCYS7A>1oDTx~BLusdaBv3-plkjT(R z3n(EYra0AVHe3Rmy5b(#HJv4Z>^AGUGKgo9f$K-1JvU#C;| z!K@x3by$}RC?Q=lH54ab6#Z~gP1y${t8fVGasee|#1toA6#y`Vr7Ab`9l5p__Ciw11 z{P7r~9poCHw;yueBK$f}cphsE+B3z$owo-8irH^y-csnl<|1@1tBzwV&MEHM>G1yX@` zq(H83&oS8L93&CPTUp-<4Yqeastc}N8{`__2W#vscZ6zfLYoQnQ3>VIKB>s3j3*DbG zV1rzjnYUsERZVx58Hj^lGCm`A5^&s--gOVnw#_lRfq*2|rEDsWW=&b{B7_uI1!=gfQ3o4DWFycLv2 zsLtlike0j-2Dz3gt`^bQsXA`_#FY`;G(!CypXDVhgu5jJG))ZMZv?qq3Q?_tla>_& z1qYWa1Qeue#;G{fYBos(XmbUfHeK3zvBxX-!3Y(5?2~YD)U0^Hw_RygeVsr&k2MDEnd0Ei+k*hb>;#z=4r@KiNoC`tXM)2nNiXUtzSQybQa(=wQh`(; z6_}s^_xiL{;NBGYzlZX1EYoR;mVY0fMv~E~Kq`<5qyi%f2u|*Xi-K&nOSsUrL9W~fYbq(Au9P95 zAS0$YZ3eb(I17-QRza5c=fd;czYR28g~{JLY~~4bCZ1WKJyRU+ectw(Ogt4x1yX@j zAQeaj-mwBo@BV6MU13%iT$}crp@Uqq5bcs2fhQ}t4-e0;eEmnk!V&-Y+o1TvF9s^H ze#sy$`^!o%ICNWh=F%bsllGVBnulL7b@WVsHjk*_Lrab|hzw7L=NVfsf81Ly@(FIG z2&Zuw8-bog`cL)uVXh19C;ZzPW1$hZeZRw}SO5E_@scQbu0ySyR4F-W+CSelhJ{GC zU~O`);OAdjb5n4O*=fP7a5#5waE_Yg{!Ep8pU9u~&~NSVt3AHhMaVm$o1tedXZd1No2_Dbx!)auz@JT zYf}nV;$wEC#AfvN$ehPiAQeajQh`(;6-Wh6RX`w!mn)m4&QPvFt_$toTFILhHfwhS zg`V7!0lF41oeQy>Rc)=K%W4jSQ&^V^C?O-JIMr%4TmrPYvTMN{3SK)vxH@8j(=N$K zElYdB!yPDquKD}i zbsDTKC`7f6E~_~RPGMaxpoEN=;#8~Ia0$@n%B}@-D0uAv;p&J9PP-%{k?E5Pqynix zDv%1K0;xbMkP4&%S5hEXY4Od}K*}whRWH(Uk;g<~1v`>b{y%l2D7L=3PB_}N_CZXWq zN*MwQ(zW)V!V%)#v_-5Xd&OPKWZFpvHFRi8e^Y7=IvY9U= znbm2TMGWti=grxAleHO!_{i#S-VFDP;{ew(qtv{=?hv`L4K{+i#vMlR+OdZK+gn36 zWgm>p!eLkNT9*qbAtRL3ts*-0qL3GuuIa5dWH+v93zv-nqzj;<;PAtGkKp?OQ8 zXEXi=@J$(ic6ye5nMM4Wi8IUi^UGJXslC0rujMB8>iDwQddzCFiSlyi_o9B*QMYx7KKkY+lYH^co7axMR2 zQqsG7GIfKnQ5nHqi`gwy2zN^c=-M0G2W!ZoXfbmiY*5N|NQ5Wuz$wUP(7DhwCgUL2 zW#+9|L7NsfuTBGT@Jj}0ni@JNHm+am;G}6Lq2S<383GE@HB&=z@>LNaH?4vYWL7xv z-nwreq-o9Glu*C2HC>bZwIH7xzbX4Tv6@e_xC;|!mf7dVuW3_zyJlmVI~7O;Qh`)p zK!Ih^I^cOB7nztxr*Y3*CoD_UX|?x=XZF_1op>tnrWDBg?OBF%<~`~D@3-Zsv2aAF z%?{CigJVcbHY*0XmMN|l(cAfmD;(lGpTb$Y zc4PDNw*`^bdBSs7Cj}WX#mUwDAV4uYL1u-+T90y4**NK$;LuJIyBufiQU^R~sla_G zAoo#bR+$Q<0;#~=D^TvfgKXeEDj@H%>FhZbNCi@Xt0^F49^8}|DsqtPGW)kwT=!^p zl^G~_>;Sf9hiuCJEt!QwSeFYZAtRW& zRA5m+Qi)XHZWTDrUU$Pq>1nfF!iBC4a^*f)Q%M1Jr3?WD88O9aGqCjo!vf@{RghcR zzqL|?dfJs%7hJnG$d&W9sic6qQigznjF{qd*YkFH{fso5KB>UXD3J5^W`-gQNd;1Y zcc6gMqrckOeRQHL$8Y0YvXJeP9DyfGyAKb~uYCPb$HEc+_}ifP!!HIZv3~m?E&Iz# zFF15tc;?a~1(Wud=$eOLFm?1ye>RV(;6qD}HHZvPhUXbuFMp@EHHpIGclnq>_z{<} z34M%c9QF5Mt_%IU?BA&SWsHSx-1Zx7F3^A9G+q(~Cw~-{@c73+-*sN9ZowK8K0!YJ z(wdusXUxuQFe@Bp^rjGRrd-|WSko=pA3jqh-zV~?J@i{U{A!Oc_IThcEcjxN>cYjg zS^OXu>9AdreI5HNYtSP_>-gid7B*ZKSbN(5Us{wE`y2LvBnW) zy{taoS?vHdjJL&~3|D-1>>*Ha@7njxrJh@`c1dxt)y>gyS8xF}^MRq;fURzVwJ8Ow zJsRvtiB0QmY&Hxv`dDcmQ-M?<6-WhAfmGoADIl~QFIP4TsUdX+xh}MSYb9@5*sPEZ z6nb(?2IyM6bS}jDtFbUZueD@55KcW>6|{6$Rv&^>}RWd{mcXK<_ZfmHS{# zA*<$_4d*j_JixLlneTTWOep{1cpRK-$AI2pkSphHQ^;(nbDA z1yX@jAQeajQh`(;6-WhAfeR{-zc0I(*oTW{%0#KayHr5vI^I{=Y?pKeVsmSd>oWUb zVg+ql*ldRyh=X4;K-1LFIiJ5Z35C?Ilp&xXT{ATlCtno-a?>gZL1u-+`hi&Gq_T0+ zv}SKgXytP2Sh7C|uC9lIY)H7!v>yx|+#nv1*f!BAQeajQh|F^V0rVuozi7uDLRdtH|kl& zyYMyb^xou}$^L2^~mM-JsaC8joaseIX zK05lE$7ySjWhwS}_Y6>jlThWQ^ud2o@HNV5r1Y(XBmGP z`HD8Rw^#SYYw)IQxvNj9Nv5zGX_-X~@66Z4K;31)CtXs3R3H^d1yX@jAQiYB1#*3R zj=UJhIyl;kjEfK-1LF zIrG-)5mJYBxquSVHB&=z@>LO_n4KWA!h!eJefuCyGjhS9og{W4_Uy*z#&60#PORqB zEbhXX&NBPl_%&^6Z`W)rbEg8SKq`<53@9-3*HZ)O_b#%(bhaycHm2u9GuH{r67_k? zi=EHx?P5)2qEz5@3Y>SxHSf2t8--_4Gw(@n<$fz@hEUA8Vvk^hV@OM01%q766jw6( zdot@rOQSM^n?{zH-9RDSEg7I`V(5M&$mLRqY8{-ktQaUbxLhHiAYC&~#i>@aNg_a- zE9)pI2tj6r!wwLx_NBhd~ltyEe!*K5z5$w*}GHdBXEpW6+){4(_}? z2vE#^`n-i-IJA?*E=xERX{o>+DIj-LYnGY{qynixDv%1K0;xbMkP4&%sleM+Kxl1n zQ({PkL9X$Auv7MLso34|Ic_T%D0sLf19WZ5{w-OBLs*v!C?O-JIQgmwP|SW4`(TvV z5=enJ?Qx-N?XHFR)y1AF=bI!<=)%>yVY$1az>9`FZClK4aYF1`PvNAd<)mjhZ=a1| zPcU%d;A*ZQ@pz&3GC7XV@{kIo0;xbMkP4&%sla_IklzD!v2i*1yX@jAQiY1 z1%x^WHzkHz8sy6T+oqZV>Pi^`3Nm7flfT;}KyF$EA;_$7SoaH+lgh?P&jg2dlGue< zOgIdZ;M%o8uAH|`B?Z)#G6WQ4#1yAG(>6&2Xme#ZJrlkV+ zr-0mF$ysqK@R0L1C&3*npw#ZKc6LjcZkxZ2bIC%sOL7FBEPp;czQUed`MS7TIN~3F z8x((tz(6I|Zy%&(e_81Thi(hcTw0`H(*6=%^Y9C%j-Khy<`ETqXvwh#k-;4d1?gF* zw>62v<9GR(LHH4uu?c;QXdLzTVXh1PyX@bn`(=!UZrt`8Z7$G%-!xtl1t)(Lmhkw; zKi@qFXjqpEC?Q?@{7Y+Y3h^;Jv%#!zn9-X;yqR*l&s5=oeWpsjPvlQ~=(l$G)gE8$ z@xWJD@Wme0g^O*o_(3kxVY?*zI`&uApht?<@yBN^Y`842_O=1Ov?wd~N2Jr9Yc2Sg zt`bB~4!yc!jU&o>U2VKK)oi#La;!nd)R-nUPxR!_>}^fbs3Rgi>H2aPJ&EJ2!E#o% zEtzgl&v?eYWR@-W%vtnZ-kH61lb^|9d9ywf+ly)R#Sym{7_3w6!BERlqs+>!yh7B8I(vHohU%W4jSQ&^V^C?O-JIQgmw zP|Qw{S>Z6FH-*@*Y)yv;CLG$8Ru^2mHprFpwyC6mx>AOKf{d8riv4b5B1 zNlOJ%fm9$BNCh4q^8ZC%Y!)0YmMIgZ0`E_OWu5*08qbdJR{^R2KD^%^vhw$*fX!R8 z>N*obG)eOlZOtNI^F3aiMF2Tsd!>N(!heWe6z9 zh$&8+fvvxd5g<3Mf-LLG!t?bP0m?~bcz11W_Hn$Fd zv{WD!NCi@XJ5^wL^S_fS+=~@&!X@0&g`w5JRMSjx1>PcZ_hHCGw(@n z;(mMXCT{Nc7&Bi;a+W#jkQC?I*)Gj|O$-!yp5AQU3dv}OAujd^Hs*%=9pqYOl#-E` zkMP8eZB#}~*J5@H6~g_rAU&J14@Oeq5Z2`aO2~*QPQEGv6tfd#RyeF1s>(@a&cy8=AM2 zb2j5|0N<4HXQyY`ms!N0nK-kIzl?lEo7&r}`^xq0tEGDqSe`sT`_q}PiGgCL_BN0| zT~dKmAQeajQh`(;6?mBfxxRgwUcPtnFQ4b?TUp-EpH7t_$320O%0v1 ze`^v7sa+{UKtZ}@YA8;=DgxxDRS<&A3WxP|q?}YXPMX&2O$n`BZXHeX*MfX*{HE;V z#A-gx;w}u>EVIvzU(=@ccFo2zcPfwyqynkHfC9^+b-?pNE;2EXPUD`rPFR+x(`xS# z&+M(2JMmQDO(~G~+p`Sk%zM&Xx!(#(BNTJ4*dy5B7}AoxkwLCyiYpmAJ0Edn1UHS) znB71j+$|ZPX=3P}xu z%~Df=R3H^d1yX@jAQeajQh`(;6?nS}2(1lnN(`wm$ThwX*4SAdD#+dOIc_UixIp2S z4A8YH`?q8j4q;s`poEN=;^eC$Kr#DG?1NEaOCSZ_w8w?6wYwJLR~LJ#oNtose#?;a zwjk0a_HQ4AOzZFzPS&)_$xY1LLM3UbKq`<5qynixDv%1?u>$!$P!}7#!^JXXqEsLi zNCi@X`%vI#q0aWsM|HuqYlB?5f7?`2KwT+AKtV=Kaq{;b1;|aSAUClOMj;Om!l7Mh zb-}f3gIqapn@S3(D`f~M$cQOU_dah&8cm;6;C2*{+bJb0Nd<-#kYUesOa*RE0i_~; zwd4J6x?TTo<6N>Z?UEdUCri5z56`cB{jK@J5&!txp!mZt1}d?B`yeg*%StaebX$1l z(jo&3{QsV8Cx%Zr?)kU!sB=Om_hgvm$3KyT8*MJof8R7-5(OuJ6qfM#$3Ne7UaD@v8WTQ2KL661n}TP| z&TKF%9A@;U5O1d3{!>=Cn0=;7zE9*&d+4`z_|+a??D4=?Sn$Oj)rE^~v-m+S(qX$K z`#Sbl)}Tj<*73(@Eo`_fu=chAzO*PS_D7`Co@*`mn645;PY%7hVvQrpdR=Y2H`Q#o z8gi^b#?+W5HBa>9(ClqZ(x@XMKI!^$7d?sNtif_twk?@%PtSP9y=0ax_sm)JUEZ0! zb(5dTVR^GY6Wfbv^u-ak5Ren^I10zXYpyB&zv|K*wu5;zxgIK)m@DS5lXz9uwTx~#pUgw?+Eo?;1^2Ff-(2ds1#6cS2V3179d`v6P%|GGx((RsrlGmE*^v^P z*4sL;N*2FM1BM!fAuScS6$Q$z6q0qM0xwlyz45;^F8x!1Ybx-6LiTvMvRPsb6*|au zq5WGcdDB8&GcQXdp(nRwfUd<$=R&N%TI;f!gWwd_c0=~w}-6!{V8Dc*6es}?|f7j{JS>D zmHS{#B?Z)#G6WQ4#1yA{-v<+#a0OD3O?zDE+8|fX+oqBN>Pi^`3Nm7f(`I1nZ({_= zO{*Zwy0Y+m{Y8LsQrS4^nc&b)61xzamI|Z-sX!`_3Zw$5Kq`<5yeS1(Ti?9p@5?SW zM2CxIIw4UxAvQx(f$J(Dq#xXr=vK;p${^Qe_QAvos(UoM$_&K8FBza|YUrHL-&&zU z>RrL>r~F+&3F(@tp*Z=f2vE#UkXhmI%6%{+7sA^~Vi#gD;m{`exVrGOX+Id+<`Uvp z7kjFl`x>soeEt8C>y;WraMLI~W;aj>U+XEHtVwv@v`>aknYYF25mJYBxquSVwf3LF z5#nR^)8}owHzj>MUhB&iue4O)Z7RSGC@mGZHw9jBcU~5)x6`3aEJder^F}?(mbdy@ z^j+SWy>*kPLn`o=6v+GSSw?f_J?Tx{Z_nMt%`JszzL4ZBbJQUz&b6~$n)#X-DDn)w z$#KiUj=i0a>Vj+65Qgbe@LV^Ql#|^Chh6i5Vn~f`u;JFVUD`s(@)8rCyCnm3ZOT3v zS%pJbmkTH%Bc?d{st8cbPLNsQ;14ZG&jg2dlGtUD<{|b_W8b#Ng|5AzAmdW_d<|D& zKE$sAg1Z*8Tc{B3mJHCfH#Tn%LdUKg3UU+kmO{>K{0-onGXCuJEc-Hx_%jn{mhqR7 zuV_4vnu&Ltstc}N8{}I4#ia1XJ5|TeM#PnMi)phPDtNdh19a_; z?SnPsP_($AeK4UOciHm?B#aqvq9Xqp;2XWp8GLTXpa z5Kxe=nHq|duZjS6e1g!+}O>6+xP1^L|gP1(nZ)qI-8U6?qt z%sw}MO`F==H5<#^sX!`_3Zw!93M`A(0nZD$$izH4jeF)gVOgS1tG!1&v$tOE#8ZJc zr9j?q&oZ1d?@4dvek&-AP@5g1{RYR7mTXoGaxGI_Euy#c5m!cV(+G|CRSXou-I4*C zCWiVt#RU`7wPGHES6G(|C?Q?5P{qktMSx=VWzrYC){QCUq_T0+vSOg%&`uJ&5KFq) zLl=*)E?nr^3kotWg|Bw)#^&d53nH!agy*hK3Nm7fldJhbfMRxn%nFCK9_6I6anduv zp`9dlA@-Q=_#_XhKq`<5qynixDv%276!_onN?u3>Qh`(;6-WhAfmC2oK=?VhDY1mK ze++V6X8)E_>mJRnG6MyV9l*BikWJaYC9`k{>v91lWW*FFUljq0*>7bZ%=X|0Dy=Rw z)wOolLj3AtPnC0D!*zqO@f#6>yB4!ss1Ux^Q#h$+h-%#6AWB9xSA_SJYK`M z6KK*>fm9$BNCi@XR3H_&Sq1WYpe{Bvhl^#(M5#b3kP4&%ccOq$Cz}%dU)*Akx)G$D zY&Iph(6twAa2S`uw@I@5@M`XZ6-0WvKNo9^XU`M|UvmM9*$FZ$9M*c2lgh?P&jg2d zlGueWB$W?If`a zvB%<%Px6oo+>rvyegBRs&Qeo>R3H_&Uj>xX{ngHH3DfQJw{b36$aYDNz?0?Ahll4^ zzAmm7j`+vl2E`vDFi?s0+XrdcUsig-q1(bUmli3Qw7*2xJp6*Gqi6cFc|-*tT5_yG zWOy<>&)9nTJH4$*6du3J#|*-cxQtEcV?^VqzYlX==-*}kM%^!CEOg_x-)M7z{`;o! zk|;R&qp*a>KmPfy^HOyS)|l`K^7)t6+!Q=xc4mWF;V`2&g?KaN_Pa~Cn0=;7zE9*& zd+4`z_|+a??D4=?Sn$Oj)rE^~v-m+S(qX$K`#Sbl)}Tj<*73(@Eo`_fu=chAzO*PS z_D7`Co@*`mn645;PY%7hVvQrpdR=Y2H`Q#o8gi^b#?+W5HBa>9(ClqZ(x@XMKI!^$ z7d?sNtif_twk?@%PtSP9y=0ax_sm)JUEZ0!b(5dTVR^GY6Wfbv^u-ak5Ren^I10zX z`@aW$5KehD?A8xGzHMq@Kbec-Mhn7|mCK$g z=R2TqwQJuumwIcG0?%5oa?&+h-6&3BT|O{$8?e>QwweuBBkkG(g-z>iP12}?{AsDc zy(v)ct>COT6-WhAfxA{fs6Jk1toWi z6lBB{Cx3PbkegOPZfM?8PFgCE3Zw$5Kq~O?kpD08Vzc0Iu}qmL6-WhAfmGn;6_A^+ zFbhlt_6o@H((%~d`KT_(fZkz{EBC>gLRQT;8_sMOW3k4Mc>Acp_r4D%G!g5tX%E+~ z4RYnYZ7M0Cu9P95AS0$YZ3ec!I0VQ|t01|5iwbF}Kq`<5qynixDv%1K0;#||R3Lv} zcCkS}TrATGiOLDF8JY@QR{T&D2nwd{qP}W+%w3a9BSOtDICePMT)qf_RLi90o~nZ5n@z zbdc*Z^Y)_^R5jgIW}w)^FBza|pA4NcZ;KTwq}~;LhTl81{}fJ~Rw?N8dE4%-CK0lQ z?E|Kz0;xbMkP6(P0?V8K?NlxkOVMfEyg|;g<*j}eeV2D;Z{6hSkP5sd1@eA-meHJf zPs;nPGepbgl7n37(##i<%<8GkB8GS7Yhs}8GT_s3kt2RQ(hNgf>=A6t4fi|9wah3b zW4u#!-1vzrBe-iZyM+qjZpi>$o3al^R^brV@lUX_bTLvBsc1Qyg5)4+0dk-^9G7#4{Uz1Nf$l zKRZ3kzRV*2%*2^x{AJ`T+SJ}&-52+So3dp!GNmS&!fK>t7BRdtUlRj$mjR!2Nd;1Y zR3H^d1yX@j;C2+q_3b&vqMU;y;&>D5TbpM>gESNGHdPl~yEe$R{EJE9bN6JvZv4cR zb&F}U8!C9XB?ELV_irB*k?II`6*C9H$<`e*v zD_hew$zKcdx$&E_j}xo;G>f}1ab}r)Zv2`ywYO_Fmbp`bR3H^d1qKvY7OexG7jlt_ zd2|~0%yq)DM4eW9k9cNpz1)eX0#{amf2N(!@_u_3Eoa`7-pc(}P#U2&J4E{pjv+01 z6%2AMQ(P^gxAPHKMsU*zjrUay6vEw-0h%U;`Z~o06VtU~9)ed`mkTH%U9(Wd$yY^y zV)kXy7rfSuDdnWHaniD4py1F>61xyfy4XV(kFPFV=-LYkGA@O$cJ0RI=Wh!lt@DKE zu1*RvVv3Wi`9XkUc7n_bhqWH%q_T0+Gr^&qBz7V8nC|!_52-*ZkP4&%sX!`_3Zw$5 zKq`<5qynixDv%0{DR(XCv4X3|u(4nkz^=Uc6zfr zP7=EiiwTE85?s4B$d&W9sic6qQigznjF{q7XWAx-0Bx@9rl&a+ymo+ab;Jaxc9PhI z*!A^ue4d9?;9eA1?)&#rZq}I!qynkHT`Qne@2_@tOPFq>zm0RrLbgkC1fDE^K0G|X z@^x{waKu0UHYolOfq_b_-#$po{<6{w4&4@>xwJ^Zr2Qqj=HVAi9X->Z%_A!K(2`>f zBEys6dB)bu-|1~lqVV`#K4uVp#AR$kA0rw^{e770LjNxNH|l;FW1$kk7xg=BD5ovojma3WpiJDa4y8$22KiUDNR#`%IO5 zpU9u~&~NSVt3AHh)@nkfS$5>_RLi90o~n z?b;w$&fBJv0_sW`0tzx>iqpN%+mS}oClyEqQh`+9Jt&a>FY;oee7IPqOq2?w0;xbM zaPtbt%~zNOrUH8f9-L>9VsAILLLG{adkuHZ9bhN_N@Igo9f$ zK-1LFIiJ6^dW6(rT`r)6bj{RIoP1RTC}teKK^)ye(F!ka|}z=`a`2Bh)*z{}hf89?PUGf{dX_D3^|R=^yfb_2CQpY{;4LYT z_uI3K=FEFi-fx{DS~iy)APh}P{yfa@D19g`HpN@+h@#B$Z7~*1&U}J8$ z-$AZrMkyKNovP!;Ph1(nU5nW*R0wxV2I$(9eK4{Lhp;XeP(nsbaq?9WpqPERSr@!s zxqoYsLU=n#?6QQvh=hDydqF|QrSSP0uEKoCd5cJ^96XOT2JM;R;A(ylpqTw8<}D?j z+4vj4H)Z_U=~?z=7V&2$&Mf0EBVW;`_V((&xF_6{Evu0!HOUlKBQ3Lt;hp)K7^u4p z_@qlJkP4&%sX!`_3Zw$Jqd=~2&oLI|93&CPn^@o4JQEtEnRvIUy5QQiL9XRrObVa7 zC-ZgVC$6kpOq<K z(zIr8N~mAinyyLyT9D6;-;{lvSk0$d+=YoV%j|RG*R-jbGkfdhPCONOQwrq$_AJ9W^Pcop?ze){2({TE+HY_S zX~|~AAlEX*)gpR3A8};_H;vGEU&TNn+$|ZPX=13aQ(Q1HT`T4xc!hPjfD+O*3ssza zRRkzzUnYIQYu%VqPAVHGEh`2J4(%kd3$dh&J#_K->cWMty`UiDQuu1uZft)3wjk0v zPk8R?q#z@vIJuf11Sn=F$gFT!>rqZB8z(&z9NI}@7h;d;j!*KC3Zw$5Kq`<5qynix zDv%1K0;xbMkP4&%sld1bg6rU>#1QKs*Jbu^skrXZ>?$)*@Yn%t%MRI;{aZ2%hp;Xe zP(nsbaq?9WpqTwu_Q7lqZlKcYLQ`F9cP+%PF7{M8_cdHM2phi?AEfq)wQh`(;6-WhAftytzzX$4KLvy%Trc9Iy zqynixDsU$X2z3r_N({9$$d&uIO*IA7l`;erWW*FFf4511+_VZpkXhld?iVU2m5r00 z2@dTfu?w-7a2O=PwQGZ1Id7Xv3aBe(2q?&iDNc2!ZITGk=E`n*nnS^B2MAY3OmJ!^ ziCu_YUq8p^c}NBBMS*ZQ+?qixf=SU!rRse!={AmyU)(*egmEkNYOg}_^gEumj%|| zHo%t_WySu8blP*R1s~H@g6PSiS68fYL|Lz^jrXRS4Oc^sHOQD6)1>B!o*bIJtw|bn zM8qduU+$tOahx?+&dRnW)9vXQ&$yS&vgMvRi@wV{v$t;YGdXbczoO|CbH6@yPQ0Ub z$H8l_DXL3X?1Om>a>+urE?kszKURQvorbF&99-jV@h3wRbjKb71^2Ff|7`80MC_6j zu5P;ILep$@qd53nAux0su+`1BnoSZlG)TL)fQLI63evT9Z)*~TXH!`n`)-DGNCj?C zfpUX2Wl5<(Dv%1iT?K^J;^oR_i7}+YAlI_|vhB|w`iyB5r$;I#vUt0N{jwUfjy#A3o> zkObGR4RYnYZ7M0Cu9P95AS0$Y-TS;9X*7LOfm9$BNCn=50{Q>N*oHhen_sj*z zO{*XTnH3JXe;a7H3X{Kg*vu2=Ogytdd!{(t`@HQnnRqIY3Zw$5Kq`<5qynixDv%1C zQ-S<_**Vp0avhpzf~i0%aH0Z2e7vu+*)Hj(Vjpmj>oWVdVg+qls5_PHvY817w`72( zsiAW|e{1y!sl&QlKndxZsi8Rest8cbPLNsQux?W-CzXwprWv{5&`uJ&5Q_ z_Jg5=T$h=*AFZIO>8>&Z#U6gi08RU3=#+U|tWY8Ku3*w(E}%!KcWD1993ebrKYiX7 zBOgc-yHH?SDv%1K0;#~ADzLoy-%j;1u@s%g%^USBTi)tt(RX=g_SQ|F4ynLfQXucQ zXBo|z_oTevIzzNVj+62D!%f!5TZu-C}TXcYKc9N(KrZ zZpi>$%l+F2MWm{6S21%CoLtQnq;k0~4-W;W7m*wTS_dYhn@x$@6=c_ZpcwLX!}5gv zTQQzp+F}>aFmKgZ=FD4@P>kG{G6WQ)Yo>QuzI|v&Ft-(eP!-cpsRrV|A(&6OC0ik`x3Q6-_&1qYWa1Qeue#;G{f zYBos(Xme%Ve^L;F%nFAcAYAQPF$ck^og{W4mO5e&T|B0 zL8Mg{uSVvy@H`?pkF_h@#N87O${0Jdd^Y|8#E znT11GmkTH%Bc?d{st8cbzU)60ysownM)HEyS-b_Eb6FB-#Czq466L zg1Z*8Tc{Ae)>AlH$Ajlx%X#~31iOlvgW%+9t|0MvJ>E_VPHCw?Dv%1K0;xbMkP6(f z0{J~q7aP38#WH20RN(z7P~Kn5+3_1tKpuV;+8ErF80vVCEB9}kY6_?;We6z9h$&9~ zZj%7HX%&PZv%+EBFH}w{8z(&z9NI}@7h*BtFi3)H*9N(A-ZqsKP*=(jP>>N*oa#*5 zBoUy^mEH6-hl1A*5U!4x;M7hMyAZp+evZ%ckP6&|0+RFgF2*T~+$v!6HZ2vnR|S;9 z{ngHH3DfQIw{b36$aYDNz?0?Ahll4^zAmm7j`+vl2E`vDFi?s0+XrdcUsig-q1(bU zmli3Qw7*2xJp6*Gqi6cFc|-*tT5_yGWOy<>&)9nTJH4$*6du3J#|*-cxQtEcV?^Vq zzYlX==-*}kM%^!CEOg_x-)M7z{`;o!k|;R&qp*a>KmPfy^HOyS)|l`K^7)t6+!Q=x zc4mWF;V`2&g?KaN_MfuC#q2Xx@_izI+C#s!!>{)EVvh&D!h$dMs4iS=o5c@ukq+A> z+1IhZvIad;w2nVMYhlA>fwi{{@TEmru|Fc6_FQYh$8?n-dUEL16>A((*6V8Hy{Try z)sSNiGN#5fsd=I&hh}eUl13d7@k!U0yXZ+AXAPFKvTez9dwRw*?j^Hqxo6Iz@AA&< zt(*Kz4$GVMnb=-Tqc4uQg@BxR$5A*AUVBYZUAn_|FpohlS;+i#60gd-wmG_PuB<@| zNBo1g#h(mTTy~X(K*7Ch-#3?fZo%3m#lcoLN5@^k1=P$3hHeA4x(U{%6s-1Wup=cl zt+#bxl`MXjAZe*UDv%1K0vA$Xz42ctU8YF|&Z5Bo2{iF?WwXQ>$~ee%q5WGcdDB8& zGcRjFp(nRwfUd<$=R&N%TI;f!gWwd_6e1gjO!M zj+I<^;(92^hJ*`E`@zsbuFK5Zk5Qh;qbb7+wM&vj>jwia}N5Xy=?_}VWp)4_ol!L?#|1b|Lt@r6HC!) z+`LiGvgNIQ7JZj@W^di(>5vM%B?a<+dzR6hc~8pwtusV7w-lcFLJ~)Svs@}?VF^fP zz9xc{PcN6JC#O6Yf@x;6L*(!8;M%o8u4P6ke4U+-xUz0BZFWNi54U81uK7B}1xuH4 zaX31Lb-93!avvRi&EvE+$g&iBymB9mNZ}B-;8(V8yAg{Chd~ltyEe!*K5sw7)1X@n z4zUgf3Lb9B09|`y^Y$Qg?8>1aNNH9$tP@E&sTyia|}p12T8>7Cf2t$&x8hPCf;qTF1U7WkZXJ&tWjCF7#!*z&8{*7 z1&K(zIr8N~mAinyyLyT9D6;-;{lv zSk0$d+=YoV%j|RG*R-jb zGkfdhPCOO3vI6`w?R=K^+p}mn^Pcop?ze){2({TE+HY_SY00Z#kZYOZY7xDikGL{| zn?`87uVSDO?v@PDG%?iIDK40pt`+kTyu!L%KndxZg(^(X9=dpZb>TwSUQm#6DSWkSH#R?iTM%iTCp>p`Qjif-oLtQh0u-|o zWL7w=^(ZHmjgy`U4(%kd3$e#^$0vD61yX@jAQeajQh`)pr@;SqSMowCkP4&%sX!`_ z3Zw#y0>aP1O^GF>{bP{pGW)lbTK8ynl^G~_>;Sf9hiuCJEt!QwSeFYZAtRXIb1ALCQ1cTfm9$BxDy40 zItMo;hFTir%Kh7>ngZ%d83GD2Vv3W$+ay44S_L76lBB{r#jO%Nd#zfWj8&|q2RRxgsUSaIJJ|+F2t^{pX2j9 zqyqP%z;fTemvXbtR3H^d1@2k_rFwt0vs=P+8~tsZOBS+Sk|XeB`Sao7`IWDWtA!)} z@wY+ohX@Q*V*U0(TK1QfUU2BP@XVz}3MTC@(KQdhVCv|Z{%jsm!H1R{YY-Wp49_#R zUj9yRYZ8UW@A5H&@FOl`6Z#m@IO^}iTo?Lx*}qZu%NPsYxa~LET%iBHX}lx~PW~t? z;qi}uzU#bH-GVhHe1d%br8PGN&zPOrU{*NH=uIKsOgW}W;p&=>=h$be1XdsEGZt0Bi4WK4}|Qu9Pl4$a=yB#k;E;*+i~chQqL z&KfLdW!sYJ_VkQr+)HNJa?hMa-{qazTQ~Wc9Ju*k(e#SBU!OWB-ch^b;I-Ej)uk); z!8``JWFcD@F3PzdD?q$X!_^KBuJN|`lOYPaV-JCXd)K~yw)RpYc1a3XH(hd}X|}pi z9DJ@27`hGE>SkNbCW#svq+MIU!yOC-=~}zDHHpHrsjQBDH$yt40yn5Yxxt#Uq*Ndk zNCn=m0zzx?a%Ho`7*b)7YuR~FI5$b^I%Zw!>{qolh~Tcp>=r77yCnm3EnYeoV%HXw zlUfHSJrf+lx?DgB88OAFR9$D_O9qTrkD5-xOYkSq7Wno0_&D`f~M$cQOUn}Mx+<^trVRS<&A3Wwak z4K!SZ$=^F{<_U8qo>`zhQyl&ud++li%k{MR^(%d)`Hn0+mls)Rp@HCKW_)EvATR@7 znS+18YcS>=JbyrW?}e5I8xzJ1V=&k<*x&&hU$6|`7z75b?|wFh*=TwHkpai2Ix}x) zWyCqByYp0M^^J#HS(zCTPeeRw72Sw<@Gcm;%zcwJ?+T+(D`9k7$@I_tM$1+7|GRw`L#GYA{E zWDHfPS;u_-*6I;bhjqCIC8P;cLviv|5lk^VL59L+UZzwI7B&v5Fml16o+Nf576_Y8 z5?rhJ%B-DS*BQ5Ot)NBIO=V^jd-x?|sN$Vjhm6}|g$k)R1(OcA20fcvoBE%^5yE5k z=a1WB=r77yCq|2V#qoeS%pnlmupZ$dZ0M@stBf-eY#l} zyq>szYmq{DJxS~`g+Gdfd`&!}AmdW_d<|z|zRPioNV6R5#~Nd8L2+<3KMAIo{Sf1p z5_blF1Nczj&rXlRhf&1u891ZBUq*gG4DId7eQ{4X6sFn8keXx&tC5yb#PE)MO?1>< zI(*V46-WhAfm9$BNCi@X<0z2x+dZyD*@GnFcop+o8)rg;G!w5jEiSk=(aAOa#H8@K zYcd}fe&WiS#njo&DtNdhV`w7RZ|@Y5mMhp)%p3$KS98U(aJepc0Ab^LD3%ooC#qnC zb#h&2+=>;nYGL&nFe46r$r!3ovyK_JCZUkpl`=FamL^OM#mQGiFu7?J3qgj$hWFNO z`&g=|_NIjTmCfm@|_GC8P-p zRh)cP1XIjD4f=xDyfCF4ENmRqP|PSe)RV+6#F8%d(8=SY3n!X*L_x--@GV`tvHAJi zf=Kf?Vc*qBvGhQ3ay35*rkI@|Lt!)5qZ}-39JC-f)RV+6#GccgcXE>oqynixDv%1K z0;xbMkP4&%sX!`_3Zw$5K)(WlYv-aw7i%Zib=GgGcv+*_RAxrOV`E@hwhKenZ^SKJyUMYz;k-at`Hcv{O~mXLDumDV z6b_cO9JG+*_Tmb*1j8kYk zM5(~1r$G7iTF#DNfdcZlMTxGCAF;q;d=r77yCq|2BG4DlZPt?T z0Pm@i?-Tji9{Ra$zPHB*d))CA7JRYC;=;)~EWVSAbYPQYTgUdy9CS}n+kSn~!iviR zm)=%}4>iig_EV(OmTNBfoNghAc22FjVvQrp<-FSUURAT=s>m@18B=ALEP0}xQ?<7_ zNu`d6_*v(tyXdnxb`6$Y+16ybKHTw$d&wwF_smiBP2Q2cHIwh;Fuhst#P(O{F!D{dgUAF<7-2`(}3RZhm*pU(p>utWUN*2FMEQwU$))XkW zR&dsv3Zw$5z)dS4R3A@QR#S|wMmxE#w0>(PuUc5<%+p*@=*cY^Llg1Txe)8m)|#y5 zAUK6}xdtVq2a1!gieQS_2{IHmBYIPa{mSNacmQEjuXJ(2wTVuy9Jf^^1*iseA#mU0rQKq`<5qynkH-Ch2_$g7Ql)73I%qEsLiNCi@X!z&<%uP_Tt z1-1&v`P6w^U-?*E&H=4WCs(e6RfSwMUoANA@bLi4s${tK||-RKem_C)ah>!Ndw$wXj+aH6spw$r!3ovyS=vtw|`PcBKpr zilqrtLviv|5ln7c#X^vwu$ey)s~jwB98^*5O$lAN+&X4*VaN4QEGrUDRPmKrJGrhi zZr@r#s}^<~x9^O5$ha-lYin668e^@Z{-T!OT^EZ zhr-Agl8oxKj3S122I=QA1rO-82KH|z+wVdrS zyO{+Sw`2@W_&UV}OOtVOI6H=Qxd!d!K0ErV#A%lx%Tny|#C0$tg-zUoU)j9uHibWm zgnUgrq9Ef^`0AB@7Y_r1n~2#hR0wxV#?Zto8@DH+V^3+=>;n zYGL*2G$Rgv$r!3ovyK_JCZUkpl`=FamL^OM#mQGiFu7?J3qgj$hWFNO`&g=|_NIjT zmCfm@vC1CZ>sE9)ed`mupZ$ny^sC$yY@%#q86d zFL=!hQ_8`@#z76mjDkZwN$f%_>0%F^JU+T`qKQWoWLyg0(zP3#pT8}LG>;SZU7Zw5 z4-_X?^OInT*$FZfHgi47!NSHt3xY#EN$f)GIo)|DH>p4>kP4&%sX!`_3Zw$5Kq`<5 zqynixDv%2FD3{g#TCHJVLjW)wU&29{;JFl7Cf%)%zD%QYw=Jy4u{ zRRmMaew1}ETZ8MUbaA1nChA=a@w1EVD#yNt^8#VzHzEW#5wlyU5I)yaI9Sqh&_a&e zi!0a?47;##HCHV0_3FNzK$A!XQh`(;6-WhAfmGnI3gq`dUG37Gu9hhir2?ryDv%1? zhyp^Lor@A(Ep>9``fXKB!RksG8Wc+p6eoYRNiex-6$?Rz!e(ACR1Ow44q6Z#>Pcc3 zVu7&fB*C?bPOcocRV4+hD`jX&d%xB2k)tp?-Tji z9{Ra$zPHB*d))CA7JRYC;=;)~EWVSAbYPQYTgUdy9CS}n+kSn~!iviRm)=%}4>iig z_EV(OmTNBfoNghAc22FjVvQrp<-FSUURAT=s>m@18B=ALEP0}xQ?<7_Nu`d6_*v(t zyXdnxb`6$Y+16ybKHTw$d&wwF_smiBP2Q2cHIwh;z|H>!p)Z*G>8W$zowYk(y!xDC zacPQuGLKF!naJjei*oG83MO8s;cN#77rZULF^gi|u!n|%dlO$?%)OL|O_IXdRhOKo z!e%#$gU=Njx^4qDyIEJWN}^^B(#|d5;a&{I(nP(tIf=rvs%*LT%?#;~3LH>@a=@Ch zq*NdkNCjT60zzx?bY(Tg=u)ARYg&0wI9ExQdCWZ3+0JU0AcC8S*)3EEcT2|5L_Bpa z#Lg`!2TL6sv>-Tyb-4y5qz8)AQq77>Fs;sPS}=!#*Tx{6?SbG_PZGNj3xrK439e0a za^<+KDk)f9DMN!|>4D;O>*Kbk(ez0LQh`(;75Ees$p064wX1x(TBb~t3Zw$5Kq_!} z1?2D*W`U`|RslJmI&a+t7sb9>F5yHIom{yNR#j54x>AM)#nJ=CX?0TTmQsecZO1OnWMj3Zw$5Kq`<5qynixDv%27sX+d| zY)>_-T&Jq_AjWc#IzH4N6E8riS9=t0I_Uc7hCr&Ad#h94u@cRAJa^Ok0~#Kj)L!d!R1 zom|t1QZmLXRp*7DxH5v9h}kVv2zN`y(8Q2+FtQ4purAl2g!Djh@>LN`G5d70E_gk0 z{njFd@OqNiWeR^33Hh3ML_x--@cA0f!hDzG7LjH-*pD^F+JfTXYJL(-G5aCLEhX*@ z{s!=&z@MESg%6{M-!pJVfxnFWf*9J{ll$VHa41Z(ks&q75LP2Cqln=h`I_jcyL9-Z zODd2GqynixDv%1K0>@Dx=eK)Yi?Rnv#PM3@w?c#Um5;>**Csl-a{acdq+oTW3=N8< z2a1!s4t6J)+_Z}2KoGIuJF3Zw$5K!*a;q_xBIN-i=mj}GG=IZv3TsKaV+5s&Pxl{@XJ zz^hUq@3*^L&XM<|S8~7oOF?ObV$2nL1PdHpTC!Nt$u$jeC1YddBd(0#DhQ3)%_xMs zC1a>U%(`6&a=8?ur49~iC}tEKT&~cdSeh_S#c8Q#l|(SD&dlpiiiIFUVY4v^XIm)d zAUM^N#4f}FVbe*1YZIMZ{o^)2e_IfJ9w+R_8e?riad5}&NifCi&mXt&3!8e9*oD|c zDv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5qykS-KnUtwl<4B` zT%d4E#?Zu&^;@zEo3Jj|poH{5aq?9WOfma`*1ddM|B)SYN?Ye*Kez83RYLj(4bg)pg8%fO@hfyt5^s! z6gKmEp>nXWanOR`P)`!O5DSD&Ckd`ibaLgmttu&4T`5C@V(Ee6w2ZV>62Y`Ovl*V| zQ1IFqgtI*moa#wp7h)5sKq`<5qynixDv%0P6;Q1HY-cltsS;uQp`T0eH*As!XCF*| zzPr0T^Dzk5DtA}D&c@UodsFpx>BBRPd=L z#~eh42bbr9t(Cvg+nhw<@w>dwAUwrotU~W2DqH=ro9jwHm;D}fKV4&?8@K&Ro0I8} z&nhp8f|Gw0rttXbw=WtmRkvV`2_IPAe_I=yf@jRmXfPBuBYIPaS3_>|nJPTMd#dF7 zM1Ho1er}uZ?eW1LcYK8fU+l5CaIy}I@8lvK*d*E3u{|>f-BZ-IUthGa;(66Y+!S1}8hk_7ZNO$X!Q7OB)gBdgqy)oyn=h=A#qZJ#U5&z!NCl3fKsibw zSw|}HSOw-A|6}9QKNWaM1^y&tkEbiEDMnYJom^L1zqOKAEi7~9X^JHD5{+p3a+ z)s-?dD3%^5PX6c+Om14ma-eZbIf+yt6-WhAfmGn`F8^QT)keYTYMC-oD)8wkFwL_+ zy~eZS+f_i8pAT=hhphb5Q^3Zp;k>P{d@L^bH_^$J>tIzS1*!Ndw$)@U}BnGpxSWDHfPS;u_-)(RC;Zwj72Pcc3Vu7&fB*C?bPOkoO z`(6BsY8Hcoe}$&E2EoHE8AB7>3+HkvL`xk_R&x-XT&~cdSbCs1E!8|sauQ4r&uoXM zITXBJc-&ILZs2bK9}4{0=~4JFiugSPXB7C$$S;VYy*;_F=_dB%_}v4iyZY|D5Xul% zBQ2wd;T`#!=%~AN_@qlJ@QEw%%O~D{wx0^50;#~k6v+AQ9v7tSK@xGimievFAkD<9 zO^XYzO>}bguY*-8YZilpyW(?R#xbMd;g*b{iC4A`R*^%|0x1oJ4fm*R`&e2K99+#6 zOMJaZH$&LzB$;EvX9i;@*LB9NSV6H4X2iiS8ABCn*6oGsXurye(Kuv!-o9u8PJ#pMtdsB%0%I0)<><&IReki;hSk1dp+=YQN3ii42m&DNCUb3;w zoeHD^sX!{wp};h0?eM&kiww-8!?;Jz6PP`|Bu4i3l6__FRN(3gbaA1nChA=a@w1EVD#yNt%Yx-Ie(z9cH>=<=*HbuH(sIy3 zj@yeX*b)r8uyHk4ERE5COCl9W1yX@jAQeajQh}RRAioFdYM1YHwM>~P6-WhAfmGl| z6cFm{T$Jc)sgo<$Z>wqwR#(c4D<3jI>n}!L&NF8J^})@Y)!Jvpo=;>Pcc3ViT#r zjViz|d=ja^ttr6WK9LICo&rkA{%mJ6g=u#8LqC^HWSb;s!-MJ1cXyX(K2EMCj`-~Y6eSn$Ojiwh^~u=q|c(t%BqZ5`V)bI?6SZTs~_3o9-QTzXp>KGY}|+fR{BTduj_ zbGn5f+BvoAiZzZXm-A}ZdsWSft0Ko7WK5M|vgC<&PSxJ#B$YZM;%A+o?xN4)*fm&o zWm}W!`f$f1?j@rz-7`ngH+e_))=a*W!}Mmo6WgO{^wAMV2*`nVz6$4ySD#ZXF3rn! zGLKF!naKQk60gc-ZgX~Botc9sj`)eU#W!YFTsD=3hJt$&Usk7jZo%3l#ldDbXU9#! z1*^d~blnDQb`#7^DOl}MVMj_Zthf2XDp~w4&Ct~-42e|WC<>IL6q0qM0;xbM@JT2j zbQw=qR#S{FK{~mvw0>(PuUc5<%+nM}=*cY^Llg1Txe)8m)|#y5AUK6}xdtVq2a1!g zieQS_2{IHmBYIPa{mSNacmQEjuXJ(2wTVuy9Jf^^1*iseA# zmU0rQKq`<5qynkH-Ch2_$g7Ql)73KVl88?wBvOH!QDB;9-%Pz(Xew|g%g=`?Mk;VS z3fQ zN*NjyOAi#M)rDPt8zY$9w2Eb#R~Gi?Uj!%z3mXS52oCimu?w+@R3H^d1yX@jAQeaj zQh`(;6}Ywn`TMe~DSotNO16k@-!IUOEA*wias zTyU-8E37a-KZ?G|JF>TC@^nZAUXucO zzuo0(j=U$miu>)~+ePM&BrH}O3L{@gGOE)uiWuIJuZfPjONURxMQmN;&v&*BRvZ)y zFHd2oyI&j`F2x?fBH!#{4vN<*$tJeKMsO1`yM+qjb3KKF%Y{PDg&499MmAv+*5w+M zkRB*bzAAz#W+%u{*vvX92MZeqEeH8w98AB7VY#pp3hoZ$H z*1-yu^i(SNac!Gmgt>!23Ed1&JLemUS(XLD$}0-DD#Watw1trAtwGp#OU6)znsv;$ zwR(iqVO_3432DOAP@H^K1XIjTkfE?az-{|jsxWfFp`IjmA$E80x$#5c?Z9f@jp8m` z(^0U`jlU#@_V$vEW$siU6-WhAferF^XM?{k@JLUiaM@_xI^FE(Ez;3ei#r2Q?Hk3Jxw;XizLo7^mX2RI^GVm{w=ztDsm2G88r&gK)Nm zVh(~+JxT0BEOo>lI(d9_;Y1UUD9E@JK3~IGnEd>0L8Ms@_G68)wxBq;nx6zy%ubM@ zu$k*o4i+{JS`ZxSNn)4th@I+yCy@%=h5~XMWoDJBKq`<5qynixDv%1K0;xbMkP5tV z1%&=O7bUu+=;XT2`YjbNYc!k6%qVzl3@pobVaWO|nT1VQmupZ$dZ0M@stBf-eOiAi zc)i#<*nMuHhI*xo3$9Ica^<+KDk)f9DMN!|>4D;OyW_S{ej*h}1yX@jAQeajQh^&* zAioFdY8UTxwM>~P6-WhAfmGl|6cFlk7hDv}YPp0HO>}bQI#^Xn!RksG8Wc+p6sOgN zm{E!K+s9JFFIIZNq1nQPON|tp)W1a6Jp6*GqXqrOJfeb6H96)WGCa6E z7i_Kkjo#)Y3Xk9AeFotvE@KsXA5q!rkKJ5X`nl})sQc*}3*ETwSK6FRe|%PXNfey? zt1yMfPrrT9c&WMtYfSjS^8VY}*c3ctc1DAtuo=;tLcAJs%Sy+b4iE62D)~Nr@ zI&Hb;g3sv|f@tT|sw>twqFm0aUGG&jE3S$hbC5ArhRKpA+BsEwo0C-Ph=`wce!7c3 zi(}Vd*_CZgrt8BUkGPkN!gS9ZMc?Ed*;_ODP7d7sUl96&xu2do2i{q`^Tn&rDHfNe z*eCPoXj}oxHi$rmE*Rmq+oTW3=N8<2a1zFIs}uOR=6-WhA zfm9$BxVy{$7kRZ&aJpKiOq2?w0;xbMaCime@D*l(slZkNIiEUj>nk6N%Q>L6>Ez0F zu&R)Y=Bowg9X=jlS(VJUz78fd(K*&|ZK9Ja$8A+f!RksG8Wc+p6sKDsw>^!fPb!cK zqynixDv%1K0;xbMkP7UjK>ogLFD08ir>4gN9LfP|$P!Y4rzs$WxeG3eWwl(wi7Hs! z>g2l4I+$2Ns}@$vp=QLvFBwA>YSuBIzcmSk)UK4FL9sMpYA8;=DuT&Pt5^s!6gKk* zVwHo1je{zxy(ys!ms`h7F6_7-ie*K@i7LJ_YbV!r#_d}xXw|}w^3=1}l@+PJOvrVz*1YyPzhUWrs76-WhAfg4m{dhYSuC1)+7{C zyHbV*#nObSp*Z=f2qrhJVj;*-*zn%EZ68Y&)!vj)zp^=9mHeqjJ~w_Syd7B0yHVVQ zfinvBx$&38(B59MvCN$cqynixD$t?8G->VdypoFy%%j7&N6r(bDeAD=Tf`%KYvoRR zD)6cl$ouUsmviJj>9yQ%1*H*cwL-LA;ONql#fna@X^5*uv{pXi$_TE4(0Ev9cBND~&SIQgmwrkH&i^aZbZVM;kz*f^-6m{D-3Cy8B% zC0*>HlgCFFPBig|f{aVyTe@~*^Yga_k>+v2zN?dB>4DA&P9nX)=sYL ztlv`cvPQG1%#4D^#=x>{7ly3gl3CbV}CSrCA6~gCw3I|JC4qC`@dvOI@f?*dnuI7p*zFyt86KE2t zKq`<5qynixDv$~sR)PE;sH0vvX0RtEEn^T)(ZVDOg=8 zLxW=Jf#T$^HVGy-tzsd_P}t1th04Lg#z6~$Lp@3CLM#wAog}z6(aDwLwyLCHb)^gq zilqmN(=yUlNd(jC%w~9+L&0lf5YF~MaH=PXU5K4uKj;12qyo31z;xfgm2$JrR3H^d z1#VgarFwt1vzfv)8~vf5OD3{SlC$B#^yj;~%QGJ*R})A4^sAZT4-uGAiS^sZQo}D+ zdcmRD!i7tX6r0q)MAtn0f~lhg{l+|^f=@L$<{&aWxI7nZt^AGN<|GP_-{pM<;VCX- z6?z|0+3JtoTvz(J?Dweq=^6{&xb0WkoJ@awR(VMjocyaWg~w07ebIQSx&>=Y_`vf1 z+uGO^JY#l7gQ2h)(VIfN8gdMi!r3_;``|rQ@_iyd+e1IM&G+{BV2?Y#!h$dMSX?++ zhsAetkq&HTopOyAY-ZwlO<2IbE@_>C#lpC5kKqvbQgUV$F9M$E8Ch(*M~bEaW5H# z>7F@?zR5eXw`TI49Ju+vAoK-uKRtC0yt8)ai&vjhEG|v4Pv+6dB@@{^aZ!%_Si!{W zG@R|=;DWcsH)c_+8}`spaBt$vi@BE)u}M-myXuk?RoLuCaqzi9L)UG7+orK za!o4_3g;@xGLM<3I@?+85=3wlF}sBd;cm$onuw>)h1j_TPcc3Vu7&fB*C?bPOcocRV4+hD`jXqLVAv!Kz9MR#(c$ic1voQI4ht)V?%)}!L zYYU3Qt&iJQlW9){Qh`(;6-WhAfm9$BNCi@XJr&5`m+h%$mFrZs9>iD;7M7JtR@n@~#w{5`6>8QopTD(wgw$bOu0aWD!qiZl zd{qQf%ubM@u$h-Bm4k(igDQ+%aHuDVU5Ew3rjrEMD!wvnC)ahx?OQ8o(R5Rp8O0uc z$r!45XVxL(wpgJ;>P^9<1Fk{Orq-tZr*MSunEm$w& z=6{^(Wnd{fjGH&=QJCK9N6|NVNA}iCo(`$NYf>QZx4T@;k@uv$-#V9QT3m80FLY|; z3rR-xR7MfQJMuNrQFrO^X}HMQe%{gym$=v?SeWbXx07ocQA);mrRu!!6IVuX6EVAm z3gK?a7@8Qe4n|gC6V~M#l#m`MPQEIFDQ2H;)&;L8uHRau5MECbyG-GaA|YQBk0{8v z6h2?WS(xu~+#=E}2m7(cSX)pWT+L5{DP}*!xTVCM!QTKr6!^2#qwrxA@p}f&DDan& zUl2okdvagg6Ap!GHZr6p8NzC$WfU>IBVQ98b(apGbV&tLfm9$BNCi@XRNy!Yx^5mg8VBqy*1$AmyDqbHS3t)JD7w*YFEn8pjetPH54ab6~W}DRV)M< z3L6C6wvVNXYHv!YU)h|lO8!(MpBq0E-VUth-6-zDz!?Sm-1tjkXm2msSmsUzQh`(; z73ff4nzVL!UdcrU=Fwr?Bj*Xz6m?kbE#i^AwQ{FD6?j<%c7FBc{dSkjIr5&g^A&$| zzdQBzmqKR97<0uQ!2(BDJ{BuFxuzkmWNfT_#FY_T1)(v!8HI4SWDHe^S+@&8E|)^I z)WJaw#f*Z3%M}_FOB2SaI4#wzk_e{NnR)$5u@Gb^Y&HhrYzxI41gCnE*o9c?h&^=j z_~^okCLU3caVdPhhO;pF`P+g>vmETl8e?riad0(138t8xAVXm@*P|RPY#g*8IMkEG zF2tVGop*AR3Zw$5Kq`<5qynixDv%1K0;xbMkP4&%sX)I1f@|lZL>Fr(*LBu!sd!nV z*;Hmm!DC}!S+)y9)^EuyY{I%+gA&pM#mQGiFvaXgSqHNsJ1SjV%)O0z*8;)pV!O(* zui?BvSow_z!A->M7Al0#^%M@4v>ddMG^eX&%0#I^Dv%1K0=J>SH$t7AixOQeb#mqUZBPi_J6iW{j zCx5j`Fu7?J3qgj$W?nB;4i+{JS`ZxSNn#gbfw1W$!L^A_t{k^jB?YT1WoS?=Jy4vM zk+w=Am{w;t!_yoJUK@jOwg-Y!JxT0BY$6p%1yX@jAQeajQh}-hiq)U(Y^E?(B8)%u za|!;2O%mbkgXzzAcb8{A2H{%8uV#%uL|{fG+;1OC4Zm3F1&3w}7cMnYY*POcUGwk@ zrj8c$8}o$lPElXm-iWjr?`w&=zTy<`-od*&$mChy4Jn#p%^;O75= z&=<`8^wc@<&f1+XUVTonxHQE+nMWs=Ol0%KMLG6k1rx8+aJGYk3*Hvrm_@N}*h53X zy@@X`=3Yv~CQ0G!s!L8(VY3^>!RHDMUAF<7-K?uwB~h~mY3CO3a4&{pX`*g=8J6z+)BQJv5OD+?oP^5-N_TE2}9+S96_Q)5?Rwxk|Fk zW9F&Oc2>It5!^(~ZlOZBTQY_w;;C~Xc5XpASnA-Q1;HV#%QYw=Jy4vMYF1o=X?14P zf;kktHU{Br4+N)rlGue`=x|3l?X)ttqq0yb_9=dHWo zqL^39C7fuYlPlN3s!9r0SIW?!SbCs1tuE}cW-gfAw2EbVe=h7FVEy*g+m1o3eXe#is3JB@l1sBD#S}x&46)bLba$RQ~Ost?)3(HF7^j3p|UowU&)U0DZ ze`^v7sa+{UgJNmI)KHv!RRoipRPOKxc}MovOr8#@z-v+< z@3*^L&5`${S8>1HyNSb1g-5=SWS24OkQC?KZl^}RCOV4Tp*K0NYuGkeaZoIbY+=r77yJ;~r@yf>SN$A*>L$M&Gp|E+eaZB=@!QTKr6!^2#qwrxA@p}f&DDan&Ul2ok zdvagA1`mat-(Fshk*|r%T+VTE&gH38AQf0C@NRXK2dO|RkP4&%FRVb$Z}+$WWe<{w z}ZiKQSqM@k-VCvk|!thDh_e6Z^5oSX)pWe9Z+@%zmJCu#QR> z7n-Vq5mxV7h@V|-S2^}ITrSiz#;sJ@&4|NNPvKxm%Rvol#xd)+rjL+$)~RsturAl2 zcr{^KD^9-Vf+=Pv$WYkK^(Y4m8wXVwx!_Pw61xz)JNVrAq40KKHSb1o7q00j*yqMy z5<`1?$;L8wDv%1K0;xcU0?b4Y1sPb44&xpLM#wA^-32PT$||R>L0iH`P+i% z^EhEY));FGii10DPl73CfBv|IU)a=>#4f}pQh`(;6-WhAfm9$Bs3|9Ub zU{1n*6FF`#u3%F!a}bVc{?N}Q_!~A!gtHH(Ki}P5p7|JrYZbqm zHU1ER8I^FqeJnNnVx<=xnk`(o)JU;O{Y!Ms!!MXRTF`IIBP#e*lVc7d!-LCn!Pd&( z=xt7-@c3QcXAqv^GFGAY5tXg}*v)mNpUZxax}UDG(2d)ErOnCo$7hw7M8V0w3R8Ie z^xGGWm#SN^#)JW#dmU%4s4Qa>)4)|gYGG6+pjNLSaDh4(%Z`Lp+>pbeu{M3a?J&w z(=7zi&Z$*btZ_uSoL9Tvt7=wU6*=Z0W2y|3B~P?-s`fS~snih>KkNK-7kw7TuEDY^ z+nP+*hdUl|FBygDo;ixX$vd*QX7ZgJrZ?-I*d9%zkB&G(Kn}d~RXAU~`kZ2MX}nvZ*XI6x^HmvO3js3)Utn4mP_vJ8lXt zSPj0R>o#Dsn_zBA!D^2RJ5qvSz0DU^$>MiuhOS0oNTdQsQJ@^9kgOvWNCi@XPeK8q z%Xqr7nqqVb(#dtD^;;`>)xt7oo~B4bPj1N=nuw>)g;;;K)?_sY!6~fEH7FrHP@H^K z1XIjTkfE>{(VIf-S2m}^0|=XXrHc!$O>}bQxUDKFSY0VYgJS7{;^dDG!Q`e@EC(95 zl#@sWQh`(;6}XB5zg#8Dlau8Ci+r+lj}1IMHX!{|fmEPdfoY!I?VpaRz>6y&%g=`| zUP=~_3Un(VXV3GtzVflSoC8{$POe-Bs|vYjzFKhJ;o||8Rmpto>tI3?onsBxCOWxt z+*Xwotge)yL9z5eak}+!+tXN zq0BYBpLg;(6}S}zghJf~7saw#F5yHKEN*pjU1uFkte{m3tL0EL;^3Ezp$awYn9tvu zghFap%Fv)#nlLpKCtnr8pJ81trfItVaIX%&bWt++fu!@mbIcW)+*|M3P*^oUqN#ycs*_0)_YTk)x7Fd(>znB78!aJOU(O}w&kdlEW!1^zPf3u0(*PwtD?;Grb4lRq*iBVrk)(t%FtMP^37}I+#$8d*KwzYDjUS3I<~* z*LB9NSV5~6Rs*vrf@(XRT-RB@rO;)KW>c9N1&@t^W!Wwa zS-&N-unFsO4N6E46enL5!4$I}WgX0l?5K2cG50p=T?+)Wi|s1MzJ~JxVdXa>1UC`0 zTc{8|*HbuH(sIy3j@yeX*b)r8uyHk4Eb;Z~zMVjmNCi@XR3H^d1yX@j;IInh_ds3k z(wwfADHEjvsX!`_3fzJM?;f`((bdu;7C4Mg;cTpY#2;rRxQUqELWOX*WDHH@I@rY( zY$|3Bf|IMcVu`QU!*wvhwUY$bCOWzD_YPGh1*iiIFUVKc9D zD+dc32Q3H=^(3(iv2z{g{oJGix1zxG`ni>Iv(8i?6-WhcS^=f{Wqwkhb~GFPp`S}8 zGJl@*ppNhE&aMy6e4JcO9P!hyW{Q7!GNTgfw~wWUU##?kL$ieoml`QHseg&CdH4lW zM+^Fmc|--DYI4j$WNdwAUwrotU~W2DqH=ro9jwHm;D}fKV4&? z8@K&Ro0I8}&nhp8f|Gw0rttXbw=eDlYgm_SP(qq`|7~q-3h^;Jqrp(vjOa}vUJbd; zS`r@MJyr63B0t+hKex^I_V{3rJHEn#FZNhmI9Z3qcXE*qY?5s2*q)h#?kQ^9uP<6y zaarKf+sg2vM!DF2igem?%>|#+Ed-=;VeHO>A!LlpcnoQS+J05W_8HMSdIf}l?JF>TC@|_%}H|w3)9!;Z< zjyOU<4!rYKIA6T_oMLflUbd5YbaKf==FgLORW5Uzv+L^295ivnPrNO@F|*>bsVp=U z+?)8aI@NOv)+Q+qHoG}HZVE0~4Zfl4Hej=xU~WpmYL5y#Qi5T<%@{823(K5&nhOd&xg}$0BAz-IV*S}# zlhqsqr?4*9poH{5aq?9WOffq_hQek(aDwLwyLCHb)^gq zilqmNlRr8Hlbcqt9BAB9P9haZ1yX@jAQiZ~%l{X7wNY@oTBb~t3Zw$5Kq_!}1?2D* zW`U`|RslJmI&bSMAB)R5ptb4b%5|`+kc;N41?L?;9$;CO%(uP{CN$AG)^Kg2lPkw< zRY}3>N*NjyOAi#MTOYSQjiyg3kP4&%sX!`_3Zw$5Kq`<5?4>~dzHBcgn>?qcM>?be zslYQ75Mtd07saw#F5yHKEN*pjU1uFkte{m3tL0EL;^3Ezp$awYn9tvughFap%Fv)# znlLpKCtnr8pJ81 ztrfItVaIX%&bWt++fu!@mbIcW)+*|M3P*^oUqN#ycs*_0)_YTk)gF~!?83hlwWDHHbvT=J7I(FqyEJ$f6Z03Qa94s1h(1PGlPZGNjyF2(B zz=r~Vc6t;(j3R!|H5~>1GV%*zXm3yMi`U?xFx}OM)FeY#jkJs+hIiy^qNDE8;gc?@ zKq`<5qynixDv$~sM}eH*?r|;39wZURtC-)~I1?JAnRvBnaly5TPOkoSuu5gkVsKd2 zXf~CZQSjIpx<4@Y%GSXuawtL_VjZkdNl&GMAJ?`C2BSL&l+eupvU9$nm}OZYe9pKP zv9cBNE4=p;^eC$m|}K<422Evt=smoRAJHS%iO6zDv%1K0v!rWKWue)KEg#F z;d|-&oY+gQ%VWJfvD@*;dBQYB^=lp1(vojvZ%dNgNd-Oy1%}*jpCg<+C%6%-4g1s0 zBkxJo`|Z<9?vC86Hz6ZrjJaZuV1c76A8R9>T+lhOU!OYA>1t) zLlt7y?Lv^tr4TK3a8N@rqu}6jg$BjagmEfPOEs$`f@yVTz6y$kAVXoZF$iZ{DCQtI z)sw_7#8OA>p_9i)7fv+sh=Pnu;qx_|g~`v~7DSrmU_aIvYYU2ltNBSV#q0zb3Y)ne zZfUQrGQEX{nLCYG=rZNi`C^iO`WxFtB{g%waCalXfC?P#ioLp5@ zFvaZC`cuK{#n!>@a|<=pD_vZ0ZK9K_f80Lj_YPF+UZJz>W)wW!k})*#%EoO)4n>PY zjN3vbiBupJNCi@XR3H^d1#Vb@{2r*QUA)uPGJOJxc}!ufmf=4(3iUq zq*zvqNStV*lPlN3s!9r0SIW?!SbCs1tuE}cLN1uxw2I{r>tGaecPDJ>l`by0HqptI zr0>@B5mizuO>d7infgS~<$LRn*w^HW;q=Y z_`vf1+uGO^JY#l7gQ2h)(VIfN8gk1@$D9ri@SZC9K9Qg8p`Y94dwYDa#~oi`!54ch zE}X2x;ybxW2R2Ezb!^YfLH883?bjDAthg+2>1}2BP@`OIKSerix#oh;=@x=$=hUhz z);OYE&Z}MTRW&QFiX3y0F;#}ik|)|ZRePJ0RO*O`pLKq^i$05E*I?O|ZB3@@!yS*f zmyE)6&m2YH^tGx<&q-27h<`hvNio;nBKS-bPa`;*OCghO5pn>mGI>5}U-If^|u zxXv!-HBVgB_T9OSR4OB;3blsbn1|v;3&PIarR^%m8$;o26JJ)RdUKKj&#KENvCVE2 zr?4*H&~+QI+0D9|2V7c9JGVe#!+M*OC|Mp<_FziseA#mU4~_ zId*xksXBd4&15gBKq~Nf1@iwzK3=mYMx34)mtm(~Zi$hSB#p^0& z#KA8aLltV)G3#I^p^(~@GBhZbCQJ>*$yY@%xoH&(L59L+{y?m9u&{AZMYT62bm4OA zn8}45*F&+aNH|diYrvgc*BQ5Ot)Nv4q%HQ?Vg2@a>g^hIiy^qNDE8 z;nU?Jc+t#ig~(su!L^A_u4zOmeD#$Ni7RUsQ)f4;;Ng~xp$T87xL|2AP7Y_surAl2 zz1(L8FHf~Qs$F3ZT1t|@M&C}Lzi4->VB(V#zyMw<0d?@f|r$^z#DB|~A(^23rBflVq z_V(nya(??{=^g}@2m8D4j(kmY6g#xHj{ND83cR=iazEwxSciVxz2DoMxOB+lRN(3g z*bhEGt&?89;q&uHA{9840&nKqtvt7^%i;c9zGufzs}|4Z@d(5_xyQM(2T8>7D(1H~ z&cqsg^LPI%Vg2?^p<4!@zrKUD351DPu7vp6#dekBc%|xmpO4uHZX#y4P$7J-r*JU0 z&wdlWPI1A~WSkt%j$vJ{L3_E+j=m~!+9k-c6niW>DF+K12Q3H=aSIEV>oSu+hGdQj zpSfUeHYH?Jke%}l#Vm_3l~)07Rft(PX$v6?PlK>=OU6)znsv;$wR(iqVO_3432DOA zP@H^K1XIjTkfE@dU*F2X!p1=rMlLwilf*8>0{a~Ce&BQChr-)|)w~roCC zHV#@49O_A87h=!pcs^ZzK7o@*fgGif*I$R;R*V1Ww#vdKC7&f;&NWM z;JJxMEN~c?!ndrVxUmwi1{2&w%xuk zu5G_A55c5|sG_HcX8@gshBwkPOj#PCB9zMFL!ZTNd6qJ?hAh8 z>B1ulGCqZ~QE6Nm!A->M7Al0hC1YqJ$L+-xY$|3Bf|IMcVu`QUGH!91AoET>rve98 z;HwAY_TZ&vd8xpSDIhmi?+ur$H&naT`sc=+;@nQxz4-@gygol!xWrt6|M`pu)1U7u z6QX;H%Jx^Y#gkAoD#3oqSZesiN-sDxTextkkz$kjm*|>@UodsFpx>BBRPd=L#~eh4 z2bbr9t(Cvg+nhw<@w>dwAUwrotU~W2DqH=rn``<-hr+f>viT|OS?dYE*Vrw!kl$?| zLmhv7R(VMjV*RU7XEp0@Uo`4+3)Utt4)4FMjZMKNX6Hf~3Y!tVDa4J@fQ#WhRq}lz zKifk;x6SwV_+XDazQTep_E=mvS%<}Ua*+;fl5FePo|%L0DQerVFIre}S>V#!%J88^ zx!8V+blP&w1)tL`1kui^RadNWM7f+-yWXp6R$LW1<{)FL43i~Kv~#NVHYcgn5fMM@ z{B#$67RRo^vMbw~OxK4y9&s-jh3TF-ioVG^vbSdPog8?tc|qt4=6-tW9C&B#&KIvb zr}&G-r78BwJgQuG3zwKH@aIXqDyNyQ+zEaU&U_5QP=#998}m@SHkE~jf_oERR;PMy z!P+Fn!DcsS$4$WntHC#P-3DxS6KbxtwDW}`|FGWXBuW;)ODr>F-@o5H)8=z3u)6|f zVYFozUWJKN;8qmiJ@oGGf9B`7L@IDI3VbG%`p^GMnP-#nv9cBNDmaJrJ5C&U|OBov|tVe zuZ=-C+XKO=o+Nf576^}j`VW_%f}(=R(}hPAWPA#zui-3Aj@yDriyXEeYm8?Lii5AY zV2arZG88s*J<7qt#z6~$Lp@3CLhOt`|9s^6t;}WS^JoQhbb{nh1yTX~C9fP;h5Ub! zSGzW+t7Y0L(fyBQJCP+#DsU|Ye!5=}yH>i)lL|al0r?pC4L{u-6>`+VUSC~$3oXgH z$n*9ua{YGuvEQC+nCrK9icZ&G2NTl%NA~{|HWyB@ECqGnksq-zXZ+hFn>s3$QDGCS z7FO&QDulZwV`w7B?Zp*rDrOFXldHL6iLcjYF8j?FKM|2g1#U@!9JIG|wX)Wa3LJU; zRz8-Qdt(*QvGn`1|MCm7iUqptHR-Op1Ld+Von-K@U zWDHfPSy$Bv?j7ypR)uj04lY+{P%KTD8j6#ziePfnDi(qag^fQRV%I>$T-kyBGkG6-x$QP1~>a>g^hIiy^qNDE8;nQ%Dv;DlK87^_L z$Gooc*UG_aQGydqcwBc%*otc!QNE$zKCkbb=iaL`in;s7u}Qmd8H?ECd@V2A=X@JO z*1E*sJnFdJi_JtwULkTPuEo7#T8I0$lr>+_;ttv9;bkq`)4EHQ6E=MdZz-N z3h2eeR664>-7aV@&DTF3`D&C=?UYf(@VCf3WFe`eo!8m~6t(%&z_bZ|{0 zO5u)Is?M{Qn2q2jVs;A^!rhWFH1W#T!76enS{!H{OsK~$TxE5CcEPg_MwmMYl(@|R zvU9$nm}OZYth@?vt3u4WNm~e+-Wr5`w`2@es9DF1TP_Ew!@69964HdJp*Z=f2&R~w zAVXn;fZO)5RAJ34$#t((J1FLyAio0-4N5MWf{*u_WxAVL4 zOLmjFQ-LQaP@WKzA*sNtQDB$J#-3`(o@5tXdU3qp;mCQyG)3L7*hez$-J3izf4Zjv zFQ~xuWA#oI#+;7hxOn8G(jJZOG{sKprmV|b4O+#GC=&#AlbyO-N zxC*kw>}C|g-I6g>A!gk!1i4%a(NYHoH54-n4lY+{P%KRtr{c6!vq~bER%hm`pjZep z6gC@!aJGeF4uVrXN$f%_b(}r^{r_j5aSP`o3Nmjc#Mf{ZCO>~$5NVc!{a9nHEhrAI z<|n}vvlC<}Z035DgN2QQ76gZSlGugVb2^^?=8LH!4ic%r%_tx@Q)w2O3QP+8WOv^D z-9rwCR3H^d1%@l|i)Hw$;aM_4DzLKx|Hgmux600xNtX%?RX||>SAQoze`_)nw3yd- z*l%LU`Yl<7jk{J98Wc+p6sKk7YL!GVtH5xYGpf? zZ>ohX_S010PfQaMslaV1pa(8DzX$4S7w2@fOgkl#oZs%GWYSzwfj`~OS}eQW!Jy>svWk)?7Jg zL2!81`Yq`u=vsX!`_3f#H^N(0OMq(1Fv_WDCVmrP{-Jn2Cl z-&JN^&4PY4kNAftGb$8*`&erD#Y!(YG+VfEsgYun`j_aMhhH#tw4mRZM^x~sCdV8^ zh6k7Df~}Rm(c7Fv;qkk?&mcU-WvoK)BPv_{v72l9{hY$KO0xO+@L8X$`Mt(&sfGM* z`xxr@9_e6h#k!pS-;zLSe|V3TB9$M(z|bWc&+etprxipv6*-d2VW zHOj^IQ>4?DYcBYlZXt+vPOZ9PjU&qCyxR3%RkPx%$T0^QQ)QSed7_PJ#!R&lXqlq&Ez{d@LuzR&=<`8^wc@<&f3|+q( zZ%$I+nG049ny}f8;8{LL5A^&WF$ZDqNyR{^25|Ldzio&Uo_;UJ!3U~M9v`fR2v z#-;FW4283E9Utep^BKiVP#0o$3l}Kdk})*F^h)uHlWbk^Z$WSf>v9cBNDmYzzhJ=> zvlC<}Y&I7|INJlksh%WuAr^=|-u#74dY5^xUl4h^@Q8wpPvP`6oa?AmMsO1`yM+qj zZpj#$*bYjUOCeh7XtJ7v;N)_J2F20?#c8Q#l|(SD&dgUqu@Gb^Y&HhrY!3vddXm_M z*ad(3?5~#n+(`v)Ljk#sGPBB5V5NZC5udg=DDwYBUhTr1u9j)1L~>r7--#?~Qh_Te z@XIvgzEZkOlL|ap0r?pDH(#EAX#aR3_fmnQDj?^=&f9rqW`dhR;dndBe;AAeCXF<*p`-5;BX2s z%{b#?rZAt*=TzXx3j7tb;bS6yUv{?pYm?Ihmgr}Jq3idsK0poO=Y#o zT<+H`c&>sq;72TQn76{myQ^?Wo5_Wp|Mc%vK7U)zE;Q4`SLRXgTHu&n zY*#sMR2u(Agy1UPnl)xOqYysVQ#iO3h;uI9+1c%dbGa0Pr49~iD8?Z;xLlz@u{2Tt zQ#eArn^v*Vf}yaPby5y?>TS*C)r&l+!x2IQ?k*UD-6tLUmU+t1D@3*_iIP#wKy6(51 zU3}E$iSk!|x_ctyGs8x{kmQ-Qh2bT%>|hxAn&>ERx88(gUpU{V^Vf=HS>WjI7e|Im zu}832GP{_A;7*f?lGaHuDVU5Eu@W9RJ`HdyT6`@$K&Ao6tK5d|5a!nt(q#(uXM$x9Hy zO~mXLDulafF*NbY#_dVy*p)-EAf=(O@rMbP76gZSlGugV31UV3)qL=*XZ{B8p}?P= z9)%C1h~INfM}fbL{DK(T+mrj^HFzj+s~!azMO;P^!#nad(NTBl@Og~OfAARR^i2g0 zpg{LeAqS{6OGpJ$fmGn;71(7anDg5`#zEPGB;t4#^IIEdLW9biI3{AYUb+C#YiWUc22NUXXFPvgoU35-V!3gW*y3V*2 zD`?fi>NQ|S9Q=|oRH0@aGj2^nA+;-IXizLom>P;3p5hxYdPaxx+nxUK@z`}K8`X0}w| zND3V8MIZy!(P7*p=Lypkby)2!;*q_za;H5NcvTAI{dSkjIr5(LTJE=k(g?+vEA|K$ zIJ&fCv7(b}8sbXE#>z)r8NpQ$8nc^G2zN`yP=%OvyAb4ZDMU*h9Mn+EC^)!Wp+T`U zVVsK7Qq3xfU|OA-*Pj#%L59L+V-U`^P|QJaswatEh^3C$Lnn`qE}UrM5d|5S!slx^ z3zMI}Er>MB!G5eU))o{8SM!r#irEP=6gG1`%E7|MK?{OIJxT0B>^a?eCpW1;Dv%1K z0;xbMkP4&%sX!`_3Zw$5Kq`<5^eZ5^b}mYEv37D@XZ@Cnmo=JAWo8sSHU^euyD((^ zmdwH?tjjehAw5u>d{qQf%zl)0Fk6G`sC03msV3@O3-Pmy?JCES+7>_Y7P`Z@3CCKb351-_c@`!`Z9;Q$FIBf-jR_xE-hW#gn}TP|&S)?cHY0jdh*v|7 zVNy6dr(++yr%Jw0PsLkxpB#x!`lUg&^8Fwd#sBjwqM&YS(*J&5Elc#~fr#m0_~v ziFQua-sU8gIwIm{ouBTa&*IoMSaxMwlj-_!$0P0~qcGhwN6|NVNA}iCzLNtt{}+V5 zVD6`<&Vh$^pDEk=oMLgowThEjJGo>cnkO#Gu^%g#c%6o`9UNTnw)n;@igm*t8Vc@B ze0eeVQX)1<3TIbca-s^G-6#$|S7_+E4cP2vUCkb#Tyv;1Jg38kCS8C{9Z?D=xvbIS+7>_RLMHk~B6HqptIgnf||k|sOrfSp{|S-%x4 zXw|~9QpqZtLD;w@W2i#SI_C4YR*#T6tjjehAx)SXij%L3V2arZG88uRGNp2`uyIg@ zkqZv>B(V#zK-hGW;9A93X6@v<&bWPR1udFxDl?_74OVCWZV`jR7kxkm~_B3 z=-JfT)c+KY5FWEXf7})$??@86P+%ezNCi@XRNzJxnBM%4Q@so1Osj^%|;jeH@=sGiCwVt7ZsCOYaa9X<^g zIor=$n&A=`djtz}-TiiQO(ROl7_U^F7k=W(2yP-~w@@M6Eg3@-L)O8_Dr~~KT!RwQ z1I5W#MKHze)6Kfz^~CjCixk4^Nn)2N{81$2YvK_F8JEK6Yd8z@U5;Bsn&n_W));FG zii4~9NifCihZwh%xHI@0z=r~Vc6t;(j3R!|z!?SpGV%*zuij#b$`iWdo^U8kvymY+ z$q-f}Eu)CxjW77ftP6Kp_D1cDyyT;5PTo&Uf%1v#YSe*3p=oQXB~=YRZna{bnHDE3&bH*s7=uHRm)WLDLhjKVXoA8wY# z9*gG6fxAMtxZnYVjgkDRg9O(q;CMtq=B@BGDvc{6xC$|A%x*>;{E{(Lp=KR3ZcRcV zwJT+4P%KTD8j6#ziePfnDi(qag^k_woc6I)QSD6$^(&jx&E&@Oj(yHQ8+>m3P~rHUiJ`r{WMi2-6-WhAf!D3TPPcDnqKASEtVV}%kDMn=Q`BL#w}|)Z z?S8)V<~+r2dB1gZeEg}s?nz$e*K)rVn)?rbeABa-=IO9H z$52P~c;!m)pIvNMIc}_c#B2mtL1@fwMj?Ezr*Lq&;KDf6XXHP+5Ct<56}GAe~`>DrCW z&)*hAn#T$Iu1<=j2a1!c`AIOv>;xGKo4Fq4U}58+1;L@7Bz7V8oQ~(8K3AR+slaV1 zAh%U+R+|dkD{#1Tvk!s*ve`!!A->M7Al1MX|c30Wc`+;!X~WC zH7FrHP@H^K1XIjTkfE^ghY6Mz1c!Q(*oD~nbK`eE{Po?Rly0Z!tn`yt zAQx{x`5|zl0=)U_;})LZ19i1)bGlllOq2?IstUZjd;8_Ncbyd|^G-ge0>@N9DC>W> zrH@<(TdzxYU9Mcey;Fo*R@Hh`6P)UcZLtfnhBw0Y@BRbHaeJ|zjxJ5)xP6gv`=|40 zy}SGW{vwwYnqxE_4x_-dXe);)C<{pi`W4{aE|Ch{lmbe%%e=ZiU2Zn^LqC^HWb^Oc zm1D1t@9uvG?N&B%#81DPDV~IyQHk}^a=wmVtn`9QvxN(nI<5WA-9B8ZIE8ij2I^=* zzcG)f;8QK_97G29Vknjt8okX)6du3J`wYTUT*fN&KBBVKAG^7x3AMtuO0rqksQkoO zDP=PKUSl^O!Ov|ULmhv7R(VMjV*RU7XEp0@Uo`4+3)Utt4)4FMjZMKNX6Hf~3Y!tV zDa4J@fQ#WhRq}lzKifn39Pan__+XDazQTep_E=mvS%<}Ua*+;fl5FePo|%L0DQerV zFIre}S>V#!%J88^x!8V+blP&w1)tL`1kui^RadNWM7f+-yWXp6R$LW1<{)FL43i~K zv~#NVHYcgn5fMM@{Na`*T%my^P1x*aUCkJlu<+SemH!HYZVd z{5qN$(jgT%paSJVO~R`%kqX?B0&@2c?>`TAOGRg`pSA+RRO+AGd}T9_QG{7dF*wmg zJoQ;|O)C!y-^NflJ5O~gmEqPz%x zmTFd9f@yVT(}Fn^yfy~mY!3vddXm_MSRicvvwyJ9-w}oD5e1pI65?w(3zOrvAkrd- z?Z+D9*@EKWYc7~#c7hCr&0LRiu&{B^g5Xe361xz);D7s{mfhS*1#UzExsf`v%v9i^ z0{Zaac>DSPBCmE$PFKs6iBf@7AQeaj4zGY5zQQap71$~u=Tqlx=c2@x!#UH*mFu^T zb{|g(yRMX>L9z5ear*z+d!JC*vM|Cvq2&UDU&32bL z6udSD;cO2Cr+Sjug;*dw{`$Y2zjrVlianO=!Sg2a_YONnq~%r6RLmR%r-#m4I9~kz zdn8Vz0vD#h*W5S~sX!`_3Zw$#6kwTQDAPZau8(p|1ujE@b6zIo@5@d$hxRAS^ngTV zj(xNHTmN8wowQ^56NVkMlW3RvUNN;4p8s#}B(GZtO!o$0${HGveTvjG+oO z>zI8olR-%B%MlrrkS1*7NO58VNqDkKvOTlernQh_k7d75Iat^@sKU|-4y&}AB(V#z zhU>q_c&zV3|JWGsP>^}6J$~rgjeW?xeN|;Qqu9eQ8ABCs%sOP=7L!3p?aL7vl#nLs ze+oy4chf2srl+AW_;U?Q4fWoX(B(DY)-jP^|9dRIcW;s-e_WOVlKTmlb-}XQV=8cV zvtN$c-7PM?b$q---XKR|daEBr-{d`RZ)IM(H1noIDsV;$=uv9P`|VL=JnlVdUDA`f z-~RmhaoXYEm}iBNFC-b&X&FTf@5t9gN8P2vr{N+8`(ga@&u%}*`0I*&wJE`gCJ=_{ zQtnR+}CG)(AA^Tut6^5`b*Pw*-KymU_5lk^V zL59LG>!chkY#g*8IMkEGE|WAnJYIB?m}?uIT+^LUAzZq4V_$A&@)AUF6EVAm3gK>A z3{5<-dAk=ncI8kkXEARnywLzl{8d7~0#t`{KRh ztT5fxhtwoPSdFxdB8GS5Yoep>(&3XXslZcK;Mw~-`SEV2zj$^1FRDYYKb_VxPr32z z?UEJv)c#raVmJLI(HAy}ce@{5az9!7JE6co+&zEs`@5g)-u%j6FVFt>>*ddH?0!q{ z(|oRP@1xr7m~#8XF^`|Z`u0aQ&-Pcpu#=zKzq^0-r@P%>`)}+Q5KO+`_y^B-id@`% zg(uzp;u!B-EnNNkU==nBYhu;Hsxk`|!rhWFH1Wjt!76enS|FvNFfdKF?PF;{aBwwO zEb;Xs-Tcqi{XcxR``~ZC`tj~(e|5L}A3n5dDCp<^*N3~G;0`6I}~Kz3g0Tp zYGxfO-JDs12(CiR8nc@b2ft(tRj66F7p|lIDl10gkm=|4MH?CvOB1Gs;^eC$nCdN< zL&1w_vTYwr71iF9P`|P{-Aw-cf7<=Y?stAs-@p1#?VC=fRqn^T|LD5A*{ky>pBq0b zyc$@|n^D|_i8BiJx$(!u5qo>_wKejSshQ82?Yx-u@H2;0;A|DJm-p+XI61u7pY8sF zj(pWG>GDY`a8Ctnd2qdd`_r2Q&sk916|6+h3No-79mYNKj&c2dI;`|2@ezBo8Oj3t zdO^~PnQ*>J0Z(3stfLb#t6OATU1>Qj4El5Ab@uc4Sxa0u&i4N6E87OFV; zstBf-oghPDm@kiVu&{AZLouV^P)`!O5KFrKZ#;Xp`_aGnpx8@7{0L9hS6 z-PwF@JU@S1xK{H#;dy+~v9_Q%EHmvU*IqE)JhP-#EDxWzun0pvN$he6r;b0dyW=n0 zP2ozPJ$v?>zX0EEr+?-b(J7G%oSgzPRrLOIo3%eVyYjN2RG_NB3%hZ@UOc9^g5@}$ zqyp!ufWCOo7PN=?`qA#U=Ng|q@-GDEI`U^K;4AR_XRLMEO?dwPyz=%v^K2?`E((0` zJGQ!g{m*~2yP~3h_m}L*p#RL_M-HjLnJTdVJGKw@H|;;-+WzhCU;q2_KG@&1xl6?? zAA~2*7AmdXwS4nc-B8f1#QicY_(gVfG*IY2UX%!1WhQjb@^Y)N^ zxBFfDrO*C_?smVrE6;xO*|bjh8%&=>DsZj}Jm0;~E9WQE=MtvR-`WmCA{97$1zsO2 z{N=N+GYd=wW(D}9t^8Q{kO(WA34f?J4(5}O@-x2&>SVI-PnPKziEOof_&Oa{-S5wz zzs;4xF{Dk7Q3|N-e7*Xe_kZ%|u56}0`zOD2{ZGc+za6D_@*YQlAO9m;5B%s~-o5|F z|H-ag{bQTF{4?#_f8X1p>dMISVzZZ}gz}OQp3E-xR5{)l3TLU~u)7hn;nqaVZlOZ> zTu*OW|4SXpv$U2A3-|D3%^5PD?ebB!X#mW|l*-5M(F}8-sAR2ZB>Q zN$f%__!oZf`Lo?m|MT7MkN^8W+?7AIYAERS@9uVrdDR{#nz%zj#-;GBl5A#ae%Z~%Kfesh zuu5{=f0un%W4F{oez$!Lb$tK4@{%aT`d6XOYSwQ*Xw>BvtW91Vu0E@2F1W<(Tqr|f z7}1+T+?Wlx7_O+2?-TjT9?EYUKDWnPd+hiM3%=N6ap7bg7T?K5IWVdvD3|kU*LzjXimM{W9Ar$D zX|m*rp6si=%}FYCM8pp|Kix$i#BtPMIV#(lOxK4;JmOw53e!Dv6n&F-WN*#nM{?l3 z<`JQfnEU>zbK)JeJ6yc_nqqNjioG|FPA-|q{B;tq%4MZ{a9y35gC>sn2XBin%&fR< zDhmw-_a^MG1s9y=YLtUblET@Q3n!|u)s5odbA<+yG-0cobv3IbO8n}~auwzl@Nh4N zVrin@+nhw<@#~l~EZzRq`Aq&y1LN`G5e%>!D~cs z3b9|=oDL80=2!pd{oSAc@FV%&7R*=w_j@^SO%$eM7joY26rq;6)}xx>RDW^AF2o-2 zKks(${rqp(oV9to`{zD7yB*l6%>0oGcna8_P9haZ1&*db{=dkhN!cRWw;ayN{>xU3 z@?gkif{Bp01O=Y6fBVs|T>lGESYanpfwNb@_HSSOC0pIT`T9rv_nbs3a0v>qe=C2_ zb|rrOKP)C|;{1o7f5)!A->M7Al0hC1YqJ z_rZ3GNQ=fz#mqr)ay3^h3zzGH2YB;e*znJO`2Oyrf2_Ozf20Bzpuh{38n#@~Rjtcx zUY*T@RN$Nx_>GTjU3*wC=wTM`cIWe3s`IJjoUYiATJ}R&hmd~o=EFbu;MIq_XK()1 zpWN8L{Vg4mElE>><0!EI`A^I4zkIfP|7ZWPeJ1SpjFc6^xBuHeb-iw2dqM1R*tg(k z73{rozuxS@Dq$rp$awYnEhL;M@SvkJ3)rRz%<#mkEIGD7aZzIVi#h;{_pE5Lv^H$h=4QF90uL6RrcxBd@-HbxGt09Ie-k5cJ;ao0-XsLsP8j5iU4lY+{ zP%KT<{}hf8@1|8Ov|uO<^W{+vj?~+l%dLZK2kh;?IQ;wb*LR;Lun z?hhz~m#6TgyI&j+cW9Rw?eGnev{Q4 z1gEer*Pw*-KymU_5lk^VL59LGqBn)uuWU|-2iX6uXU}&3^7Nx?^=kTUF@lHyh^f~SM7Al0#^%M@~_IcjK6Pvetp<`DL z#e$TE!Z7Qk94s1h(1PGlPZGNjd%#z_KiPfyOZN2FY@Pd$uD@maN3h-l{0-o7RS$m|`4Mr%-mbq7-Fo>+u|GCGy`=8f8n3~#0=Mc>kWs{C6fwLbUlSd5 zmkys!7vAe$CWJEO9fJaR3H^d1yX@jpihBZ-}dQL_bPSs!>mtYeQWbfkb!36 z-KNC_*Csl-rhhRhe9JD18(XQYSxlYXtb&K17E23HY#*#5ha$yU?1L35>8VukJd_hb-4y5qzO|) zaq?9WOffq_hQh#m>$ZI?RT#P8P)`!O5PNj+x$(2YtAW+L8O2?=rlVk=8-Gj;?d>re z%iO6zDv%1K0v!rW|JdsAJdukG%%j7&N3Ij5CF-!+Tf`%KYvoRRD)6Kf$ouV4F6YR5 z(o?zL3Q8jsbFSDU*x=~WlD&~mu4#%Z8T~z(d84IL8NpSMC1y9H5bl~3nI;O@I2NSYYU2ltNC6q#q0zb3d3BFa4D;cF|s;LvR0mP?Hko7BHV*F5}!siOt`)I6es_cb}@ATr#zJh#|d`5V2> zNfaKx%j*onkGPCg=ygP8)GxcaPW11x@1pLfYbW#dmU%4s4Qa>)4)|gRUuRqkVnrs)ZGo1ung<3~y_ci|zGpcW|7m zsc@}a7J}%>zExMOaYVVCSG(S;YF1nwEf`Z}nk;#uC;Mt|abOT$*C< z&7+e`CbD_qq8$6Nf{E8@xZ1(N1#gQl%%WH~?4hCH-o%I1rJh@`Hc4@?)y=_iQ*gm* z@C{wJ0bAV!Yf}nVdsNtw5)A9DV>Vn@kYg&43Zw$5Kq`<5qymuwf+1e6tQJxc$Lf41 z*NOITt+Z7Os}-^th1%VcF*Fe`oeQ!4YOTp?4uVrymupZ$dZ0M@stBf-oghPD7}1+T z>{m9Y!vhFIz0$=6*Csl-a^6;z6s)e4p+T|qKymVChhTEkDwZ>vx0I7e1yX@jAQeaj zcDww4ktdr4`;%qLM5#b3kP4&%sX!`_3Jg;~V6N|cEH1b<(aDwjU{xgrt1D$_P%J%A zoGyJIOlZOtNU^Ni<3tmkTsd#6N(xq2%Fv)#dZ0M1F6{E#7{TPGRV=xGiwcQUAQeaj zQh`(;6-WhAfmGlfR3Lv}cCw4UKUtzH4N6E8riS9=t0I_Uc7hCrVg5j@aA*W*stbixn!Q-V}U<|CU()Q#f&2 zrJ#q;+j?(H5+PgIKE^~UkP4&%slWv)FunPoPvtVO6dlIR8{{ZVZ}p?-o4g}?YbH;J zRNyHokoVi8T+Na9q`cocmuT8tax9N@YUB$^M)g!i5yLz3HPKOb>G0`t5xi)IOI+*` zY|M4{+sQS}C?#XOQ+3$*i7O+xiJ09&g>biI3{4E#2P3O6gmt+FC8P(6ldpMSR7?83q0_@*`qsZ};vi*SGhU?nYp_@%-pdN4_RHiXGZpNB(q4 z1yX@jAQeajQh`+9ZVKf3_HKIl-o-zCoU>12eQWbfkb`F8-KNC_*Csl-`uD*ql{JgO z!QJsWY%7^j@Ni4U&_wRv?i7(0jhl*@gW%+9u2>c>*98wC46cV_S&?v}3MOMG*J0w{F|VQbn~l zCDgBMPFE$ruaVDc9N1&@t^ZP_ji*}o;TFobov1|_5i zij%L3V2at#WgpD;;M_`|`PYM{ny7az#Lq7FR5|uFoHqz7zY!t0iJ09&h48ta!oiZ3 zgBE<99{76)k_tmumupZ$dZ0M@stBf-oghPDm~~PP7B&uA5FF}BVi#gVOgF>Oo`zH) z6-WhAfm9$BNCnP9f&3n*lU;`W$uec4R3H^d1yX?vQ9!7(b5o+LrB1Hgzpbh%SY0VY zgJS7{; zUhZz6`QaZ06G!~x8#BcpA~2&8>$i`khOe#kf^4wx;B2H!N45)#j$)8M8AR425AtZwm2h$}vp}XXkW0 z2Uk?d_lbOE4}H&u&+YNn9y`9mf-m-1TsT>W#dmU%4s4Qa>)4)|gRUuR<0rRTSaDh4 z(%Z`Lwnn+wUPn4@x#ofo=@x?M$-Y%rtZ_uSoL9Tvt7=wU6*=Z0W2#J(B~SEZU+ry9 zQmG>%e$e^pF8UyjqXx@S+16ybK0M+P_mWYV?wOK`=`!{ zchK%|@#<@e#ic3s-aIPcc3 zVu3JplHl4zCs)qfs*-}$l`=FamL4chmp*TM8cm;6AQeajQh|3tf&71wC%ek~lV!?8 zsX!`_3Zw#OuYjC=g;`)KuvI_~rw*gL;iA}A+a;W6qLVB4!Kz9MR#(cLN`F*`wq!Z2@BDhCT22UQrk;80Hz zyATV6p_2sHDn2%AC)a7_?JFy2(R5Rp8O0uc$r!45W7Z+_wpgJ;>P^9<1Fk_2rq)pZ zQ#e9+%>M9sTa3ITN$f&_iBupJNCi@X3sqox^FN>JWnd{fjGH&=QJCK9N6|NVNA}iC zo(`$NQ&J%Bw@0~}BkxIhzjZFrw7KM19_iG`7m|$Xsf;3qcjRlLqwdn-({Pc4{V>uD zm$=v?*qH0?x07p{QA);mr|Pir6IVuX6EVAm3gK?a7@8Qe4@OpD2w?!k_irsy2(KrJU8e9mk&v&6I}~JG3ZJjxEX>QCw}>>$!Sh&StSu-GuI78e z6tkbjyrsk=gTDcMR^ZQ0kHXtg#8*t5QQ$8lKO%w*Um2G>Ke ztVlRf1v9LZ>ooILte{m3tJi=TaqvsVP=%Ux%)B)Th19N;p+T`UVQMH&zAA#rO{-W4 zG86{hTet0FsiNAO66#krr>m0R*U0C_&kC;wR`X^QcVXg;f_-lMF)_5a$80Qfrvj-! zDv%0vC@?KrJ3LS1A_Md2Fz%7-glUO7to9c1v3h&t^-inJw5I~&70CPTQ8Yf*J;^KP zsoZac<`8PNL$uxC=+ctSicYR+imOGmc0S_D2(E(AcwfbgLbzKphAPCYzD{w$#57UN zL+}dgat%sI6BepC`Kkz}n0=b`1+RHyN;z2AIH;kRQE;dyiCu^#UF@Ng#}6)?XyOh9 z8JEJhbnV9G=Wh!l&GUrku1<=j2a1!c`Cc%^>;xGK!(5MYu&{B^g5Xe361xz4NOyRW zLn@F8qynixDv%1K0;xbMkP4&%sX!`_3Zw%43J9*9n-X2Dom{8czop`3k7iSu83m7x zfo<6?4B5XWvoM5pxdtVq2a1!gieQS_&txC$N45tySNefXBq@|_Cx7H$53EhpyB6YS z7kjE4`x?$0gq7cj5Zpw}ZlOZ>TuO<)DS!zrA$@TY_O1 z23K>%5??RWJ^+_QDsX8Ek(=`^laoex7IhnqH zUU^9rocyaWg~va>{h;wubqm&*@P_5;v)bGgJY#ldgP|~t=uIJBO}Wj#$?*VJRLS>= zd}R-P&xX(K@zx$YzQTep_E=mvS%<}Ua*+;fl5FePo|%KLDQe>V#!%J8;E zx!7JuI&Hb;f)D8yg6PS{823(J~$S_=w2xg}$0B3?QdV*S-xlhqsqr?4*9 zpoH{5aq?9WOffq_hQctSH-*@*Y)*#<5QciCiwmwzbaLgqttu&4T`5C@V(Ee6_ob#~C=3GpHd;NCobv zfDqoogdVg;>QSZ#-z5eL6y3{|LE$9(?QBotD+QicY_(uAp@ zIQgmwCO55OA;?e|<`2Xw2MZeqRaARZLKiN#j+tC|;(92S6$vM*_}HwST&J10udJX| z3rC!{Z;X4$ye-vhYgsEAW38h8r*MSW`V};Xg4g}#ZM`>zIKE!&h%0N=al7C(O~%RL;275B8nl=D;OMIor(J?9OR>j2_rZu1hPVa4vU%HW3cnKx`I@*x zLB^%<)hm4&PXmIRh}kVv2zN`y(8Lp)w|k*uR}RI3l!n4EPbB4F(U^l41c!Q(*oD}m zgTDcMR^ZQ0kHXtg#8+I?QQ$8lKO%x5~EI;{2<@yOmL9q~IC=45eaJGeF4uVrXN$f%_b;KSzdHmqQi6-t)kZ~z|zJ{|f z`T5&|NV6O~k2S{Hg5uz6z86d}J3)rRFxR6TENmRKAUM>M#4f~II>HmFKq`<5qynix zDv%1K0;xbMkP4&%sX!`_3fxHn!Mk%)qKmkb>oohfRJ`obY$`LO;IT2VE!%}5`?q8k zhOjQzpoH{5aq?9WOfmbp?1R}JTt}sg3r#gq?^=kTUF@lH>}xo05I*Gh4s~|33LbMk zg@Yw62QB2hy>$g!f?*d1S98VEm<_ljQh`(;6-WhAfm9$BxM&6Pd!SBs`SvHvl!;P- zR3H^d1ujGZq0Y`tiLRD9xpM!us-|Fdr3?*da<(nnS^BV-U{vKya!j ziCu`DUq6TEIiv!YqQG?Dzm#&b&Qu^3NChrh0i}9>wX<2mG#mY*pGzjPO_GD*#`5Ro z?)I4<7FQET{No!l#UCOtqY~@4kEMpMt@MIJvxQqOHBxL+{}NsE@C&An7W7l|hzj1< zFG}GYCK8GFGA25tUKD?B+VrzstUhx}UDG(2d)ErOnCo z{qxF8qTu9Tg(*D#@$CnVm#SN^#)LO4SD)49rr;T~GaC$rVMK2V@oLI3O$uk{bUX)F zRLS>=d}R-P&xX(K@zx$YzQTep_E=mvS%<}Ua*+;fl5FePo|%KLDQe>V#! z%J8;Ex!7JuI&Hb;f)D8yg6PS z?r`zyYl_9CDfZqxI=N&bn-?z1u^%g#c%6o;9UNTnw)nyQx|&rIHEWP|ZUGPXVknj->b=cL6rNRO%e8N2NQYG5 z3>7G6SW}ji3Zw$5z|&PgXf0l@tdwN(xq2%Fv)#dZ0M1F6^>r zE|}c3iiIFUVaWa4K*L#>{Jq0!o-k+PnT53l#o^NDZL7(&rvj-!Dv%1K0;xbMkP4&% zslYK6$lsS8Q_U*ZzG^)aV>y#LvW!&V?g|JY@xIDxyQIm^K42%;Y4&f$3R<{PPL zW)KFqWDHfPS;u_-*6I;bhjqCIC8P;cLviv|5lk^VL59LGZ&NA<3mXSj7`fn3PZGNj z3xuJQ1lKA)Hftx>Y3A)KD`?SlQ<)jX9)8Ifs(548A@jCap+f3S!K4GOK@X(&5u^k%Rp((hQfl z*dy4O>+ZLcYno9?#(1adu<;XDMsO1`yM+qjZpj#$7_tvWR$&P1at%sI4-_X~6~Pp< zPdDp=*FE=dEm8=tCy8CA@H>%^uZcSpWLyfLui-4r%bd4}G|R#BSYxa$C=RaXd%+a5 zpT)eT#3O^h0en{A&rXlR+fl?I(4y(OI zJhHb|?zE=@PfCHj-yY?1j=U#5mHVxrG(s`wiaml2jxH_Ptmx#Lrnr)^vGWmEMsO8` z#_VPk!rhWFR3T>FZUnhp3ei#r2Q?Hk3Jxw;XizLo7^mX2RI^GVm{w=z{U^mjkfAVa z48qwKia7{Q^(3(iu|OC)NpNkVldFH;=I3tkE&Rez zPZGNjn@9yxfm9$BNCi@XR3H^d1yX@jAQeajQh`+9J_-myotqL}{GD9=`(Txw<*tI< z9iPLtl7$NtZpj#$7_xs$R$&P1at%sI4-_X~6~Pp zYq)G!KH&Ebb#}7~9&03VHXBhbH&n_4Y(vyfm9$BNCi@XR3H_& zXa(|npiXxA_9x4fiBf@7AQeajE<^#L&dyDVu9iBva{sofreJlY3=N8<2a1!w+a#FW zw2FlwLt&Wr3zdU~je`~hhkBCOg;*dAog}z6(aDwbwyLCHb)^gqilqmN(=yXmNd(jC z%w~F;L&0lf5YF~MaH=PXU5HJj0;xbMkP4&%sX!`FRY0-&tDVgfrb>kIi+(P_->^v{ zoV~I9dAYlN=7%6$tN6yO@rMY^sD%6NW2xb5E4|>*Y~hwmjTD>IzeLwO{DP^Y1^v`K zqJsA|Ip!cT+_*fq*jo7;z0FA!9>2@$48o7Nj8*7$L}k=3ySYyE@3QZr?x$-kbmO*P zX>&4t|Ge^&C^-37VG56beEUJ;rRo-}G2so%)n~Q2DR{>0%mzbY7}1+Tyqa>G&s5<7 zuBejl6Zy&>`koD++vBZ0c6@~eU+l5CaIy}I@8lvK*d*E3u{|>fT~pM?Pj0oa;^IQEdCO5`YKX%p5dv#6Ngjd|_tAWm8#bD7ZKA zVRfnJ7OYKD9Bg%SaNHDJuo`?r*KNR7H^JJJg4G@scBBNudYdn-lEv>5OClAxGzH3~ z6`b{^0;xbMaM20~)yK<~)e@tt(N3-t?cZ9-s}`0u^RyNedU8v~&_uj+F2wq)wI-`M z2u@*Lu0aXuf#T$=BA8-!f((UWL~jbQU)h`v4f}1jKA2cRs}@$YSuBIzcmSk)UK4FL9sMp zYA8;=DuT&Pt5^s!6o&Z&vC6^1#z7U;-jvXV%dKN37oNBtie*K@i7GxeYbV!f=Itvh zXw||I=j|Kg9x`uB_1aq2ipE&0sQ)P(A+~-6&7t6Rzj<5lO(Bl2*ZgZ2yb`HEDv%1K z0vD*j^yYs)mCL|VbQm{p)T6+=@MB_RZ;#nm=1v7pu0Y;zk0SZVd(xA*-yXXO{*8H7 z82LhyQJt1i#PE)MO?1>LN`F*`wq!f?NRFd~Jao+Nf576?Np39e0a za`n&Km+>@c7K4L-g{HR#!NV;XLlaMI-tL8tT{#rX8O>YDIU4vIz-I;i?DQzS9YuV_ z#2E$tGV&v0Xm9uKE7!O8mhMJix$*qyPe;BcI*J|ITSxwMNd;1YR3H^d1yX@j;BE@! z`u1*m`QF7peVnsTWqm8iQQ!GkTySloldFFpEcb5Of;c}rKuzxF6b~ECz)KfTE(sEFPnsLnjt?46Vo^>i5 z+^owrC|*sN){2v_xnPRf2{IIhxgOI~7O;Qh`*ULxJfZTOFP!a*=^~bQt%@b;7hn9aejbcw}#_+-Xk* zo|FQ4zdg$39C=TAD)(DKX@rV*n-&*bt6*=WlWUsd3g5De;>K1gBe)8(#O!7i!u_;Z zY7jFH*#|2|40&9aTd)$+goP?jzAAz#W+%u{81AJlv8oG%;lVmaM`M*5w+M zkRB*bzAAz#WuNxd!d!J~;ZS#A%lx%TnyI=%gGhY#g*8IK(Y1T&_!% ze7YG{ebSf;qynixDv%1K0;#~GE0Es3Ry5=Mwx4n78_S=UyW3}e2*S0BZ_FBhh`@|WxZggO z8osvD3l7Z|Zn@M*u}S?)bj`yrm^xa}Pt7ALcwdua4kE*i%X5pZmA}#3oJ8UAyS&aI z{D{j~gqP%9`!4E!y2e5`Zu^xsC)4-OD=&$HlYbSa@c75KA2eR7ZowK8 z-mqMKR-2oGXUxuQFcgLny(z@2DYxu&%<1p|S5(ROiF{=beb0u^?eW$gJHEn#FZNhm zI9Z3qcXE*qY?5s2*q)h#t|@BcC%0NyaarKf+sg2^M!DEtM>=h}=7JCD7J}%>zExMO zaYVVCSG(S;YF1noIp!c^s!Wq5PxNG8?QKp{sUsqO(D~^u`XG*@2Fp>|)?~UqJmL}e zl2MrMnWN~Nyd!&SCO?t`H~&Y3K4R|sr_PCY(C%>Y>T8O{r78B_JUY2#BAXX3%CR3S zn0TFrs~sF%@V5BEEQ)o*9vTYnO?+5g>bV7LlN1MA-5eY@1sALa-_Ug%u+>elHl<*- zM}-|J!LZ&sW`m{gYV=TO4yiyYkP4&%sX!|54k{q@94}W^3#l%7I=N1?e`_VLT3D@+ z%_#KbmW-i^cwN(xq2%Fv)#dZ0L6 z`aYP@ge#C@S+&QBCOWxt-d2?qtge)yL9z5eaavv2<+m|{$xW+RruXN<^Z6G6%E7|M zK?{OIJxT0BY$6p%1yX@jAQeajQh`(;6?jq#u(m#Xc9NaqvsVP=%Ux%;#^dP$BiE;3NF^E>lC{_Eix~ zZd%1ckfAW#b04hQn?meYHmAb_2t&Qn#RbP!42e`A6-WhAfeTe&dhSbUl zI*gk)$WfTy>POKxc}MovOr8#@z*ABn@3%*}nj`N?PvU-i>?Y3c_ZTBzNOF`p>W~!Y z+|f>rd`)x|d4%5Nu&-fbu;ZXunAyUU?tXD(x)gf^n|!m2IVfJMB%9a@8^KM)>=r77 z&-D}zZf_KFF2s<1FtQ0lSeI*1LVBP$`Kkz}n4KU)VVHGN4i+{JS`ZxSNn)2tnqBOn z#{NS=$7&M5GgkslF5d%JgEyavw-xxT%9IYz!FZs&52i*qhdr2?ry zDv%1K0;xbMaJ~xU`t}&trW}JL;&>|STcN@F&d1_{YZIMZ)4!M$zIdnV@PX6Z2ScQJ z--+k3##mcW9DL0MQ_Oxw`(PcFE-o}x1v9MPwGcnM*i+@$*KoN|516-7Wj7-ZOFe~y zB`pUvs2RuX- zLM#x5dZmjCu1$1u_0QY<{B1$>d7kh*));FGii10E_kt;AfB3wGUl{61Vi#f)sX!`_ z3Zw$5Kq`<5qynixDv%1K0;xbMkP6&K0U@Y!Q=*H%ldFFp>>>NNRP65f9JZCrD0sLf zV`yT?{w-OBA*{K!T`hHT<^F9|O~L9)85$Hz4-_YV zw@EO$X%!1WhQcuK7b*t}8wV{24)r9l3$Z{LI!SPCqLVAPi_J6iW{jr)8$C zk_e{Nna%Vxhl1C}Ae`-i;8af%yAYd51ujnkxxA9I;#6Rl^EM~J1uLM`?yq(>OPFSx zU-WayM7BwCFx*)Fyu5ye-8l2Z;%efEe|%%6_(KF{RAT-1vDEOjm0oaYws6a(Mv6`9 zU!rRse!4=DT4?k(CsBC(F0V5PKjJc0q1O?WQNQfwI?=z& zzKgn_uCdUK+kU0Z$@Km6%1ff)gWPh@R|Qb;TM-l*@Uw>%FRG#Z{4G4l<_7 zG+FXQPxjT`<|LImBH{;~pYEa$;y7xs9F=WNrt8Ba9&s-jh3TF-ioVG^vbSdPBRNcO z)<ZIa?(tDA%4rr?6r;2XMb1Gc&e)}|D!_NcHUB^cJ*d|{O= zewSwGY7~YVs?TIg<(W*3b9|=oDL5l4E0JE7hId@szA{9slQh`(;71-_a|3#i`7VJ-!DHEjv@16qF zI{V#gJUhN<1#;eA^yPb(ix--&?|dvSxHi$rmHS{-B?YT1WoS?=Jy4u3eIHC{!WBrd ztlHy56P;W+Z>vfQR#(c?0b~45HC(D$HQh|3;0io;8O^K#J?5A{con{|Q zte|C&W>c9NaqvsVP=%Ux%;#^dP$BiE;3NF^E>lC{_Eix~Zd%1ckfAW#b04hQn?meY zHmAb_2t&Qn#RbP!42e`A6-WhAfeTe&dhSbUlI*gk)$WfTy>POKxc}Mov zOr8#@z*ABn@3%*}nj`N?dB1fo5t|ifg^@2L8P#bSMGWuA*F;C%rNgJoMew2-E^)C( zurb%&ZztC@qm+#CPSs(EYXm1_)(E(MD|MYRrK9%*YAV+=YV{yT?iB7Km zeXz>Ta>NI1-iq;T(iS^;gn6sRGG^YIgkt2rl%YYfG+}BePQEIFseT2` zq2R@P>$ZI?RaARZLjB6-bXD^E8u{G#S>e^dYTk_EE=-(Ju+NP@CWiL*n2lxbR3H^d z1yX?y1*U&&b$Fi0MF!^4Vca9v39KF;6C-NvUCX7>YTB=zk5lpKy^Zt`!A;?e|HU{Br3&k7+r+Sjug;*dAog}z6(aF_6Z}aoF z1<~hu!t+>TtSu-G?!4U#rkMTV^A>(#s3(bCrf?_{slWv(AQx0?mYNEr0;xbMkP4&% zsX!`_3Zw$5z|&PgXsvToqDzHNuKs6JP9haZ1yX@jAQeajQi019-+s_|sk#MgOnAd`^;vCh3Z5}Lv%ydpM)al-ucqAgQ&zYbuBejl6Zy&> z`koD++vBZ0c6@~eU+l5CaIy}I@8lvK*d*E3u{|>fT~pM?Pj0oa;^IQEdCO5`YKX%p5dv#6Ngjd|_tAWm8#bD7ZKAVRfnJ7OYKD z9Bg%SaNHDJuo`?r*KNR7H^JJJg4G@scBBNudYdn-lEv@R3|)=FkVpkmfm9$BNCi@X zcToYM>3F%aT4HqR)5&$B{aY(})xxr7o|Z^LPj1N=nuwRqg;;;J)?_sY!6~fEH7FrH zP@H^K1XIjTkfAV)=uIK^E1T2d0feDm>EeQG6P;W+Z>vfQR#(cgGEpkE*sJnFdbh!v#G{Yq>_6RoSy8G?qnr4)eG2W>)U%vcO$Uecz*P!BVQ98#SZPQBY(Q20;xbMkP4&% zsX!`lHwAKidpEs&@8X|6&e^B3z7^!C?|dvSxHi$r)xQr`*;#HDgM+){bJ*W8qu}9| zjG>9#zuhS!EgCl!GY7%R)m*VGT&@cqKp0#P#j+ydL={ZNPOj6;Td{&xEv)u}&4`0v zGKMPDtYhY_NhqXtr3?*_%=^j8!NSHt71iF9(1pvbqe^~X zBcB^TE4&(5&6`o&g$p(c_PO!L#L(Uzv$4#b3Zw$5Kq}Coz_e)X@H~-=49ug$xJRxN zrX}jI+FQgUdu!!Rdn)jx6v+GSQ7-4md(u<6-wH}2)M|&w-`~Nt3id`ixuz+u@HKWm z;>rlFg3y@Vj6%3uGKMO|tiDch!NfFC%tP=B>v9cBND~&SIQgmwrkI@|Lt(hzJ{X~5 zk4BQ%g;*dA^-32PT$||R>Yumy`P+i%^E}~stTEOW6bECqs~~sB=di70 z;R1zQGKMCG?B9}A7{a<-gA&pM#mQGiFvaX=v=7!%>Ec3DP1L&<;%66osvP?oE*qBd zHa@{k#OxL-gwOR94wkeWw2<@m))j0ChFut3%@s?0y-+)m3Zw$5Kq`<5qynkHS+7>_TiJ6-WhAfm9$BNCi@Xssf7DU+rv`FjXRqU-WYc{)SBw;p~m& z&&%EIGd~33TE#bJjXy+SMkU;DA4?5iTj>RdW(&7mYNXht{w2ER;TKFDE$FA_5f!|z z$uS3!;l|~;#n#H-=xt7-@c3O`XApkGWvoK4BPyeQ+0AvLf0un1bw6EWp&PgTN}H4E z`{$LHM8V0w3R8Ie|1rk8b_4Nd9~}ks%FJikz)=rrph!~@47X#dtqUbV2SnWrU^(34v-h9=^rb0OAWtu4136qwf8?_T5C@#QKY z%fAmVw}-6!-BZBkt>G}%cRm&u{F~_H%6+h^l7iKhGBhZb9w<(iz7Hle;R>W!R_$@3 ziB7JZw^bztt1D$_P%J%AoK_ch`E86~a?>i7XIat^@XhCqOCy8B%O{4;; zKq`<5qynixDv%1K0;#~M70BP0olNol$uec4RN$RdKx+y|@nrV#s;&FSy} z!cebtaly5UkImZ2b((qm$_k2gFr(PRFBwA>Z_GMm-WHQkNbO1)8Wc+t^*@Cp#Jgz~ z%fshwy|*Qakjv-jTgElcz%} z@RStD`|VM#=E!?e-fx{t#Ad}=VdM)*Ms-?75yLz3HPKOb>G0`t5xi)IOI+*`Y|M4{ z+sQS}C?#XOQ+3#|j4LC!iJ09&g>biI3{4E#2P3O6gmt+FC8P(6ldp7OynUJT7SZQTtSu-G%S^k;wHHh`&n#&b z%NfmE$~hYN8^C7;{_ON9yd6b+#l#r}{xb3-VrXyo?km@~_m=KPV7c-9=ubz!COV28 z+FM8dbV&tLfm9$BNCi@XRN!t3~rIfiJ`qcW@DK<6-WhAfmEPF zf$1Mx9iAt0k%4)18289^0;|Wz#K_(rv#-pZ3Y=Vlyx$&0@{#wXr*gj)ltw7#T(L*6 z!O^89n-!g0(-c=SHg-PZ$_TE4(3stfLbzKphAPCY+l?TXOCeh7;Gl+LM!~`53Jr>- z3FB0pmTFc>1k>uwy#J(F2r?9gjX^luLNN!ysh%WuAr=TjCkd`ibaM62+x+}(LG*c^ z@I2NSYYU2lJ8$=bDQ188yoFyF>PcdkDIAJKDsVvx$OYA!rKSR@Kq`<5qynixDv%1K z0;xbM@N^XrTI<}D=u)ARtA8J?va{S(kh|k^*jBP|fx;~rLlZ;xZ^V)nDx2cyJ5pdb0y<2s@-*1Hy>XBT^_9QztB8vfQR#(cRdW(&7mYNXht{w2ER5o_Gy)=$kNDtKR$V-6z2jmvY3t(Cvg z+nhw<@w>dvApD5SScP6kR7U->o9jgXF8eO(9)S$P;I@yUj_;pWUJ`{^|0>j3&HC*J zjk?@|waJUa)n~Q2DY(S!%mzbY7}1+Tyqa>$zZmCqcz`RaO!v%D^iAH8y)}~`$$^{yBSIfB_x)4n#5-trxOmf=;{6@3hTCh3XN$`r zpf>EySYMwg;fsqspBFA`!>SH3H@7m**P%xA!dw)$CGE@}8Vc@Bd{|xT%}EM8t1g?w zwz^TAHU$^X8hk_7ZNOGH>uOe9T4zT}Fs!$gPOHk6S-IQ&sH@TIN^?vFQh`(;6-WhA zfp<>4D<3RI}m|Osg}S7R;gGwJ`{1dmuQ~lf*8>0%7PR!L^A_uAH}3 zB?YT1WoS?=Jy4u3ectvonm(yODv%1K0`GzX`TrtMc9r)h%an;yfp<><7Uzjn;L;Sx zd3$MBEbE;V5PrHFE{bKfUBZbbI=ONmtg577b)^gqilqmN)9S)5d**`4O{-X@`&{99 z?%xI)&cfvH9ai&%ITOz;tSu-Gmp*S>O{P5+NCi@XR3H^d1yX@jAQiY61^B1W*&}~n zcCt&fKUt;+Bq|Sx&CpcfaTO5K$NMU)?UH7t>;rakoo4@5te{m3%TDF=R)d3IGKMPD ztYbcZYZ3~nT`5C@VrjzEP@H^K1e2Rqu@Gb^4D&XnazK)fC$5KL zS&?v}ijU3O$#t4}`^pMhwQ$6F`^LD3%-d4EwwAS`G1e;Te+oy4tzSWND0tm(-qw3l zh~w)u|F2BE5~)BckP4&%7pTDW=6^nw%fM1}7&mX!qrkiHW8z4?_0XK%YRJ6-96pF}sBd;cm$ons{RK zb}w}7%Ar`!V%}27k-^^pJ}dBNr$^!KDB>$7&M5GgkslF5d%JgE+#=5kxxT%9IYz!F zZs&52i*qhdr2?ryDv%1K0;xbMaJ~xU`t}&trW}JL;&>|STcN@F&d1_{YZIMZxqn+# zQn0#Gh6csb1I5YT9TZG%TE%ik`(Q#n^-32PT&rL*c5=A5mbZN3bMlKYv>geV!*gk2S{Hg5u!L+r40l*&jY{;TMK_ zlGugVL@JO9qynixDv%1K0;xbMkP4&%sX!`_3Zw$}Q9ual+?43z@8s&=2dnHXcNOIB z_#C#CEL@;)OUBT|ko{Y-3PV_zYfwUZpg8%e2&S0*jP}7gDqUP?s)>5nLj3GvPnBa| z!)3$r0l#;svzt}$nCmGVENMAtA?NL_E7%eYyD+$#E0)G=z$K9iqynixDv%1K0;#}7 zE0Es>;o3%6Wqq}ZhXCA#L}7fc;3=%?lp z6}+#>F$a<1#^t%i*2>@LZBC-__+4IS5PrmEtU|9NDx-ec&2^%Gmwgv?KV4&?8@K&R zo0IAL=arX4!O6c0Q+WL2+YcHqRkvV`32#`gKC8`5!82xOHW&)Sh~5<9)s)+OrV0;m zMU{M?$XE8z_iXsw9&hcj<0~xqVvogzlXX~pCl~3!Cdsyr?U^~~nxZy-a;t?Emjy1p ztqgB#l#A_kq|=sbF8GjcA&8#rTXn@6N0iHXwd=jAX2n&JV-7N=$~0N>L{IkB-sU8g zIwIl+ouBTa58^m#upE_bO{VL^BOY-t8HMSdIf}l?JF>TC@*_D+Z`Ma*yEBd6IpQ1w za^fAX!r|i8*A$CO^Rn&DqmxS}GJl=Kt8!V}99&mt=Aelq{=wVg3o|P&o615%!M%wO zt4lq%U~Q7(V5^&h8m>0F5QS8GjHa}b=u zx?F=2(gVfGS4A+z>;xGK!-(D#V!yIE9Ued!>Xj}oxHi$rmGic$q+oTW3=N8<2a1zF zI|P%PRpaS{(vXfo({mC+AqEz6WR6yvu zzVorT;93RyDVUlqX= zvlC<}4D$zKm4k(igDQ+%aHuDVU5Ew3&`E-86(5_mlj}6|_LUX1Xu7G)jA9SJWDHfj zG3$_dTdYta^`_t>{I|sVpTddLDg`}!-qw3tk_g$t_Aw?>fm9$BNChrXf$7cvd@7fL zrRXqj-XKR|daEBr-{c+HTQhk&qykS#fxO=yb|DrBLnjHYO>}bg&)b(dZxMZ- zCp?ce#@d47;Lh8uj(ZJsTJ}dBNr$^!KDB>$7&M5GgkslF5d%JgExxT%( zbTsvvN z`p(DVf@>3blCm7V2gF*vw8K8I~3GYTGV$rzf*{o9=)(xP!wF>?@{T+J2B!sWW) z0ffQzP%JAFPE^5U?BqJlycH{G)xv5o*o-*%C1a>U%{pe@nuJ1XSIW?!Seh_36enL5 z!Q`e@ECd+}!@QrY94u@cR8j3s30=6{I;!ONHS)Rfv%;%^)w~(SUASPQV4oX*ObqSq zF&oR=sX!`_3Zw!Z3QUXE4$l+0$iO^0jCmifLala){QVtVt6*=WlWUsd3SVRABd(0#DhQ3)%_xMsC1a>U%B?Org&><^!}@C!pdN$f&wA{9slQh`(;6-WhAfm9$BNCi@XR3H^d z1yX_gC?EuNZc23VcXIXbgH?8xy9#o5d=A@67A{b@C1Yq}$o?%^g(0lVH7FrHP@H^K z1XIj@M*CnLl`bwc)kM8(A%1qTr^>Of;j&>FZ{ri(M9glXLik)y;b2M2K?^x=Z(YHb zVAzGh)m*W}*9)~1sX!`_3Zw$5Kq`<5T)qPNJy0jRhWnFc%0#I^Dv%1K0vDoyP-o|+ zL|03lT)BT+Ra3CKQicY_(gVfG-)$01Zd%1ckfAWl`-RHE!p1=hf_RLMhE5V( zo9N`qd0SOdu)0!)2F20?#c7#ot0aPHb!Ia?&7t76F$iaSAUM^N#4f}pQh`(;6-WhA zfm9$Bs4AdX{ngH92~#D)_(eaL;BVL@5zgLN{=D4XKJ!Bmu2pmuc_OaCP zwUu6QXtr?6rACTP>R+O39)7{p(Sm+z9#O&jnjCWw8E#yjTWqcTjo#)Y3Xk9Abq3)_ zT*fN&I-)Y_m)%?^`ghrPQTNj|7P@iUue3RtzJFeMNfey?t1yMfKfe8-@ltgQ)|l{y zW z#dmU%4s4Qa>)4)|gRUuR<0rRTSaDh4(%Z`Lwnn+wUPn4@x#ofo=@x?M$-Y%rtZ_uS zoL9Tvt7=wU6*=Z0W2#J(B~SEZU+ry9QmG>%e$e^pF8UyjqXx@S+16ybK0M+P_mWYV z?wO|Ubi6xN=qynixDv%1K0;xc(fMAN3E2||&%yPrh$#tUrTPtkU z!m?(b)`CLiZpj#$h?mZVSbw$FWHkrDDXhyiC?P#ioP1RTQ_N0~p)ic-O(FIxo73R| zgrQ#P;(}`vom@F@t4a!1SIW?!SbCs1`LjbXxoH*48O>YDNu&a)Kq`<5qyoEL{=dkR z&4T^OGG(GvAQeajQh`(;6-Wh!DIhS{cRm&uT$||R%6+h^l7iKhGBhZb9w<(iz7Hle z;R>W!R_$@3iB7JZw^bztt1D$_P%J%AoK_ch`E86~a?>i7+`mPIL@JO9qynixDv%1K z0;xbM@D3`Fzb`x4Mc^A3o1%5C*qo z3{|LE$9(?Q>Jd_hb-4y5qzO|)aq?9WOffq_hQcs^AXYh8*f^-d$OVUblGue?Eo>iSA{9slQh`+90u`9v{LiOy8CZ%A;j~g(RbTDx-+u9r>E*sJnFdbh!v#G{Yq>_6RoSy8G?q znr4)eG2W>4DIzTzHx)An!O7KJu`FD!3m!liTo1*vBH=_8OvX;G)6843f>tf8_JYlb zgI_X+D%7lF=B-I6q;{nY4T_}+Q$um`RS`^XTE#+;p)kz*$;!dP#z7U;-jvXV%dMkI zeqSS>8$T<&8d%MnQQUqraf~d#3Orag}RwO)=}x=LQ_rDyB6YS7kjE4`x-7A zmhm<|!A->M7Al0#^%M@4v>ddM^Y+#iYzc;47+lR2OMJahJCO>c0;xbMkP4&%sleqc zklzD!vTL|MS*A>s3Zw$5Kq_z{3J7&}Zc22u)XA0mw^cO-t1D$_P%J%Aoc!G;!Q`e@ zECd+}!@OUp94u@cv>-Utlf*8>0%7PR!L^A_uAH}3B?YT1WoS?=Jy4vMnYKzIm{w;t z)6*OZUK@jOwg-Y!JxT0BY$6p%1yX@jAQeajQh}-hiq&83Y?d%pB8*@3a|!;2O%mbk zjpfhF-R(0!1mRl6H)f4LL|{fG+;1OC4PRU71&3w}w_IwZ*rfg?y5`{*OdT!gr{)nA zysybI2a(~%<+;Vy%HQa1PNMMmU0!Dpe#B+0La!q#qkh@Vb)tWleHV2Lqr4;n93w_uG4Z&gWPh@R|Qb;TM-l*@Uw>%FRG#Z{4G4l<_7G+FXQPxjT`<|LIm zBH{;~pYEa$;y7xs9F=WNrt8Ba9&s-jh3TF-ioVG^vbSdPBRNcO)<ZIa?(tDA%4rr?6r;2XMb1Gc&e)}|D!_NcHUB^cJ*d|{O=ewWwTu_sc23sOKY zsMahs6-WhAfs0o_=pbIMtdF$v7=fuctc8WoZ@~hE z43GgbKnBPF86X2>fDAl92Ket~XPflx*+$|(WZ*$EAgFr&$wzd7>#X2;N+Z^Jo`Z=d zRDR-v?{lgMPQ{dAm=&wmKHtC9d;~*R^C}uFLKa2Yuy87~A~4m_5u`HU6uuB^@gbt& z!>lN{z+rxnx(i|R?1TxaDIwKig%r*E$`p~&eZGc}4H<&DxgQ6%0K| z_yj*ooPQNgeOQZx-oJ0>Ym0*jMyr+&BZUl*0Wv@a?jQr>&HwgfE*(>`-LP?k>?Px^ zz8Cx=ZqM2l2d4uWxK9jlzdgxp_S}=W-xj85e7IDwd>~cNg(SUd8hQZ(x96H@$a`q; zX>t*Gp%_f@phxAwTywpRSYt<7j97nCgi*{1ROY$I_XGC&5%02v?yWPl8i0Wv@a$N(9*>kM3mw?nSo50JH-i^114xYuOr zHC(I_uWdR5%lT(mD_g;u7zD3#Kcz4UwlgyooJv?hFwNpT?=iFDMVci#Kv!uFe0r-Ql&IB$?QK z3Hn9~Kgif}{`c}}H+bxran@n5#aV!h%hX3SoP8R`_gBCwwhfF^L*ASFqSQIiJ(7#~ zM#;zyFNaqmUJSPf0c{)n5<`9 znkiOj%xK8xIkc(^DNksMR=bYu#09CIS^4>}HJn3zR-1V$k9Vu5+f{~@yBq?PK1a^O zv^b}@%Jfq(|(k<;sOW6iKIALH#lw5kRk zxES-yZ1IM0Cx88f^`dX1uF6Wj{W-M{+VIS>i5^yu2%3gWFy<9;Sb%1kE`!!X{(JjzeORj_Wy1!AyZwq9gCfJ2grwzf%$wI2 zvx>&zBFV+_kr+mCnWi3-)H9C=DjI6_h?%AegsjYqSFM?Tq(C*<*qa}prN+nRN*mdJ z{le_D(Juah?Hly|r^XCMet2S3H9%#cTEnZe>e9UarSxy|gd?Om>-!)x%9}y47{LKe-I!4qu&GEWYTqPu0)10Hl21 UJlvJl%k2Jr`?>#LgWq=l1AJHF{r~^~ literal 0 HcmV?d00001 From c32916a4310ee62cce0667a7fd1685abafe804cf Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 5 Apr 2023 19:17:26 +0100 Subject: [PATCH 4/5] [LSD] Mini Project of 2021-2022 added (working fine I think :skull:) --- .../MiniProj_Demo_21-22/Bin7SegDecoder.vhd | 32 +++++++ .../projects/MiniProj_Demo_21-22/Counter.vhd | 42 +++++++++ .../MiniProj_Demo_21-22/FreqDivider.vhd | 36 ++++++++ .../MiniProj_Demo_21-22/HexToDec4Bit.vhd | 80 +++++++++++++++++ .../MiniProj_Demo_21-22/LedDisplayer.vhd | 31 +++++++ .../MiniProj_Demo_21-22/MiniProj_Demo.vhd | 84 ++++++++++++++++++ .../MiniProj_Demo_21-22/SpeedSelect.vhd | 31 +++++++ .../output_files/MiniProj_Demo.sof | Bin 0 -> 3541741 bytes 8 files changed, 336 insertions(+) create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Bin7SegDecoder.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Counter.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/FreqDivider.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/HexToDec4Bit.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/LedDisplayer.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/MiniProj_Demo.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/SpeedSelect.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/output_files/MiniProj_Demo.sof diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Bin7SegDecoder.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Bin7SegDecoder.vhd new file mode 100644 index 0000000..96f7b6b --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Bin7SegDecoder.vhd @@ -0,0 +1,32 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Bin7SegDecoder is + port + ( + binInput : in std_logic_vector(3 downto 0); + enable : in std_logic; + decOut_n : out std_logic_vector(6 downto 0) + ); +end Bin7SegDecoder; + +architecture Behavioral of Bin7SegDecoder is +begin + decOut_n <= "1111111" when (enable = '0' ) else -- disabled + "1111001" when (binInput = "0001") else --1 + "0100100" when (binInput = "0010") else --2 + "0110000" when (binInput = "0011") else --3 + "0011001" when (binInput = "0100") else --4 + "0010010" when (binInput = "0101") else --5 + "0000010" when (binInput = "0110") else --6 + "1111000" when (binInput = "0111") else --7 + "0000000" when (binInput = "1000") else --8 + "0010000" when (binInput = "1001") else --9 + "0001000" when (binInput = "1010") else --A + "0000011" when (binInput = "1011") else --b + "1000110" when (binInput = "1100") else --C + "0100001" when (binInput = "1101") else --d + "0000110" when (binInput = "1110") else --E + "0001110" when (binInput = "1111") else --F + "1000000"; --0 +end Behavioral; diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Counter.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Counter.vhd new file mode 100644 index 0000000..467fcc4 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/Counter.vhd @@ -0,0 +1,42 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity Counter is + port + ( + clk : in std_logic; + reset : in std_logic; + count : out std_logic_vector(3 downto 0) + ); +end Counter; + +architecture Behavioral of Counter is + signal up : std_logic := '1'; + signal s_count : unsigned(3 downto 0) := to_unsigned(0, 4); +begin + process(clk, reset) + begin + if (reset = '1') then + s_count <= to_unsigned(0, 4); + up <= '1'; + elsif (rising_edge(clk)) then + if (up = '1') then + if (std_logic_vector(s_count) = "1111") then + s_count <= s_count - 1; + up <= '0'; + else + s_count <= s_count + 1; + end if; + else + if (std_logic_vector(s_count) = "0000") then + s_count <= s_count + 1; + up <= '1'; + else + s_count <= s_count - 1; + end if; + end if; + end if; + end process; + count <= std_logic_vector(s_count); +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/FreqDivider.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/FreqDivider.vhd new file mode 100644 index 0000000..a0b77ec --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/FreqDivider.vhd @@ -0,0 +1,36 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity FreqDivider is + generic(divFactor : positive := 10); + port + ( + clkIn : in std_logic; + multi : in positive := 1; + clkOut : out std_logic + ); +end FreqDivider; + +architecture Behavioral of FreqDivider is + subtype TCounter is natural range 0 to divFactor - 1; + signal s_divFactor : positive := 10; + signal s_divCounter : TCounter := 0; +begin + s_divFactor <= divFactor / multi; + assert(divFactor >= 2); + process(clkIn) + begin + if (rising_edge(clkIn)) then + if (s_divCounter >= (s_divFactor - 1)) then + clkOut <= '0'; + s_divCounter <= 0; + else + if (s_divCounter = (s_divFactor / 2 - 1)) then + clkOut <= '1'; + end if; + s_divCounter <= s_divCounter + 1; + end if; + end if; + end process; +end Behavioral; diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/HexToDec4Bit.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/HexToDec4Bit.vhd new file mode 100644 index 0000000..c0f8f1a --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/HexToDec4Bit.vhd @@ -0,0 +1,80 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity HexToDec4Bit is + port + ( + hexIn : in std_logic_vector(3 downto 0); + cin : in std_logic; + decOut0 : out std_logic_vector(3 downto 0); + decOut1 : out std_logic_vector(3 downto 0) + ); +end HexToDec4Bit; + +architecture Behavioral of HexToDec4Bit is +begin + process (hexIn, cin) is + begin + if cin = '1' then + if hexIn = "0000" then + decOut0 <= "0110"; + elsif hexIn = "0001" then + decOut0 <= "0111"; + elsif hexIn = "0010" then + decOut0 <= "1000"; + elsif hexIn = "0011" then + decOut0 <= "1001"; + elsif hexIn = "0100" then + decOut0 <= "0000"; + elsif hexIn = "0101" then + decOut0 <= "0001"; + elsif hexIn = "0110" then + decOut0 <= "0010"; + elsif hexIn = "0111" then + decOut0 <= "0011"; + elsif hexIn = "1000" then + decOut0 <= "0100"; + elsif hexIn = "1001" then + decOut0 <= "0101"; + elsif hexIn = "1010" then + decOut0 <= "0110"; + elsif hexIn = "1011" then + decOut0 <= "0111"; + elsif hexIn = "1100" then + decOut0 <= "1000"; + elsif hexIn = "1101" then + decOut0 <= "1001"; + else + decOut0 <= "0000"; + end if; + + if hexIn < "0100" then + decOut1 <= "0001"; + elsif hexIn < "1110" then + decOut1 <= "0010"; + else + decOut1 <= "0011"; + end if; + else + if hexIn < "1010" then + decOut0 <= hexIn; + decOut1 <= "0000"; + else + if hexIn = "1010" then + decOut0 <= "0000"; + elsif hexIn = "1011" then + decOut0 <= "0001"; + elsif hexIn = "1100" then + decOut0 <= "0010"; + elsif hexIn = "1101" then + decOut0 <= "0011"; + elsif hexIn = "1110" then + decOut0 <= "0100"; + else + decOut0 <= "0101"; + end if; + decOut1 <= "0001"; + end if; + end if; + end process; +end Behavioral; diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/LedDisplayer.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/LedDisplayer.vhd new file mode 100644 index 0000000..02788c8 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/LedDisplayer.vhd @@ -0,0 +1,31 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity LedDisplayer is + port + ( + count : in std_logic_vector(3 downto 0); + ledOut : out std_logic_vector(14 downto 0) + ); +end LedDisplayer; + +architecture Behavioral of LedDisplayer is +begin + with count select + ledOut <= "000000000000000" when "0000", + "000000000000001" when "0001", + "000000000000011" when "0010", + "000000000000111" when "0011", + "000000000001111" when "0100", + "000000000011111" when "0101", + "000000000111111" when "0110", + "000000001111111" when "0111", + "000000011111111" when "1000", + "000000111111111" when "1001", + "000001111111111" when "1010", + "000011111111111" when "1011", + "000111111111111" when "1100", + "001111111111111" when "1101", + "011111111111111" when "1110", + "111111111111111" when "1111"; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/MiniProj_Demo.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/MiniProj_Demo.vhd new file mode 100644 index 0000000..b5669ef --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/MiniProj_Demo.vhd @@ -0,0 +1,84 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity MiniProj_Demo is + port + ( + CLOCK_50 : in std_logic; + KEY : in std_logic_vector(1 downto 0); + LEDR : out std_logic_vector(14 downto 0); + HEX0 : out std_logic_vector(6 downto 0); + HEX1 : out std_logic_vector(6 downto 0) + ); +end MiniProj_Demo; + +architecture Shell of MiniProj_Demo is + signal s_clk_def, s_clk_div : std_logic; + signal s_speed : positive := 4; + signal s_reset : std_logic; + + signal s_count : std_logic_vector(3 downto 0); + + signal s_display0, s_display1 : std_logic_vector(3 downto 0); +begin + s_clk_def <= CLOCK_50; + s_reset <= not KEY(0); + + speed_select : entity work.SpeedSelect(Behavioral) + port map + ( + toggle => not KEY(1), + reset => s_reset, + speed => s_speed + ); + + freq_divider : entity work.FreqDivider(Behavioral) + generic map (divFactor => 50_000_000) + port map + ( + clkIn => s_clk_def, + multi => s_speed, + clkOut => s_clk_div + ); + + counter : entity work.Counter(Behavioral) + port map + ( + clk => s_clk_div, + reset => s_reset, + count => s_count + ); + + led_display : entity work.LedDisplayer(Behavioral) + port map + ( + count => s_count, + ledOut => LEDR + ); + + hex_to_dec : entity work.HexToDec4Bit(Behavioral) + port map + ( + hexIn => s_count, + cin => '0', + decOut0 => s_display0, + decOut1 => s_display1 + ); + + display0 : entity work.Bin7SegDecoder(Behavioral) + port map + ( + enable => '1', + binInput => s_display0, + decOut_n => HEX0 + ); + + display1 : entity work.Bin7SegDecoder(Behavioral) + port map + ( + enable => '1', + binInput => s_display1, + decOut_n => HEX1 + ); + +end Shell; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/SpeedSelect.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/SpeedSelect.vhd new file mode 100644 index 0000000..3377c4b --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/SpeedSelect.vhd @@ -0,0 +1,31 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity SpeedSelect is + port + ( + toggle : in std_logic; + reset : in std_logic; + speed : out positive := 4 + ); +end SpeedSelect; + +architecture Behavioral of SpeedSelect is + signal current_speed : positive := 4; +begin + process(toggle, reset) + begin + if (reset = '1') then + current_speed <= 4; + else + if (toggle = '1') then + if (current_speed = 1) then + current_speed <= 4; + else + current_speed <= 1; + end if; + end if; + end if; + end process; + speed <= current_speed; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/output_files/MiniProj_Demo.sof b/1ano/2semestre/lsd/projects/MiniProj_Demo_21-22/output_files/MiniProj_Demo.sof new file mode 100644 index 0000000000000000000000000000000000000000..ba44e7065bb11dfac2db77ba1d0941c83ed37a94 GIT binary patch literal 3541741 zcmeFa&yQ?ba^IKz`o}aIE%}LRHJ*V69T*Jc*MOVzh7ctRAf+SHr0E}m#~@^AVPKMC zH^mmnna0e}kT-VN1`IBHNdsQIMen?DuX^Ft1MELQUKr?&f#-$cwKoO<3#8+db?1RLv+2=p`vHZ%jU;e=_|3H58Pe1?TPv!6Z$}{=F z558~z|H?Ca`g{L@{70Yv{4@FOPe1>;`R*R={<;zV_7DHgZ~gWUe({_{Wn?9<&Z?w*>ond;H{2fBwav{`^OOXx;wo`~Ud!AAkPCpZ?@u{OI5P z>`#93%m3oj&v(E6vp@dyM_<^f&;H=&Kl=Q~fB2Js@Se!8PbYY8N92+}`Se$R^y%mS z{Ij3^{71j`?4SL{cmMt$Jo`Jp@^_y-`}EUa`NKc_yPrP$!Dqkv?7QDTM1TJ%{MSjG ziH(e7`Si2@{PREf>^Hu<(D1wR#qa<8kACC3%OZdFlRx~f{OQj=`{^J2 zcdyQ5m#f42nDQhrKc7oa8LldMv^&IJmGjnB>{~X8c2xG*iaD@xCRcV!)IMHyX(2{$ zN$hboJ%z(-;84vQX?KuIAtA|&w|@80*Dv1s^WC8Z=&oEQwK>tkB*)u_y0VlObubY9 z*w_!azAB4qW>u_(xjyyS2{Mp2*K^eV9D8so49$RiDfaT4%OjM630fd40Ao#pC)+@oL-Epf?ri8^L=%i!CA^`XJHHl?y&;t9@ieFzrG5{)~!FC)&(wa za?fsi@;HauH-4MqYR$7LWsrpe1qE2g3D zXHnq!S+YcuP#_d|y%mtT{abun6)WOd#P~W&f$2xsYx<&L?HXr7flwe6cwh?11MBC4 z+tCZI?V6>@HT}17*KKHeS^jKxls3|o9#Cu{(}>eDg7g7#*7X^GhhP6x*Th}jbZ;Vf zy|bGzMocIW3WNfoKqwFjEEVw9pM0i&AjU6F1{NRGm!ZI7hCZEZ+GGK0Wbe;}M_<#? z^Vj$3^Vhfa=sXk%1ww&P;0y|E^-jK7sfz{2W~^64vN2z#OZnM=CwIJ#->f%58=Bz~ zCwmZnxKET+zGR>8w98AB7kPOBCaqTFSZ z)f@z;VqLC53F(32l&e{A38uvvZbgeO?Ks(^Fio(uAUM>MlwF7g!q7>AYZY9uPOkIJ zTd{)tD>S_|;NX{xp$awY;))9H9qr>*g>eWDE?3c@Seh_36enL5!Q`e@ECd-6!)ihc zXPc<@riA;I&FPv6ZsPFXO@cd=z(L;*7!_|AV@ry^Yc=cte3uAQT7%Hd0_( zv~I+<0gY_HQKHb2;nwFzt`nvu>aAODhMsJn^6dNOU6)zn03fLSTbVB%XPU0DPgBj!~$XHB*C?bPOkoW`{Wk$mTI%6tIEtM zc&rRe$1V(+w`3NEVqLC53F(32BIB@@{y$-!{6w0p8UJ@e`x4a6TR3s4kzy13Wk|}CVqLz0I$F>V%%fEBzLs_lBE!+;Ibmz%Z}c`NQF#0= zuQCW<%4IADxz@mqfzJzY0@$`ODXDH(si4 z!CEFfvb^}PHa7*&GCQ-ukQhevrVuZtT>g%6PKO70L6v{q9$(l)-?ZT)d%U#A?mPDQ zHG5)@SCRD~j4^zY~Aa!h*{Jb8id7%Nk|2JuB(7=9&vWq{{@Q zC;L`iWsOUe`MlcoUR1N-s>m@18B=ANNNi>nzEB!*{|w|F%-Br3h2G5Gztv`LV-}=0Vp8!P+qPq7E)d9c5vfQR#(cRL9z5eaavs1{L>i0^A3o1% z5C*qo3{|LE$9(?Q>Jd^G>v9cBNE4=p;^eC$n9A$~84|<%fmr1rvvE*`kqZv>BxM(3 zfiQHE;9A9dX6@uU&%AwV1!YZFm6=iO;g^h|isxn>GH;U=Dx_W&yum+9tp6&Ua$2RJ z+t1s2Z#jvOt=K-sKqwFjgaV2eXgXogFi>`~a5 z>+ZLcYno9?#_~?pVdJM<8Np4I*)3EEcT2|5#E^Y3vI;}7F4v%h^gwa)RS`^O_UUGw z@Vepttwjpq^(18%Vu3JplHl4zCs+TxeG>B)(dT)>^RmWRTTmR_dAk=(W%di2w-mY= z_#MC(1%7AqD7+j+{DO%y3jAi|JH*i5ZroR_Z*MH!QD8cHzWLLUuZfOghxXQyKU_kA zP#_ct1ww&PAQZTs0Jlv8oG!gr^J4K|daZ@pK5S(1i6-(xFUGMUlqaRrd2Ei84|<1pR62YHV&$&_NIhp zF1L;<`Av;{Zv3L~bYL~lM{y@E*eKZN#_tkCd%MfVB6lbd3WNfoK!*a;qP4^GOfE7o zj}GG=xlWjtsKaV+5s&Pxl{@UAz=KjC?zfv<&XM<|hjPCalt!q<4w1jVgKHJ+jdXHN zQ(WO|?0l3fBe)7e%j{+p!rhWFR3T>dbt)H3OcTjG1g~OUu0aWD!a@}%UlqYrW+%vy z7;d%?MyS}Mk)-TGED(lzrP&47COWzL=WTrcHX-^vPk3I|7;6iPgFA2cf~m}Y`*{n$ zFw~QjU5E{Y0--=C5DJ6>p+G1Q3WNfoKqwFjgaV;JC~y-6grLq%i7x(5uKsp+G1Q z3WNgpUxD~LP-nY_`?F<=M4><^5DJ6>_e24q&dyDVu9iBvV*j?PreJlYEE*I`4-_YV zw@EO$X%!1WhQu)M7b*vtje`~hhkBB-3$Z{LI!SPCqLVA;ZBPlHOD3%^5PI;y+ zk_e{7nbq_(hlJP4Ae`-i;8agib|E$p3WNfoKqwFjgaV;JRRP87uXa{Tm?{y*kNdd< zf5R$?aQ0~V^JI5==2Z}`ReWXE@`nh_sD%6NW2xawD?Q=RY~jSEMv6`9e?-?j{DP^Y z1^vK0N(Jw0a?C+wIJ!J1Y_0r_-sU6&4t`>67gNI3adVG1vQ`TFg~OVuq{%Y;Xk7a!KDC( zeWnTz@PaD&dm>-hL*KOFBYV8G$BsW?!5{X>E}X2x;ybxW2Ubbeb*#_KL01&D@%@t) z7F-sXds`S@)+n>>SxKig*Ie)+T_z|!*|+K{Yh0qt=hd$FqM8L)MUFYhm@3mG=P5ne zS9_b2RO%=Z-|GBy7rhn7ropl)+mcL|hZ`PoFBygDo;ixX$vd*QX7Y_3rZ?-2*se{Z z*N(V^fS7oPt8ln@^)*FyXutWUN*2FMEP+rU z6bJ=Eflwe62nEUt2&VFKWwFF4vm9AExz4nIYlSUZ$ZO_lEhtp(mW-i^^3pjG>#x?D ztmYs%73*>hN=OeBCtnr8RAwj0kQhevrV#s;&FSy}!cea?yWrYHCs)kds*-}$m9l71 zEIm-1{MjLx+_Z}2g61vd1VVvOAQT7%LV?{b{$1qRX2Je!nIcgr5DJ6>p+G1Q3WNf~ z6cCu}J0IBv*Csl-Vjrxkq+oTWEE*I`4-}{Sz7Hle;R>W!7VUAOiB7JVw^bztt1D&E zpjdjKI4v%0{%MS0a?>i7*uO=EKqwFjgaV;JC=d#S0--=C@ER%*KQBAmMcK&*0***K`e$OVUblClf2Ko~knaINA!vvzWwXWl-wg0iNo%FHPC z@Jq%}#dEU`nYYOb6;iJX-r%1l)_)aFIjvIA?dNU1x12=CR%{<*AQT7%LV-}=9x5=s z`Cm@uGO!dK#?2e#C`@nlqv)HwBYSHm4~J0TAt?~|+fAE*s5^D|bh!v#G{YrM_9$%3b@$uJHO(j`V|l0Qu<=u_jNm59>=r77 zyCq|2V#q!iS%slkmupZ$dZ0M@stBes`*gETc-?US)*^-QdXlmWu|OC)NpNkVldFH; zK8bmY=<__`d0AtuEhrA|yxj|?GW!M1TMFF_{0`uY0>5^86kd)Ze!;{U1%5N~9b#y2 zH|{Iew>OsVC@>v8-~8#w*F;CLLwoDUA1P}b{H8`eH-1rgI*IF*Pw(nVWEnXuZmzQvlC=U3^&^cBUJ3sNK$qo76?PV((Hn36P;ZB z^EN(zn-G1TCp<4}jI{;D!JW5z!Bl3y{k(-=80tyNF2n{xflwe62n9lcP#_ct1ww&P zAQT7%LV-{q6u5~3LQvGT2SN}d(WoNmoAa}>-u&rd_0)<;Lh9-vW-;z}rigmdL zC8P(6ldpsCO;I&o1^JHHT^L-=6-)Vgp>`k?2n9lcP#_ct1ww)QuR#1AsIy(e{n;`_qEH|d2n9lc zd!m3)XXmCwS4*8-v42}tQ?R;H77dD}2a1!w+a#FWw2FlwLt>cs3zdV+#z6~$Lp@2^ zg;*dAog}z6(a9C_wyLCHb)_sC6iW{jr##aZNd(j4%xZd?L&9ri5YF~MaH=OMyAT@) z1ww&PAQT7%LV-}As(@nkS39dEOqB@Z$NgM_zhRX`ID54Gd9ph_^C}3}D!wvn`9lO| zRKorCvDEOTm7Z{Dws7K7BgH25KcZ_Me!Nvokv(48W5=Jc z;17Fb7f#k;@ts_x1FIzKI@V|Apeu^n`2I-?3oZ-Hy)6tcYn0jctfbSLYcBYZE)$fV z>|1q}H7-%+^J>?7QO$y@BF7wLOqFSp^OT^tGx_`cQ^)_EvC5zuBmOv;F3WNfoKqwFjgaTy+1XFprvRGo2S&l57 zTxZ(9wZaxHN?9~0mL4ch{_GG;Zd%21LGzY!0--=C5DJ6> zp}=kz|1R=uvtWO=Opz!Q2n9lcP#_ct1ww&g3JA>gosaB-YZIMZu@6>NQn0#G77dD} z2a3~u-v<+#a0OB6w+p3a+)s?bnP%J%AoE8^0|1?H0xoH(k?BAk7AQT7% zLV-{q6bJ=Eflwe6cnuYZpO>BOqVLa^DH4SOucZP)*Y%x`?1F0*?5A{coo63RtRR2K zhtG2wguyKtLltV)F`vJ+dW6))x?F=2(uAp@IQgmwrZPK0hQu&`AXYiZY#dZ!%R)8 zoK`95_Vc#hTTUWmE4Gg@5DJ6>p+G2b4;7f+{4b|+8CZ%AB zdlWY2y8G?qnr4)evAk1t*!U?|MsO2lb_*54-I6ghF=QW%tin*N%QYw=Jy4u{RRmL+ zeY#mEyl%LEYmq{DJxSSxSRf3YB)B%weuRmV@VIjj^_%IJlbc z1yh;*g61uSZU%k_a1*KNv7H0Ic6t2sZG|e`qi2FXYsFM*JoBiublm7 z>Gbr9VV&eOBS~6zkhlp-*49r}n|e>Gu3r_X&ZBX3D!w*#*}o zR$Tu|AHSu9<*k?h?;pN#NN4AkhE?pL#&Tt_Hj&e=*ez5DUm1>Xp*R$Qqhc*5S-arh zg5XfB%QYw=Jy4wdf(28VoghPE;2yPgZwj$r*_>|DdndToE6pyrR>2JG;QA;3#?{Nj zycIqw{o6dT*hi~bT6skgQ-zrISO@(DJCW>hkbSrNMd7(yGKMPDtm_Nsa^d=_4i2g? z4#C0YDjF0^6Q+jZbgarNg$0wFR=r4m=&0G*TV=-1uE$Xm5iXdw2KjHwUK(`cUBYP=L3+z-y`iYtsob zKe2_-_ww_@lRrCoAAIF{?8nma))0?j6XxAcJ?>4;o#?X(=S*^qRo@)4>DQ6HZBeLA z;kT~tVQ(B3`bV5{^qi-9e4OTk{A4~6UqgXO0o_=Mqxllq)58t=Nl(u9XCwII2A=N!Bj-*O1I8IIIeu>v9cBND~&SIQgmw zrZPK0hQu&m9_1jjaZp1tqu@|aQg$JB_m*6X`;ac z!jl}!0mA$ZdsIGuYk%0ejjZX4-C~9Km0_MA7^_%MN|#F^$#qm2C!_Gy%v%Z4nknpPgBjhw!%&6r=FhXwAX1xBl&vs5=k}TwejXe#kW< ze)o;tyuNj!{*iMoPK5$@uYlA_s2Xd5VG9KYD_~2%;xh5^!Xn3&q~n9-^F`3owAqnt z#uP;NRe|>l>swn|r{kXV_~5W$U!2GXDL;!Rp};T&{^{?&%QvkKUVJCkw~j1v>KY0N zzMY#AU9_3&%{O-*>0zolY#$LFw(V@AOvm(0OjGS8b}>7sa*ldN6vZ$WS< z*5w+MkRB*be!+sN%ubLYG0ZwC2bqn776gZSlClf2Ko~knaBU)U{ie5n`(5JymtR`X z+xhdi%b$O+f4e;MH|>OScG8fib!1Ja%D$JZ>o<_yAPl)=4(98@^Cs4l(&bW!ave=p za}bhqJ!(dw|PrCgEFvK5T3ZPmCA@|qReigLb#t6OAEYRC{E=hYZv@m5FCni zxdtVq2a1zluwW{)6J$sXceW2k^4f({%!~FYwTY=~N&n-USD(NAS1-P|Q^GRWFFeVg zzg-%9#a6GVKR2>8X$Wy0{L5>>WyM}JlvgkC9AP~vS4q|`NAbm{g2m-38Wc+p6sLSK z7D)ut;>>D#nnS{CWf0ExKya!jDZ3Cm67TN*v-$J4zetV8cFdlS_nrlEi|?Aw_ipT4J`FZFg!1k+_Hpp@gUc21Ts2T#1q_}EMn*IUIU%)7g< z%uObiNA_%51HL=QKAK{crPLE#7iTz3u?D5hbJN|^l zuh}EJaIy}I@8J5-NJs}(N!E3&&&)wr6t(gFlNJ_S7MOcm7+%&Wv+Y?)r#07H@F86$ zC_UM?>MCnoqRi*juJ@vv1y@CmImnnQ(y6m1O{3S2xP*Y1c!#TSxOnw7MRsXkw!L|D zalN~fiOgRo@v6)V|AXt|%p5dvl)vz{_}I*f%c`W_B*Pw*-KymU_5lm%v zf((gaL~jbQU)h`v49tW^SwnT*1}i_G?TvY7(=&6w^rQDK>=Z+!6vitp-D{`9Ta!jN(-?sIJHgQbNWcHruyc=bSW$`@n7C72dx=BuDsru$st`N|-i z?SbG_Pf~Uv76?Np39e17x&HF>-}C-&zrTCeo40cvj}uvDVdi;z^*a<7JEqNIaPY4X z>;_?YW2bYHoc4DyG_j~ga9_7?ClyPsgM$_X2bZg8P%J%AoN_gbB!X#iX1)rFg&;#> zSQ&(~JrJDgNy;w7o(Ji_qQ+x9`OtpF=w)HLB9~QERC9g>zE@BA_-HO`-8HPCz}+Zt zvVW^KXOG{8w#R$MrT0#^!5-<*tq&<-Gmf>aP}kSe-{VV-yf*nO3}WCwmk&IF>UHKL>C$xzg_6|Gnk@ zZTUCP9kv}{{Y|p1wq7_{g_zaf@tNLgaPUjUP=%Ux%s!Y&D5Q3!EE*I`6Q+jZ z+_Z{?AVXqUT@2xD6V=|7aKExS-JC#pQm-_-;9A9dW^Hr5@tt3LY55gJ za1~F@T4pz+5blP;G0YQ5ImjAwP(!^p zB{Xxnb=1fQ+unLN4bBksp}^sVwW$oxc8~qvBg&065DJ`Kf!>KHyaiOS_-WdKbaAE< zd18{2<1G($OR@!5L!E{1bq}Vu`s;>m=0CEx&5B$Q9Zl-x-$P$`tq+dk*2ZyXWE^!c zUzh?XQ|xeC{h?E%BBkxHM;(ohz6MT<(j01oAFON^z^T+?|cm9!_ zoEvm_@PgBj!~$XHB*C?Xw^v-hXdy~iZte~H-DcCB z@WfzVtJlxt)tMY*?rpqEYrYFEI~L;Y^S5s;49vAI98bzeLddH9W0$LRJA4Ac>Qk=?xLe0qlnWeVt7ZsCOYa)9X^-pviAzRV?Di+;#?>Y z3WNfoKqwFjY^gxs`gY5V4X#+#=lN&rOeoK$=-?1Syuqb_pk%=;WGal)|@2 zvZ|v}S+kfryIBPfw`2@WtT(w_E`=y}*<>{b!O7(+8Wc+p6sKIxB8gyHoLOymnM1;B zWf0ExKya!jDZ5PBcV!PX_GNpVsDe3nje^Wu;qx_|g}KeVl`6X#aqvsVP=%Ux%)B)T zh19N;MT25#!n9VLe9Z-un^v(9WJnD2}b{H8`eH-1rgI% zR_?He0uM@oxZiGaIY-`;9?JbzP#U3_bIBfs4UR4?*&FHPnx?ptv9a?}u8iO+2raXl zQ3!WS#!!Wrb;v$gGGfTfb-4vAAx&7Q;^eC$n9A$~84|T za#um_j?ZEH(ZU4^w`2@WJg|MRiX4g-7qJg^by0*b?uAnQCOWxd-d2?qtge(r zgJS7{;N6bJ=Eflwe62nFu30`YgC&UW$kXUi0cLV-{q z6bJ?Gi2_2M^_`FGf@>3RSOH)8Dt)QqU6zEVuI()(<6u3|Yl)C)Y&g!4O zHCy<&pGzjPRg#0@XleIkcY5a4Kgydp%3r=RQ~AR$W>jMR_OaCPrIns=Xtr?TQX|DC z^*^F(9)7{p(Sm+p9;Jf!H96)WG8|o=6Sh|VMsITxg~#vmDueK)T*e~wDxxy#C*53U z`o8R&Quos}7P@iUue3RtzI{}ANhF;7t1yL^zkL06`WE~dY$wfM_O0uqF zeP#~2qNt7bmwOg1EVwK%_qH&+tWjp$vyx70uDRetx=c`dvTxN@*0@BO&#PVUMKueq ziX3y0F;%8X&Qp4_ul6=4snk&-zSa5ZE_y4DO@n1qwk4S^4>vsGUNQ>PJ#!R&lXqlq z&Ey+7aPz-I=pE+1dFq&W2kj0QufC?pE={rb=F!O|6WP3QQI7ps!NlvdxZ1(N1#gRw z&7xRW>_tPuy@_|KOFg$>ZIa?(tDA%4s^Emx;2XMb1Gc&;tW7Cc?NMPzN-(Uqj@e-8 zyBa+d8bc^>Aqu1msUyk=1ww&P;Pp^IXtTUrSuCWw6zSwT)BdfMyl7#uLN=q&lUp)| zCdy0aM6ADBYqFYy;8d*3H7FrHP@H^K1XG!vAVXpp(VIf-S2m}^0|-OC((Hn36P;W! zZ>vfQR#(cRL9z5eaq?$}U~ zz_iYO{Th#s@2>)qzdyXcJw)ZNp8_^-4TrJ5^O0TfZ=#bc_Q9%33RYLjqCv6rKykY7 z`(Q#7u0V=q(H6PmilqmN)8fMBpT-C#H?3ls)|H9p^A7@)gUrT3 z3xY#EN!f+iKqwFjgaV;JC=d#S0--=C@Sqf6ZGG{GpO>BO67A2HDH4SOucZP)*PWXZ zO@Y`?>Et@kKA2cRd5>mQnHh2LOU6)znsv>-v^{U_v{&|X@E2qrhJVj;+o z7;d-^R_#q8_A8sy;Q@r9UTJp0wTk!5+R1gEdHd7~D(hfIv4>wWhAN($b;!I;CZUkp zm9l71EKSsZ6^;<^rd2GrpSShiauOk%TL*?fC=d#S0-?Y?RbYDaznto2U@1C`n>WZ& znBM9~(KmTV_SQ@u4xzw9QXuZPn_SJ2_oN4Lzumfti~Bjo$QP1qGDjVf-UtlayVE1;Wrtf@>3bO*N%8dg_3LY!NyuXjJiU&4t_d>U>9E#)RVkcNCb8o^Sqibr$DT4ucw#qo&3|= zoP8+kTS1Qc&PR5^wTVuy{(Z36zfFif@0aqttTEOW6bFBIP%xF*FK8dEqtfg`Q&lh- z>s<@+vx_}dj(rXDg}TN5tyI~~h(oR?agft;P=lIr%>J$EBV?X+N*o;5=b2n&U>+UDJ#w8eEm4Qn-Xb2^TPt_iLxBgSK-_OPxtt^K zNe|_ID=3Xn<=v+2f@>A*jdXHNQ(WQ8yC`mKr80u6AWNCuj6%4d7E29c#v%J)$%r8@ z*X0(hgfwBHij%L3U@Ef{WJnA*+Xo|5?9oV4b|DrBL%q`Mf@>3bMlK7X4KeV!*g zFKdjo1;xRgw|l`QAQT7%LV-{q6bJ=Eflwe62n9lcP#_ez zi2_1U=cYs#eiYb@i}ZOnNjd?OUBT|ko{Y-3PZ6j*Pw*-KymU_5lm(F z3)%|9UcU{1pGCSu;6 zT*0Pd<{&t^nk$y_^+N4HC=d#S0--=C5DJ6>_g{hdJ5Xo4hWoQ+ibSEnYpB5UJLp;O zAZMg}XbK1ob#6*@_1@%qoYNVf!r9pQhp`N7dLM#x5P7++3=;Vr@J5-evtge(rgJS7{;*@9FB8gyHoLNmzb4Ylt48qwS z2u}4RWfx)tp+G1Q3WNfoKqwFjR25LH{%U8ngsBo?{P-2uqn;&A)(m@18B=AN|Ubi6syU+&2Z%eJeQX4Fy7hP~cuG zAXHyot}K=qU5(CMKg7GfTrmg5Ymp?cYUkKj>)iQF4kEaTGP{Ke;d9A}gEBQ}}!hXJKOACPd0|*z>Z+c($N8_?io*GCM(r#4y*R9Aq{QS`ZxSNy;w7210>Q zAQT7%LV;Hb#J`JtrN!W9`@#G{9}2wA3QX(l*I9z-{k|z6yWRKhTg_2#C}8t8?RGYH zZ%W|W#5FcJj7#CmyC`mK?1Lpln)jV}?&_pidZ0M@nhT~fJ3)rTFxR6TWHt_35FF}B z$}YqLVdx~mwTVuy*uSkRDOg=8iw4Eg1H~!Nv_%rZv^cYxp5~D7S{a11JrJDgNy;w7 z210>QAQT7%LV-{q6bJ=Efl%Pw3dGOL&ZhYOY?*G6DBU79LPLSORX|AJxhc`Cl+CS9 zuJi1Ji52AU_^^#*5C*qo3{|LE$9(?Q>Jd^G>v9cBNE4=p;^eC$n9A$~84|+{_rZ)@ z2(Kq8yATV6p_2sHD&8||C)auA?Nckrze2a4x6h4x$h=J^p%}R@WznEmnyCLO93j4b z129~13xOt-P{U#4Hr4s4+Z@Znh6bq%hQzlwGFqYmtzziE9*OTneAB;VjIPn74>D%fa)q##mcW99+%!f~m}Y z5%ZQ3HwM20_@cnCogRgkqljNHaYljPjC_X}+S`r$;+}9(m{uc0YLX$WMw&(u!#nad z(NTBm@ClbtAQT7%LV-{q6bJ<_M}b)1ZgDNr79=H(hqAsE8m#YpWEWhU=;Vt1+p3a+ z)s?bnP%J%AoZNk|onUg)DwYe{2NUY4SDIaLt%Awe$#tH2D^`$yg{HR#9Q=|oRH0@a z^K%E2P)O}cSu`k?CQJ>*$yY@%xoH&(L59SDfa~_LR8j3s3H2+R(^biDYUFd{7lo$- zt9d?(J27!a!9F*Bml)dHT{afELxE5r6bJ=66qpvR9iC@$k%4)18289^!n8ykR(p$h zWN)q9VGji!lmcmifLNVu(JqjBfU0SkP(aAMUaV2A8=c8O1!Br4i zW;de{?v{+93Nh3bMlK7X4KeV!*gFKdjo1;xRgw|l`QAQT7%LV-{q6bJ=Eflwe62n9lcP#_ezi2_1U=cYs#ecs3zdV+#z6~$Lp@2^g;*dAog}z6(a9C_wyLCHb)_sC6iW{jr##aZNd(j4 z%xZd?L&9ri5YF~MaH=OMyAT@)1ww&PAQT7%LV-}As(@nkS39dEOqB@Z$NgM_zhRX` zID54Gd9ph_^C}3}D!wvn`9lO|RKorCvDEOTm7Z{Dws7K7BgH25KcZ_Me!Nvokv(48W5=Jc;17Fb7f#k;@ts_x1FIzKI@V|Apeu^n`2I-?3oZ-H zy)6tcYn0jctfbSLYcBYZE)$fV>|1q}H7-%+^J>?7QO$y@BF7wLOqFSp^OT^tGx_`cQ^)_EvC5zvs8M+#UArJ~& ziUR3U3W+*Gflwe6cr6qVx-2hO7E6pSK{~n4w0~h+C(Q;%-gDxg4LC> zXizLYP@MePA(-5>isgdlE#(A4flwe62n9lc-7fxJ!*OtTfp+G1Q3OpzUSX*B_;^$>&yF~l5Wx7S8bc@&s4F&F20U>?orbM$+ z_ES2!&a)3DR#4ufSyg669Q=|oRH0@a^Z8pVR7kxlc!Pi5WojtgzAA#rO{-W4G9-o@ z?t@i(Q;7Y_=5%-fVW?M{U2v`9J+pRlooC)YwSvkzm{IKEmyDr`=Vl!;Z<9$Vq;{n& z8Wc+t^v-jTgElZQhn@Q@UU`|T!IbL2hgLELY*ZsOv8jxq9uB%91pha@@YHaj))HPKPz z2EEB)U&F@2j)P)hW(!Zc`^AyzlI&5~Tuv9cBNDmYzUlqYrW+%vy7-pT6gUrT33xY#EN!ewRW>@x5V}Df;dAe|o zf{ah$%w4;&PgXNI2NB#vncYH#a5pW6CLY+l-3uMNawrz0G$e*Qo3|w282k?4ivquP zdK6xcB7VWd83leb@*QGmZ#V9X*Wg7V*0-mZW8`b%G?#OnoO5w16bJ=Eflwe62n9lc z%T*xOw_9ABv;|3t%&>abLj3GvPnBa|!+fD`F>j^HZblq(J&A*ymV+A9jAQn1 zO&=litW)CPxGvYAcr{^KD^9-Vf~m|-kRdV5^(Y6Kje{zTTyUr-DZ3E6Ir!Z8Md9hd zYMzhcPF&Mbu+NR(C5HBPmyJd4P#_ct1ww%i1z3qrkon1-ejQnb4(n{>I$>I(4y(OI zJhHb|?jHMH>(f(X_=Exn1&;2wm!XIZEJKHJkGv<{_5Eb4-VRq5vhr?Ic4=NH_C`9n zrYWv)=3Nvwwo)0vRgk63Zbl*8Pm84nG2@VZuw=xLm+Nv1RzjMvP{qktMKG1w2{I&x zo9%-UD)wk3DZ3C0grQz(cEPoYPOkoW8=t>Th(6B~o|iSo+JfTX&fC3UDzo2y-oh^o z^(18%VgsQ-C=d#S0{35m@7@0vqJvN%6bJ=Eflwe62n9lcd#Hd=bLXZ+S9_gY{rh0I z*}tV?cgN?jtz<^Q!z~#@6GQfI$tn!Rx?F=2(gVfGS4A+D*)M1xtfSKGLQ_rDyB6YS z7kjE4`x@pAOQv|jZ$6_OOd9gEjxxK&39)lMiGw)_&zp#OdvXPvikXApp+G1Q3fzAM;_pD6?HcaSmMId20--=C5DMHA1%x^~Hzm4S>g0<3+p3y^ z)s?bnP%J%Aoc!G;!Q`e@ECd-6!@OUp9Aq{QS`ZxSNy;w70%7PR!L^Br>)nK>-`t$e z92BqI^=wF-onzzY4of*q8doPZLl+b;Uvt4!W+%vy80O2P9Aq{QS`ZxSNy;w7j)aUP z5AIMP6bJ=Eflwe6IFABKn!G-#FFTqQecaC_6PdqGI;!Kz?%;ZK=GEeA;wXRl%1q@C z5tvbl_1ni%!fq9e)-q+-qgUH}s48_tyqqjMU z!sB;&l|lGYE@KgT6;T=WlWwjv?I(Ow>VCS$LN{*vl{P2Sw~s0>iG-7X6{hg=m#^R6 z3D#m=u0aWD;>Cxxxhce#*_jQ7#4w^ag?KULR-dWD1H7P0{+`Ge_Ru$N_{bhF?Xlxe zSn!8EvI{5cu=q|c(t%Zybsg(7bI=tDmo>_4dsfnE%{3Q%NS6sp zPxh_4${Lp_^Le%Fy{KlvRgq&3GN#Hj$$3go_SN3zB$YZ!#J4&>-9>N3v1zbu%C;oa z<>7`$+)GAbx@V4}Z}N`pt(klyhw06FBerYP=(Qs*As{B+;VK+1UVTlGU7D9|Zyudo zGLiY~Bwm$yZF6v4oSB0rj`A1Y79X2gaamPXG$h=cc&ED5a|_lcDGs)}IXJEgPFM}T zq3bqatDC~wl!DbB6?UWq!+M)9tdhm=(hOaV!Vm}rE=7TKDTPEGp+G1Q3cMBy2wj$! zD~lyYmmr;7XWGBDk{2!HHS@GY5_)n=#?VB0>70o5S8GjHa}b=0b-4y5qz8(VuZmzQ zvlC=U3?q6|i2cguba((^s8^a@aBZTKE9PxgNx|w$Su`k?9w<)!><~YJaRY}3>N?9~0mL4ch_kABsXu=gpu`Jr-L=&A{F>k9%3RYLjqCv6r zKyg}J*!uLV-{q6bJ=Eflwe62n8OL z0<5hs9`Wbxz00hpISj>9n2{9@Jq%}#dEU`nYYO#6jHlV77dD}iTba?5#rsniskn6w%%J# zB4l&xzz_%pLV-{q6u74fOmF^|Q@soFoZ1T-6=Ad{jlB{AYYy>w^X17owe6A;PaJo^*xgv(_gON=bigmdLC8P(6ldpaOSSv*e9!*oP!8%qReig zLb#h2LlX~d-tL8tT{#pBQW_G&oy}X4Zw!71@I`@NJ3R_7M-jhZ;*0{n8Tk$|w6`1g z#cS}Q5bN91%Q5mbahl6HPR_YF6$*p`p+G1Q3WNfoz~w3s>)S1^P1=H_#PJ~3w>Hm& z25F|e+mu~!ZK9KF`i@EAEALbtK5$yDtXWK*-K>I#TQY_w9@suuMGi%ai`WNCRMJza z;K#LXf*Iyc0wr`cJ?)%tNM^|!gq2qmZdHg`S7{R=(_4e^+$|YH6>8Qo^VaGSQWxuT z4N6E8riS9=t0I`n>;xGS0|Ktw$5Mrn3l8-pWfx*M2cH|iC_Eil&GS**iEBCv_PO!9 z#L(XEva!e=3WNfoKq%0m!1T>lhv%7GWMCd0#yxVKFfCDs)!rf=*;^}j*h7H_r9j+o zH@Tc6?@15kek&-AP|UexkHQ8=mzL~}baG8oT*>I~$;=xqmC6XNf-GfrGYa8u$r!2- zv#vLSTrPzu*TF#z$&7-7%T+WemL`l-amv*!k_e{7nfWRx7J>|kVPz1`wvfz0aH=OM zyAVqqWe=S^Ub%3hiE9*OTneAB;Vevi{x%`fEC$o(iYstg4}flwe62n9lcP#_ct1ww&P;DIY3^w+s5 z(IrJE*Ln7DsW|V^tSU33;IT5WE!%}5`?q8khGJc=K?&)B;^eC$n9A(a{!_y1&i28s zatk%oE6pyrHqpry^R}v_V0EP|8Wc+p6sLPVZ+p57pHLta2n9lcP#_ct1uj;B_&ZQ% zyEOZ=Wr{?hKqwFjgaY?O0ijNJ!$q+ywo5qCL?>75gH@Fjtge(rgJS7{;Xl{}T$||Rig{aAQn0#G77dD}2a40Zp10HMr>EKQ2?Z`jfta@! zb19;bP#_d|4HQs%^jABpk4`k@__&`-CbCtMgW+gt_hff^=GBKfCXVu#ugp~b@QWFh zSigNNHGFBMCmfnBoVe6Tu}S@p=$eOLFm<$`ADBm};C)SwIfx8Lm*<47mA}#3oJ8UA zyS&OEd?}Z)2)&A^jQUA8*O|UA`=-?Wbd7~>-1aMNPNr`kRbCPaC;uu;;pH!1zukDL zx&>>Q@W}Gw!`j>wJj?9N218;P(VIfNm~wfiV@`($ctMr?J&`Z$p>Nvokv(48W5=Jc z;17Fb7f#k;@ts_x1FIzKI@V|Apeu^n`2I-?3oZ-Hy)6tcYn0jctfbSLYcBYZE)$fV z>|1q}H7-%+^J>?7QO$y@BF7wLOqFSp^OT^tGxgM3MDmY;^_=c|AfURx{ zYf}nVdsNtw5)A9DV>Vn>5MwA13WNfoKqwFjgaRc61VeebvRFu!I2PwSxz4nIYo#q( zSgerEDAewjjG>A0(m4_9uhyEZ<{&r~>v9cBNDmYzUlqYrW+%vy7)JD_5c`$Q>F@x; zP_Hz*;MzndSIpb0l7iKhvS?5&Jy4wd*&&$Rw2I||<}Kv}LV-{q6bJ=Ef!!|tUF6wj z!TxNSB2g$13WNfoKqwFjgaX495SZ&bAK3-hCOWxdAFQgRV0EP|8Wc+p6sP;X4< z3Zz&T?Qx=sPOg}@RV4+hD`nB3SbCs1EiP>SX^dcU(<+wOzeR;WC=d#S0--=C5DJ6> zp+G3`8Y&P!FFV^s-=8g0BnkyyO9h0k>pLIW1=lLrPwC`3&pwz~LH>>ppXW3PgIh9& zD%7lFK7VWV2&s#8xdtVq2~$IH@>LN`Wp;uLiDCXgta6aqIHQhic5Qm+c$;GZSde-%zSty0kK=WV^W zoJ7c0Y#(DF6bJ=Efl%NcDlonIUryyRuoNA}%^Tz>OmFq0=$pJFdut{Shfv@lDG>MD zO|It1ds5tQol7)rE;*JvIyLfzB%^vtqln=h`I_jcJ9YSUxd>h~!zE7kC~VAi_uI)e z%_t>fd8g{I@l&ph;3mrK7Al0hC1Yq}$UYcZg`rrNYfwUZpg8%e2&OXobhA!)-EjZb zB8BjJlClf2Ko~knaBZTKtAE};iFu3Y^E}~sS!1j%C=Twt-3z8N`vuKg3f&C+4&aLd zzjk^QUXCJu!NeH_elzkNVrXwS?km=}H zp+G1Q3S3WtSl?bxFW)=)r?)x#AlA1w&jdMWro7vfU2tupldFFptWsIC7#!RkpToA2 z83hlwWDHHj{_Rc?DQnzR%p3$KS98UZxm*`KfH1foie*8;JtO-K9(w~y(yu7WplbJ z`Av;{Zv3L~bYL~lM{y@6&M4UD#_tkCd%MfVB6lbd3WNfoK!*a;qP4^GOfE7oj}GG= zxlWjtsKaV+5s&Pxl{@UAz=KjC?zfv<&XM<|hjPCalt!q<4$*ppqf1LRD>}KRDXtdL z+W9C~MsO8`miJZ6D1^HuW2i#R>g!Z4n3yJ#c?e#`x?F=2(u9R7PQEIFsmwl2`h?fK zF{K=2HV$e?W)vLiNy;w7lCJEblgBF;PBd|if{aVy%U!#%@%h_?Nb@}5xvP_6>4D

-UtlayVEJ)}E4i6ImS1ww&PAQT7%LV-{q6bJ=Eflwe6 z2n9lcegy>A&P|Cf)=sYT?B7yx-lJJnW=6qdWnf#j3q$sA$t(=Tx?F=2(gVfGS4A+D z*)L@u%=X|qD$Oo5)kM8(A%1qTr^>Of;k-du`H2X@O_bR!R0yBzNgU*~9JCPg_T&n- z1j8;2uI7rRe7(AFC(r~!flwe62n9lcP#_ezSOwzmK%MQvfQR#(cRL9z5eak|&@c6$BvG#fslz{MyK^Y&sc zMHCVWgaWUD0!okmYG<|dZp!iTE3QZW@=W2#>FoI`iQsXxw0p8UJ@YCE*DAg;YxzS2 zW>ms+Jx6IdU&ohLdcvjI!ih_rcDIxIAJMgNzhLTUK|e5$Qo;M09CHvE7COxa#nM8f zw*{Bq&4t`>67gNI3adVG1vQ`TFgh zU@g|=8kCSGUVK=an?ih)@SCRD~j6q{z(f9E(^@PEetPfl-c&Iq|=&fF8Gix z6O^9pTXmH+E>Y(5YS(*F&4Q~U#~fr#m1&aml%DLXz0FA~b(Dy2b$+^w-il+>VA+&y zNv6xg4Uf2&jKXx!97W&c9obtm`9==h{O=HYhq-T_IwsyhyTiphT2shvKBv7m$|J7k zZ^1G5dEs(e$5E`9+m6?1akYbk3*HtVn?PgBj!~$XHB*C?bPOg}@ zRV4+hD`nB3SbCs1-S>Ih(`fjF0--=C5DL5w3dFyQJlj>?pDj})3I#%eP#_ezcm?F* zD~tj|fwcm1ICU7^4Hw0}*e>Bj6P;YK4^~xDu)0zf4T_})iqqo4<~?)4J1pi2bEZ7Au(qH$-1m9gYBKDhKqwFjgaV;JC=d#S0--=Cu%!a=^Rg|~ zta9zE)(bJF3#lW@2nDXMfDlsNS6OVAG}+k)?BqJn{;gO+ix%=uCA(|}VQ@>vP=%Ux z%;#^d9wBwHF4v%hG+}BePQEIFsmxB0Au-I`l*&P7&&@hy-X<$lNWChUbig&}!PFY+zY0ePFSFl%-X#jd~QOxB5}^P2Q2cHIs)!DDaRJ zIKJOrL|-zn3LVBh@}3m;Tj#P(n@f)6j!unyA<3wo(kNnhN4_RH>P{U#4Hr4s4sX8o@%asw_M48<}g>biI3{4E#2P3O66zg&gN=OeBCtnr8 zRA!%U)(Nj0?%!IZ5MEDGcA3JjMMAzNu2GP2DSW<$voKF$-XhX02hYnIV{Jika5dix zrZW3Q%v(y_82k?4ivquPdK6xcB7VWd83leb@*QGmZ#V9Xd%{IwT8#{;Nrtc*X&OZg z@5t9gN8PEzCtN~-P#_ct1ww&PAQZS91!8@>#kELVkd!zc%KBDlu)gz=U2tuplPmUb zt4a!1SIVM6vGhQ3a`(Y@g2_#*ST1NEOsJ<`X?DT23MOMG*LmixSV8_3n%)|4@Jq%} zg_?ED&mBxcA+;-I(V$qGFf|k>UlqaRrd2Ei84?2muG_~_MYT62)URw#S0%rxkz>Rk)* zvx_}dj(rXDhUG1O?oekptKc!$lQ_s}IcOo~?a38v35H!5T+J0rV>aLt2n9lcP#_ez z00ra%YKRg-f%~dJ{2i#XUAg_)GDV_LAQV_B!1s26P~h?u5K1a;O1!(+l<2Cy$hF?! zFg}HIkz}>&75lddk&gH0${OR@g5uz7E||*f1Q`;;T#s^)**IuHaHuCKyATV6p_2sH zCOWy|=MGgR1*QN!f+iL-B_vF@ys5 zNP+3TKcZqCK^mN)!1Wc7>xV={C@@F?h1Xy0td=m%58o_V#n znmEc|zA{t!Lj-12V*U29)bOR1o^WWkaN<%U#U}MXqH7+0!PL=$eqbJ@g7-B!<{&a0 zU7i!RR{lnBa}tHe@A4{x@TFYFBJ?VvGU_MYTxa^e?3+^e(=`^laoex7IhnqFRC!4x zocyaWg_pm4{dVJ}>K3eJ!XwLz4{LK%@GP@48w`nIL~jc5V#=*|mvAw>pi2Ip$QSm| zH*NUH9xv^&<4;)dhdr_jC+o2IPA<}cRg!fb>oarE6-8})|D=Tlmj&kD7KWEK%4~a9 z(rL{#7ko&U2})1)t-8t@mnidjwd=j8X2DgFV-7N=$~4J&N>BFH-sU8gI!eU1IzQb- zZ^f}`ux!eLhDY2>Mq#>Vj-qe!j_j?Od?SbH&3YrYYt!hpBQ7BzCf?yH94?-> zrg(R~glS&3y?J=HR$0IAJyThOXOyt!~yARv@Kdks^iP0rUC)b(wZ>{7-3wg~vEs=zt+>$XgQC>PHV*S-xlhqsq zr(#{MK?&)B;^eC$n9A$~84|;Y-V|cLvN;_dKp5(kW*1zW=;VrdTUAo9x>6PmilqmN zlRrBIlbcqtT+qCwoIofL3WNfoKq#==#lMR@+br0hEmI^41ztY|rgiq~*LZY%e-)7Y z{o(!XAu50U6tH<~IE?k3kL-ef6P;YK4^~xDu)0zf4T_})iqn1H2NRlb1yU@F_Bhc* zCs)kds*-}$m9l71EIm-178f@EG)6GFX%)+~u1q|ie-NM?WHt_35FF}B$}YqPLV-{q z6bJ=Eflwe62n9lc2c-aO>x)PHyzFe3Xn(d$w@8$35gVbQz}+e!r0?96XjaO8N+;KO z_QAvo%6l}c%FKv^UowU&)U0DZe`|#bsaFMW@XxzU4TalRMKHN(6$?Rz#BjrXuxf7# zv0vGo4i6v<^-8k~u2sBe)=sYT%-g3{P+12viaq?2F;wx~tV8B)G6{v$u9QWCVrioO zt8j#PH?3m1{k*OBmXiqC+&VA>LV-{q6bJ?GsRGlR|K(IK1543i+`K`K!t_=@ioVG^ zvbSdPa0mq+k^*tR-Q;SHyeB<~`|Z|ET-?twM!t|_lR4^;BUW+8F*a{oLO_bR!R0yBzNgSMR6mqVJA^Tut z6NX}4u0aXuf#T$=BACkT1Q`;;tdnw(**IuHaHuCKyG+vT${uR$uL>eh7p_r|@hP0S zYd7}EY9{9(f}1F_Tc{B3rp3_21Dm&dp<`DL#e$TE#BgWxmgF0Q-vNA4;MY!%!pl*_ zFPJ!^z;8yrLk#Wh#(nV`yeP!__VjX$d`+C@a*mU8E>494p+G1Q3WNfoKqzpz3dH($ zi))j%ASrP?l=ZF9V14H!yWrYHC)e~HlfqZtsXBb%H1@#|Y2J6@d0AtuEhrAY=7Oor zenIJ$EBV?X+ zN*o;54OiR>ZwYP{z_SVYX zW4~*CdTI=xP~f1z(f#%^6p?{t=rHb)_oTbNpKR6J;mSf*-fhY*%?rieNGI1c#TCxH zi{i#sDkHcGvXt4)D1`fIvD6@D9I_9Vj2QBAU2efjND~&SIQgmwrZPK0hQx5QeK11B z9*rbr7h-`h)GN&{xHi$r)jx0J^S24n=Xt{Ovc_0jP#oNOyBADl_S?@}_=TaKr0ha$ zAQT7%LV-}={wwgk``p+G1Q3WNfoKqznz6%cCf+?42Quam2PAM7^!w^Z!z z_#C#C%qV!cC1Yq}$o?%^g`rrNYfwUZpg8%e2&OXo1?_`%RGM9As)>5nLj3GvPnBa| z!@Ob16i@igXOx3UL!Q=AX16#YcCIIJFel-86ESa3u3%F!a}b#O!9jLQi!~NMZMWRq36bJ=EfqSBWP-o|+L|03lT(N&!Ra3CKQWg!0 zr3Z?WzuP33+_Z{?AVXr9_Y0MS%*H_rf>D#nnS{CWf0ExKya!jDZ3CG2n9lcP#_ct1ww&PpsIjk^;bKqB}|nF zmuc_OaCPrIns=Xtr?TQX|DC^*^F( z9)7{p(Sm+p9;Jf!H96)WG8|o=6Sh|VMsITxg~#vmDueK)T*e~wDxxy#C*53U`o8R& zQuos}7P@iUue3RtzI{}ANhF;7t1yL^zkL06Vey?@qywuY>pIqF=AbKz z+W7uS3kxm_%)KoPFKd+9_N=7SnrkljkS-IHp6pw7l{GF==JRUTdr{4Tt0Ko7WK5N5 zlJk_F?5n-aNh)=eh;Ma%x{Kb5W7A;Slx<0-%fk(ixR;E=bk7_`-{c+HTQm7a4%3_U zMr_xn(Q8LsLO@Ks!&Nw3y!x6VyEHG`-aI@lJKA=N7C@QXFh`b8uW0oUj^vL)UGA0(m4_9uhyEZ<{&r~>v9cBNDmYzUlqYrW+%vy7)JD_5c`$Q>F@x;P_Hz*;Mznd zSIpb0l7iKhvS?5&Jy4wd*&&$Rw2I||<}Kv}LV-{q6bJ=Ef!!|tUF6wj!TxNSB2g&t z`YAB2vtPf)qvQLlfaLEF?{5!L`Rk{E&0E7^tnYke7yO&(SgaV;JC=d#S0--=C5DGjf1z1~OJmTkNXS+oEvt_zPqI8Sc2n_}9 zRskV>=cYunQub3ixz4i>CRR}1qghpEMjZT-F;t;u9rO8HD^y6mDtLo`-eqbi+`cM; z$xW+R2r?vw8}5TudsB%0%I0)<0AZ+Cnq6?M;ytr=a-C=1KDC0%I+#)H;g^h|isxn> zGH;VfD5Q3!EE*I`6ZKz(BgDID70d1CZN0agM9AjWfgunIgaV;JC~!{|nBM#^r+OJ! ziVoxE4RREwxB5}^P2Q2cHIs)!DDaRJi2Lm(S99b&=|S9Yw{GI%evUEng(REIQHLZs z=QcYv@-@*>!j6MtVP*?Yy8FeE>5}YG*yNjC%t7&5Bw58)*a&W-%x6zg&gN=OeBCtnr8RAwj0kQip2l!MI1K?{OIJxSSRl4e)- zP-A~p5P7W}5h9(}^yxj{OyK*QNq%qA=bC2mt*8>;xw0YoSbuUDijC> zLV-{q6bJ=Efy-4O*0)<+o3sT(zVc4h;RC0!4~9td zz7x;O8e?riaqu-4Ol9^9+6U{XG`rAL70j@D*Fya4Vo#N0U&DN%ZZU7A%5Fv+ay^NI zoR)(c)Qn^HZ%rQ|^Q=?i;J7Z=pm;T5S}RVz=7OorPLLrn%=IV-nT>-gj9hT2Cn>uS zyE*vW_(kFAz-pe4;!a%CQLxXA-zA3jc9)Gs?oc2U2n9lc4h2|=UKC_tH9CxY%R_?He0uM@oxZiGaIY-`;9?JbzP#U4iyG_{z*DBZ>>ExQGxWborQQX){ zWdv72mNL5;g>XMDmKwy2L-xUv5kp?C%Pm+5X~IGkCtnr8RAwj0kQi>Z4@RiiqmiWS zLM#x5dZpO~*Csl-`sZzY{x%`{JWqID));FGii10E_kyX+e*1X~zcAF3lwF7ogaV;J zC=d#S0--=C5DJ6>p+G1Q3WNfoKqzn%1%#l^O^Gi4POkoau-ok4Qn9<^bJ$igqu}9| zjG>7k`?q8jhGJc=K?&)B;^eC$n9A%Iv=7!%X?CHhChA=a@w1CPRgQfP^M)l;JmEK= zQ4S^zd0I!A-QtAUxt_$qoP_61#JoMZf=$KDL2z<4S1jf0h1!8oAQT7%LV-{q6bJ?G zzXI`hpw4y;_h-u#i9&%;AQT7%?ui0Iot>K!T`hHT#r|zoO~L9)Su`k?9w<)!Zj)eg z(<&B%42fahFH{aP8wV{24)r8u7h-`hbduoOL?>6w+p3a+)s?bnP%J%AobpUtBoR!D zGpp%o4hgT7K{(q3!Kt34>_TiH6bJ=Eflwe62n9lcssf7DU+t`xFjXRqANO+!{)SZ& z;q1}!=gIE$%&Q<=tN6;SI|A?-6_yto(3;Kb1 zlnUP02@048oUk8H>=Xh{~v+baS2Q`?7CJ-A~t8=*Dfo z(&l9P_EF^}k#O>_!W3Tq^7Y$|m#SN^mI;q6FFvfzO~JFw&TKFwh7r9f#EU7n`b-rb z;00Ck_e8$1hrVgUNA`GWj~#!)fsX(egRUrQvsGUNQ>PJ#!R&lXqlq&Ey+7OmEg3v0a-+uN`p- z0Wt9oSK)B+>T8PZ(!6YY^XTM~iOgRo@v6*gn}h4(%p5dvl)vz{_}I*f%c`=XA>rP{ zJJqG0Td+1saj@0R!Ese^!fNmhUAF;S-4xcQ6s-2Bup=cH*4unxl`MXjX6R}ZhCnEA zDGH=ZDJ1F$1ww&P;I&Xd=(4nWrU^(34v-h9=5O=R~Z( zT5GbJgWy!G%QYw=Jy4u{RRmL+oghPE7}1+T>{m9Y!vhFIz0&N0YZIMZF>k9%3RYLj zqCv6rKymVChhTEkDwYeHx0DkI1ww&PAQT7%cDwj@k!PC)`?F<=M4`azr@*w%e*GGc zj_m_+1V7|pDj})3I$$E1%$3UHzk?^v7ge(b)J1N zv4Zj*&8jjp;^3Ezp$awYn9tu@p+f3a!5jSZE>lC{_Eix~Zd%1ckRdVLa38GNn?meY zHmAb_2t&Qn?1F0*@0qoe>pb)JsTEY#!Hi-Lzhn$mJU8o*d7Df^A+;-I(V$qGsQ)S) zA>K`^SZ+UW>%HY9LN>P!41rJ}6bJ=EfqSaJ^yYs#)yu$AbQm{pkfSiY)sLcY@{a7S znLHdqfrq3(+;2Cznj`N?aldsg5t|hkg^@2L8P#bTMGWuA*F;C%sl%tsMew2-E^)F) zVPmel-%hS+MkyJ~J5`4b%W`D|H&JG{P$Aqc8AB68_QA+148^)!gA&pM#mQGiFqPS- zn{~o#-cVHzG8+di2oCimWfx+BFm#gO+C(Q;|Ga$?^A^$PdBXFu##mcW9P&&%=GqIU z<1;y}V!5DsOF5f?-vNA4;MY!%!pl*_FPJ!^z;8yrLk#Wh#(l;5_Quj31*W6tn?D`- zn&>EYXm1_)!zC051ww&PAQT7%LV@ck5bN9P>E(MT|MWIzAIkbxkfXlykzH_YqLZtC zAFQ&o+$;tMcgN?jzhg$h!z~#@6S050Q$)%dHx)An!O7KJv1BgS1rHz$u7_e-kZ__3 zCSxbpdFHKHL5mg^d%8Qo^VTF3QoB+X4T_}+Q$um`RS`^XTE#+;Au-JR z$;v@yn{{4O!Hx4UdCa)$z;KqwFj zbSN+_T01x5~EI;{2<@yOm@+$|YH6=GIjr*gr>G?C0h@G92j8kCSG zEL3swRS`^Oc7hCv;b!|_go-^HNy;w70%53Enq6>hqLZtC-p1!|6Qa-agy&_Av9_Q% zxbt=|n9A(8pSSP}Lp@2^h1ftS5DJ6>p+G1Q3WNfoKqwFjgaV;JC=d#S0yj}W2>fZ;e>@0T`LN`W%di&2kWRb zyUmMfIA}p|s3$4A{QvBoF>)hE?C$mKXV<@6 z4ji~#8#qAl57vRJe1ty2I|7g3Bj5-=!UjHoBgcCThkKNVA2rjf(E!~oiA@b9po%Td ze830bo93{$#TALggkg{b*RBn6<-BbwDWI;DA)p{5ra0A^wn-vDn=`xVX$}Ri9UzaTWoOPD4R;BVtxg1^}=iE#G8^5?_d<1=4_ zaBbS(hQ=QvFi;8i+XrdcUsig-q1(bEmli3Qw7*2xJp6*Gqi6cFc|--DT5_yGWO#6S z9EC7lM%^#hSm?%WztQFb{r64dB~ftl zufh@@|M=&-&P&xTSYyHm$md^Lb5rn)*_jPyg<(c-3h`#j?LJe52lkmN`96_9?V;b= z@T)z(*yE0`u;7b5stYIEX7Phuq{DVe_I2#ftU>n_t?|c4Eo`_fu=chAzO*PS_D7`C zo@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_q)|si{G#*AUGzm9 z7Y&w+vTez9dw9Vk?j^Hqxo6Iz@AA&)s7f>@F7`hGE>Lys5Qn1>i!H$&JwBFVWt7P%JG+?Mv7}8RKqbN|0Qb^X33Zw$5 zz`IaD=rUfeY?c^9f(&w9Y5&$r-n3BH%*zr<=*cY^plk8cxe)8G*1D|bAUK6}xquQf zVv3WmiU7sz1eq0v8NDgQer0PqJTPHsS6W?g?b;w$&fBJv0_sW`0tzx>ijzM(1jtRR zAP1Val#`YUqynixDv%1?-R1v_yxJ@{T`f~4N(J7Z0?Ru4{WYE)->w2u|9yD7J!IwY zPXU{^X6LcJ^HE*!@7f?&?t?Xz6i`>n5Kxd2Q=D#nA53V%6-Yrg?Qx=OgIqapn@S3( zD`f~M$cQOUn+sci8zVq&S_N6wm4)Z)F9MW<%Em#@1c!E#*oD}%R3H^d1yX@jAQeaj zQh`+9O)0?I`tX*&FT2_$I$bT(3lfzV#AawJ@VW{J=?6C@x|On@GRSqEeK4_t>K@Im zG6Qk&O9p6~8an6mw^pc-dROoT{(G0Hp>X@E2#}jrK?pJ{4A0yLYxbrP`<1Qf@W6zj zU1@c}wP`;XI>>dMdHc}{ighqh?BSOT(6mp6PMNpGBotD+QigznbgliTaD;d_t%AIK z-nM(INrY@}9RO*mKq`<5qyjgp!1CsQoa$v_DLRdtH^^DGyw%U5@AA&up?)MloUr2J1IqHxU=iJ3k&3sJ^6nTN(#q1U;gs=4!4jyk5axSzf`(R`fhOjOdP(nsb zaq?9WpqQN?v%;|Iq#RT>4tgdyw3Eaxi!^t!hZ_6Wg2*#uPbkRv6wcbU8~b55lWP#c zU5nW*R0wy|0(9+-&D)dEu`7pyAf;Jhc(r*;@(UY(1NczJpPim%UuF@1X5!2;{xb3_ z+SJ~j-50OHLs_nGA774{uZhRGoa5r0%TuX9Dv%1K0;xbMkO~}Efn48S;@Xr;kVG7B zWqm6&*xvc5F1U7WkZbuDlfoD8RGmL?n)_ggwC+3cJk}VrXNrTbxd6rN2igZ4sIf}%O=p>XZu~WE zYHzRESmsUzQh`(;6&O%}mFS^NCRU@_RLi4DCv*3$9%oiYb@i}iR87O$TB?EMA%Kj}`g(0lV1(c8xQ=EKN1Sn=d z&_38erPYO|y4LPmh+kdosdDUVST`)0;sw9;jB>DO$kVpP>=q})uJsfS)+9XdTF%=? zSFo#?IS5X!<_Z#DFVs#;1yX@jAQeajQh`+9_7%wQfx6l?JY6kQCQ1cTfm9$BxDf?} zItMo;hFTir%Kh7>ngZ%d83GD2Vv3W$+ay44S_L7 zgCw|iZICPHZBt1Bb)^ge1sO5Lsm`=b5&_zr*-cM#D0uAv;p~VBPVFSI3$babKq`<5 zqynixDv$~^6;M!rwX<8oG>HIz8|M=I&2~wIvk#U(AMPHX`5J_4)BZLz{t$tIO1R%X zNX!1R(hCmV79P2@NWrB2CA#L}7fc;J)1S>FD)`irV+|t1gUj=Xt(U*k+nPk-@w>dw zApD5S*o59kG)Db>nCnXaF8ep?e!0d%H*WilHW%o>ZyGO&f|Gw0mhkw;Ki_p;s&2s= z6Fxvb|I(V9f@jRmY%nVfGkQ~qH&br+nJPT6&s53xiTr5~{nmzG?eWDPcYK8fU+hs` zIN3IfALJq(wo9_FV}E81x~FK3KR#+wBAxbJYr*Gql^}X@>eUr% z98uQuYS(*H&4#NX#~NfzjcHQzL{Co5-qs|IIwIm1onP*vFXFgpuw0aFOQzey3m$PV znPtm8a~6GNk=a^jt@!ujI0*A&&Id)ZFrF~}tgnZHir zRaw_IXV=Y{HE7|8fAF^WlfjD1uCfp)xOeUQ=2FisSi7V+*y`r&xGT7Tn)$%cZNOGH z!P=C9)gBFYq{OE6wq95zi{GUILyf|amI@q2fpU~WvW`?B6-Wi%g#tpC@p5Ie#26A} zkn2kOw^s6|g}P>5mPkTRZpi>$iz zO(FIxThrlz2}8Tm>Vj+62Dx(HHkA}mSIQ7jkP%ay{MjKuZdwI7(7dIbv{WD!NCi@X zRN(F||6kVkjQ z2Dx${tf{1cx>AOKf{d8rbnE+ILKCh)3bJXB6I~nR%6Z#VQb1iPLqI`BOmW&=*!tTT z0dmtS$g-|1JYRnipd3^-4tgdyw3Eax#HOVJsX!`_3Zw$5Kq`<5qyleB0oK-sxBPwC z)h^NLYMC-oD)2595V{`Rl;{e?e##)%b@su;3aWcFyUGm2!7mx0X=>=4&)-_1Lh4{6yD=5~% zK(U8kGCk^*_Zy~x#^c~5#1_uET1ak$@O z%zPoqMdqkOQk-)aJ2mq)F;L`Xdb4>eB%>KFaj{3RF*n@rAlEXZl#IN5gePunqcUQ; z7PDKZ5bmc1>DiQhFp>&GSeFYZAtR z=~?z=7V&2$&Mf0EBfp|e?d{op<@)y7(me<)51wEA>CD%}K(SMM8_1t7sX!`_3Zw$5 zKq`<5JWYXI-#$$*-@EvyFLU;-tZxN5+B+ZB1=p?(a*gkU<^F9!^mV_K=ds41JyRU~ z-9Z70*$=c2Hc)AGp{b@Z8QWb8@vDnHRgQfP>xFv3{;f3G4aA|=Q#hz;IcS+0IA{OX z^bs|^Ic|Eq0`ErxlUM?sMBii z5zp+cmwN<%ZGA>+OrKQXtiXf&?J*RQiDl?C?wR+b*S?=zs<-o%g)H7}sxI9N#oovu z*D}Qw&bo`@#x^P=xM^gG*$ouJ{j?x069cF0gB2r&Jg&EBz7Sd6NYxB)dknC4RVdo+x+}(LG*Q=@I2NSv}cNgJ8w?{6tlm4-oh^o z?If`av1zG5Dv%1K0=KWg|K5HJ*+D9h3Zw$5Kq`<5qynkH4JshiJh&+_)ZQT1_&(T6 z_HU`!-SIhZD;X$wxFrL0ZOZ;FS%o32%LSB>5mTIeRRkzzKhQqdK&91%rn=VdT8Lj= z?5T3>Ygjidnc@Y%^^9_`XvovH#q1U*#IE%e4%Q?*?^@2=M^~_`m^lbeuI367UoX^7 zO9fJaR3H^d1yX@j;Pw^B?}577H9TD{Qzl9UQh`(;6}S-vggOT|C5BoW_RLi41*-Nc5RR==WSC-0d=Je z0Rq) z!Ze8he;el#{LOYrgtHHpKOgQMpZOYuYt#NVH2x5Qfl9dFK1j>{veF9<-4-6Xv`E3E z{Uy5Q;TKFDJ=34fBP#gRl4A`b!-LE7h^?2u)7zRv;qkk?&mjDW%h-h8M>IzLeVFS? z|1SGC>VCP#LN{*vjW!qPzi%2ZiGq`V6_)V$$3Ne7UaD@v8WTQ1KL661n}TP|&TKF% z3^RIDh&NMi_n9g@u+LP<_lf*z5B=7LU+wY59(R0&1z+q@T{zh`iy!179kxrduVa5^ z4Z5djjXyqWVZ&vCwYLrMrA1k>KO&v>Tx-GSbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hI zOpR$$^F&Wh&ED1|jXEOY7oA`3qA%jOXs}$AZA+%x!wVj9FPUY_J#!X)mv?4w-Q*W? zSl+BJ#P(zweR9MR0&?P=ufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5 z_>;kk%dWBzD7bg+`{q*5Em*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGE zC5zvs0Yi<#kd_J@MS*gZLb8rjAQeaj-h~1}m+^9Cv&0w@WRUAh`?pr|riHp@UY1Bg zPj1NoU5l5_g;;;J)@3yZ!6~fE1(c8xQ=EKN1Sn=F$gD8T=uIK^D_hgyfeAyq(&~b1 z*9N(A-ZqsKP*=(jP>>N*oc!4#KyF$EIncbNoU~LR6-WhAfmGn`F8^QT)n>uzYMC-o zD)9akSk~F^ukq~ob`_BN@59^eAuE4>3fR0gJCE(1kLrSd*9N(AAFQdQfVxtKfP##e z;&ki#U_ukFKnk*Hj}u)R1vr?kf^*MHbYZ^ z*Hu7BKe#E;t(5(gL9XlUgNYSX_h@#N8Hj^lGCvp0pdN~;U5P5Z&nL9Xk}+mBXItb>7K55Hu9rhPJW z%DgQmp^(~@G6WQ)YwbUUBgDID73Agfw%uDzB4l&x07y#(Qh`(;6}V9amN)<7R4)@t z(P`YgLC&(}t$r4Lmv?4w-Q?+z3cMu+@_u`ft2y(Y^d|1Nmu}*4zsH#QLXwNjQHP{B z=Pq_?=4)c0$P4r)=Y0(ugB=G2VP*?YhWo{l=~CSy2P2y>gmt-q5;9_nldp;Z#q0!`6^2zO<)E^0&@;iIog{Wyq`8Yd z)Y!ikM4lmgLP5r-aMrHf*blpzT!RSiTFh>tLb#h2plfez-kyYxT{#p4Da{JQtIb=I zU)cB?z=tya?DQ=AGK=^#6K9t3myuu5ruO#izIY8D%5r`C_;SpAO+3!!92e(Yo=OE$ zfm9$BNCi@XRN%M@sz70_RdFj!L@6HT+6?h6ux+;>imJz+y_IX zb>E5SvBsc1QyhHF1t?}e&_38erPYO|n#K%kcP+%PF7{M8_BE^*>IL&wn(PMRQ0pli z)U+J5Obwi~e{1>(nOB_(2M_CV0mZ9prnTbaYc4=BJ3(fJVXa3wsB9cG&Bz6Zc9PhI z*ozyV8$XnNoLJ4LS=@zdI?L>Hg*L=R;$u^OGmJ#(F~ zEK#S`-XosbTQ7Iwslc03An&&qxtufaNpIzTD=3Xn@orOf!L@1ZjSO-vQ(WPzyC`mK zqcVbp0+J!w>Tkot*3CXCgFM4a^60=f?dVTL2z<4SCII6p>|p-kP4&%sX!`_3Zw$J zuRwke)YY!x>1vrWQ7VuMqynkHjVK`0Ik+h?)Y2eV?%y`m6i`>n5Kxd2Q=I(WCINEO zDhNSlg<;(gvUSr`L6R)bqm&*@B#Aqm)6`AJY#ldgIQsi(VIfNnR2_&RN;Yr zrb@m~JE0k1zJP<0~xqVvp*=$+lViAQ$PdU6Oqr`!j3MJw9prs3qGf-1ksaIudZ0*h_aqnyWX2>He3xk)*xeQOp}@?dU9&^wkB!R z5fQ)W{Bjq45ywS?<)Um`GTk0t@Q8cKEL-lGv*^3LGkfbMzmUW7W_=;HC)4PYBaRS| z6YqQ#&KIw}rl>C6%XTu4F4zBjbuo8;oy4oMu5H8)?lx!EAb_Uvw)m5IC|io3rDt-~wvq14Fj~TirA?*ETy+V$*tCFRYTq@6v#wMqx-x1yX@j zAQeajQi1oVfY5ZjT-hu!hV&Way3+owmAq-8u9=r5lF*Y|GCv91lWW*FFUljq0*$FZ$3^RIDi2cgeba-IG(5|$);M%o5S6N+*OX2HOx|wU6nL=>a zVs;A^!q<8V2ag5fTxgqW1UHvUL24Zw^h|JYxk5leMoe+4)ohXo(B{l4hk_7fRv31G zaCXE5r*@Lqh1j%IAQeajQh`+9s{;A|BEM=e`PpeQf6}J{?^%Imo&BCA$lh;Ift6lBB{r(53#6Pj=ZQjkr1oaovhSI*m}k^<^V z83GD2Vv5t|!qy#f0dmtS$nySNc%J*WsF0QlqynixDv%1K0;xbMkP5t90oK-sxBPwC z)h^NLYMC-oD)2595V~&fd{h@)o5p_1AlG&F!NdykcYKz&8XWwR0h*?U&iNdpNhqXt zr3?WD>6)pbIQgmwkegOP2r?@S&)f%V_NEZ~m96RUz=WY)X?4N1X+Ib`$aS50`_T%D zbuduu;g<~1v`>aknYYCx6jHlVhJb=}t^KEPgm^ctg1mg*wtK5dglujd0BNZ}Dv%1K z0ynC_^5%b>>SbanI*pq*$XT|$)z6~u^3Lq7n>-y-fw!bU-fu5*HD}(F-o*X((oHOz zOBcC#7a^JXLXwMA=#UiW+{I4Kd`%1#d70j9-U`WRhD%)R5p2v2_dCe7%qS%zFCXEF z8{4Rin6Aa_7Al1MX+e56Wgm>B!VuQw0!qk;DNepB0u-|oWL6l~4OQi!vT@Ke!J(Zb zb|DrMhCvcsyEe!*K5sw7uc&S@I8;q{l^G~_>;R_YkiD^adlI^K=~?z=7V&2$&Mf0EBfp|e?d{op<@)y7(me<)51wEA>CD%}K(SMM8_1t7sX!`_ z3Zw$5Kq`<5JWYXI-#$$*-@EvyFLU;-tZxN5+B+ZB1=p?(a*gkU<^F9!^mV_K=ds41 zJyRU~-9Z70*$=c2Hc)AGp{b@Z8QWb8@vDnHRgQfP>xFv3{;f3G4aA|=Q#hz;IcS+0 zIA{OX^bs*Es_JD#~tSeB@Mt@B!{`DXT3ljM_B;5{fX<$n7T;p8R3omlPQ&xdE;lbZM2=a)Pj zd8yunjF82;#z=hUe{r5i0iRB#B*!#e|_yS_&%86TFAX_1t|y1o(xah=I;2MxhzhIbxQ{5+LZlUvI;|3 zmkTH%Bc?dHs;B_P><8Kh8>qCp&{WsjT?_H6i#=72T@C9jeaY_~+U$l39&0^?gZ0J1 z^RB(IdE1af(c%#Ewopl0Dv%1K0;xbMkP4&%H>^N@57gBz-sx(Y-a#UH2R$C7MLkFz zSw<@GMimhHayNn$WV1!ZiLMQDjql&)K3GBYhx>D}#@)+f4vN#}!qy#f0gBlVu@6Rx ztqn{C-n7SwuC==s;#U`YsvK{UR1NEe+MTIE1a~cFw@@K`t*3BM({j+W+`oNv1$%;l z3xlhe6lEH}e?el7(zt zPb$Y=?1#pJXyJ%|{B2OY2sKcN_0n>_ZGTzm1($9Mk6hZcwg7B@iLQnF1ye`Q^k?&k z3O=>uScAwAuTFdUJH4$*6du3J`wYU5xQtEceMDo_--o%bw4d;A)ctaeg>KyT8*MJo zf8R7-5(Ov!DlFmgkAJ@Fyj0zSH70z3eEy|1HwDj_o!MYk7-saQ5O1d3?%(8iV4tay z?-Tjc9{Q~fzuM!AJ?{7l3%=N+x^S{>7C*>EI&7C@U&sE;8gx(58h?D$!iLKNYi}Fi zON+8%e?&U%xz>Wu=_*0=Sch=82x1n!T+_8g)d( zFFL>6MPI~m(O|hK+m=kXhZj8JUNXy;d*&?qF7M3Vy2&r(u)JAci0#QV`s9cs1mwg! zUxo9F(k+!*Om5ft>jG$oAtJVLQihV09}ih&V^Wi zwbo@d2f-<<%LSB>5mTIeRRkzzC&;WY%;-%a_A6V{;eiQ5yVB}{Yu5(3a^5zT6i`>n z5Kxd2Q=I(SAwX_g1v${XrJQ4%9J{=?RGq%1X0n%5AQgDJ0{Q5&Q?MFFY*K0HcAejQe4+I1Ar>!f*E7CDEX$M()gbvXz0hC!~}2Wtvh zHQ#JFvt5kE8sFpXQGsuLA53T>)?w2gu3a1C%6Z#VQb1iPLqI`BOmW&=*!toSAUCao zEbGd`^Ys@2%0Xr0pl5?Gl}?mgxnF$_rvMG!=MV1%&j2n-bkh*-shdy3RhBSV47=YkIQS(4G))bi z^Z8pVR7kxm_yYU4riQ}pt0F*dS_L7{6yD=5~%K(U8kGCW~!Y+{I4Kd`%1#d4b;Kysu$nu;ZX0 z%xvMwaKAV*U5Y(|O}^E|92Bojl3lFq&eR}+yB4!ss1Ux^Q#g3MQOLQ_rtE`}O&G$u zTtEpKF~!MMMFl8kC&;WYtU4(Nm5qa*2@dTfvCAUOUF@O8{pbDPtCNC^nBwGWeiERVoglNqu-2m-R5lKJCOEW{#4f~M-1r;7hcf=`^ep=_ zi}*9wbe8d#kzdiK_V(<)cnu!PxK+SD6&f7el!&z0oFC*`{>7xk)?E}gwy_($NgN%->=q$J`DsCV z_Qv*a8*(U89B3a*sK>o<3bMKAoM;-8aggge^H!{&O$($g_Bd}p+7IEmTQWe?)X+Ke z*6I;bhjqDt64EtOLviv|5ulizAhW{2d+WY^kfs^A;LuJIyAXSE<8$MOvX2w1`812W za7|~KeQx|UZEA0?*;wXI1yX@jAQc!;U|F;dcs{{Jp5Xh~^(C>7xgHt6D zf|Zc2S*YUVt0F)#`!eYZUhCyi4k{Z5Eh`2J4(%kd3$d6m43gm5wLz}&d7GcVEr`C( z6Q0K!gZ4~us59*$*GYgLo~daS7iCu`j4U^j_^DR`VZ=s3oAr(jkQh`(; z6-WhAfm9$BNCi@XRNxv42uTJvC5Gx5ayI4cZpS_L7|;0%}P^& zs({pI$9{4vbsk&Aq3U$V>ljYwTD{fI|Lm7A-HLxR509&{9P-ym57t;88mq2uL4TV^ z{2>AZ6$-y(ke2;rr57B!Ej)5*k%CG4OLWb{FPJ)drazlURPd=K#~MV22bbp&TQ7g7 zw>62v<9B(VLHH4uu?fA8XpH*%FxQp-UG{I({c??kZrt`8Z7$G%-!xtl1tAj6q;Pgk$8+p6Rq}lzf7(O8wc%HL ze6hzJUtz%)dsG)rw$0)Pxk!iYlI-i)pIL+MDO%%?k6PGpSzzsL1AJ*wR_u>Rr#;tN z@Ht&2h@PB!b;TM-l=ZyY_1;vo;cCdS1{qUhn$$eelT)*|HA$n6i1Gtq~N8C$h*>caEMc?I}*;_aHg&er~zoO|Y=6-(aoOoyL&KIw}rl>Amu}|hP z$R!Kex^PjBy;!*uAYP~8Y6l0`)WUu;L_v4#Ay9Dd+V_vvUP{C+N#X3KOHMS+RyT@+ z&lLhgw*gz-Y^!;YL|bXs7AVZU7z)z0c5iDEh37$K53YSTLpr1asX!`_3Zw$5z&lq! z=s#YrY?c^9QVnulY5&$r-n6h;AsZ<41toWi}tuDBBZICPHZBt1Bb)^ge1sO5L$)6npTY>z4kypF^r>kYkM5)00Q(#$VzrV(_B%u&(~iBCkYkM5(~LR6yu@a8sfy5c?^ET-VtL6Dz3h(d;TS z5C^|xfTpRTb3T7-g$k*61(ObQ0X;*#!PGcA`l<-wF*`wKh2fd|U`8&4x0A#!#A3oQ zNP=tAelT>9>pJuHqZQ;|A!Iib2DfB@rhPJW%DgRBkB~a7%LSB>uC@OZju0QSzkJ@d zdsEWK*K2**;&ptJk>7`25NX{n<$0_zXwMV}S92Ahn0>if7rfSbl!MB~LC*w- zc9PhISWFlONpS7jAlLZ3{Sd#Ry2ap7HQiNapy06shBpeQ?B7z7FobovfD$rdij%9# zNq}PZ1I=3sy_oSgfDdK-+38vKWft*gCeAG5FC)LAP3`U3edYT0+0s1-EDxSv{OQcs z#6YoAdmG4~E~!8&kP4&%sX!`_3Or4LT;D!TFW!yh_Qv+X8geLF9AY1=P{~N8f*;qmYfMIW5-6d&31sJd zpcqm&wqG)D#dvmUi=Di{yj5eFGjB~oF>+tZ5Kxe=nHq|duZjS*ub?>;yq@`chh}dI zv0vGm4v&i)pBq1veVka$r&-*Ei8IUWbK|dRQ+s>O#xi#*kP4&%slb2&%RjaTJg?*; z6Z7aa?wRWZR*$b~Gkbf@zA|?zaCHUpetQwgXWoq6Rt$12 zQ(Vc|+4+boBe-dV#_R?P;cm$QO%p?>?1L2}hCHszEm#TZnuRJ(zA6F~vlC=i7}kv` z<)E^0(6VBn;LuJIyAX>B!ypN+T^r;YpSSt>+k)upJmGn)F=)>ehdR?9a-9U|;hCCN zL0&#@VG)LQlGtSlhaxQ%xFH4PhHA}HQ-SL!@W0ndlUY)MR3H^d1yX@jAQeajQh|*E z!qMQS#3nF07~~q?2W#vsM>TBDyE{JT{T*`?T-}lZx;AD1maM`M*5v|9$cQOUzA6F~ zvmas~j1pS{De$H}PIRr^wGh9$*i+?rlVtZ>hMczrk*=|SdnaUCFHhlMO{*LnV%`=i zNlOJ%fm9$BNCi@XRN#gc$nSx=+QmCvEmI~+1yX@jAQiX~1%x`=J0H~r*RBn6<^F9` zNda}G3;_ihF~!N>dlVoyt%4k4AB;lo?u4OTX?4N1YlB=lZ<|UAs4Ha%D9DH@PPaa9 zM;c9^RNy!Y$Z<-^N>YJg1!UMW9aDkBDWFv3uXY~%Zu)=LHF>-K-$u9|q%-#iNge{e770O8+kVH|l=5#zHr4`;9gi z=)Z3oFNuPae-)PS_{Trr-3e$|mkTH%UHkk?YizO(EV)x&5cCa54K# zm3*JbpZ3siZTQt5U+i(mS6J}H9@T}DZL|16F4AGUB>Ot{XV##5iq`n!qZT$?7Fc`R z0AE^^75gL7Y0tG5d`?#hq9>m**4b!}s=-`s7^tU(J${DZf}pA1%9c9n%d!M$tW zHy7-|%Tv{WD! zNCi@XR3H_2j|vD)$IF$?5@Sf8L9Q$9-&)C=7V4ULSt1ENxg`U1EnYeoV*S-xm(?5u zr?4&;P(nsbaq?9WpqQN?v%)Z=H-*@*Y)yv;CJgOLs|&7O8|2D)+f-6OT`5CAK}Jk* z@@IztxoH*TK=YPz(o%s`AQeajQh~d>{C|;Gn+2z>N*oHiG>{x(K{+_Va^ygwJ7ufGUT4k{Z5Jrf+-Nn#gb(^7#{AQeajQh`(; z6-WhAfmGny3gqw0uBQ0uYMC-oD)2595V{`Rl;{e?e##)%b@su;3aWcFyUGm2!7mx0 zX=>=4&)-_1Lh4{6yD=5~%K(U8kGC<3)`AAUQjIN7zB-9m+MH!VQd-q^f7 z2_3s~D9C~4E#+Ly_#427GXCuJEc-Hx_%jn{mhqR7U(u%a_Uyj6As)(def#)w%zRBe z&gC2z=Ukpj1yX@jAQeajQh`+9xC-R@_7c~oT!JLxcoXYen`c6UG}GR{J-guAwLz}& zeXvGl-C}UaeXvI|X{?F>wXdK#6uh`c?Y99zdL}rulf*7d_Pf|ajeUF3IngvG;}Z%p zZ-pmI~a4 z0&*K=W|gTxDv%1K0;xbMkP4&%sX!`_3cPUzg#HFMC5EIJvKId&50|gJa zWPq-{v3;iqq!8*5B+1 zkegOPjxuklB`p<51yX@jAQeajQh}RQAioFdYM1VGwM>~P6-WhAfmGl|6cFlc?|f7j zT)Q^NmHS{#B?Z)#G6WQ4#1yAn-v<+#a0OD3O?#Z^+8|fX+oqBN>Pi^`3Nm7f)8@k7 z+Wzgbk37>;w3y)k{q+rti5?%A~3#N{q>Cfg76?|&R zu?CUh!R2|x*2~}NZB3%^_+8#-5PrmEY(noN8l(O`%yp%Im;D=czg%OX8@K&Nn+x>c zH;tD>!O6c0OL+X_pYJ*^RkvV`2_GPze`(E4!82xOHkcKL8NDgQn<-cS#ki)!1N%&s ze4ogl_Rw!__|+a?>~Y6eSn$Oj)rFI7v-m+S(qX$K`#Sb#)}VWe*7)P27B*ZKSbN(5 zUs{wE`y9)a-3d(x@XM ze$n~mF8U&liw4U@*|uc5J-px%_mWw*+%sp-dVfz z#cQuAs!LbwlX(ns$wIa+T$E!!R)Bb&hN~SMT;px=Cqoo;#~uO&_pW{4T0bElUp)C*W#sfA=Y25by>|pa0=^k0VQO_6enL5 z0gBlPGAj%-dQ*t~%GPvvV8YO@w7THhwLz|&w@oDl)Ri&>6lBB{Cx3PbkegOP4m58m zCoL671yX@jAQiZ~%l{X7wOMewTBb~t3Zw$5Kq_!}1?2D*W`U`|UI96uI*;w0kLq#` z=naEhxewM9vTDBBaK6CD11zhO`PTQrgeC^(8m?U%LNaH?4vY zWL6l~55y`5m5qa@HG5M+E0{6yD`?Zg1?TN26%t93i%S1+F2QmGx>l<1xFzf{R-+K-YYo;)12i zxHz00!@68RN4d|AzAACr8f00DJ)XG_Mx-#rE%=qK+ipwvlSs(dwI>v0Tnb;i(hu=8 zAh>HWyM+qjZpi>$dt>wVBy{Y`p&&?URv6Zaq#RU@Ip~?-&`uJ&5PNasZvY?4__Ncq z?8_|T&s@`4#$QH$MVs2&v-{#Tcqm&|BU5UUDXd0XW)Z_X^EEM0cNy?WmsB7XNCi@X zR3H^d1&*UYu5T}KEy^WGB91q)zO{KKG)Ob?Zc}x^wQGZ1%fFZuzPgLz#x^SJ7Sm=o zRPgZAg7oZ-?SnPsP^37}KA2FCd*KvhGo?7uG$!L9*LCKtSV5Z>Hm^%M)ErZsz0LjB6tbWQT-f_!fLQ1)?R zHJ@g27beauv(JscrcLebH5<#^sX!`_3Zw!93M`A(0naPB$izH4jeF)gVOgS1tG!1& zv$tOE#8ZJcr9j?qFLF6&-jm+S{Z>#Kp*A~2`wfmEE!nIXBd(0#rV$$N zs~9MRyCnlOO$_yQiVG&DYsEYSudpr`P(r$9p^B5QiU7sz%cL)Ots7IyL1p8hWyL_j zp`9dlA(nKphb|spT{zLTClq8{3SaHojm^*B7DQU-3C~@f6lBB{Cs*^60LAPCnH7e$ z9_662anLitp`9dlA@-c^{3M4|AQeajQh`(;6-WhAfm9$BNCi@XR3H^d1;!N+Tn9HL zhFAx=uCsqj#dVKnSDAr=#|~gycF3me-;!Av!n#~Q2^lfP$yY^yV)mo#gV`S3K&91% zrn=VdT8Lj=?5T3>Yq)L@Hhv>QaMxmX3l+lGdI|?MEeAczdHd)J_5=eL23K%R3H^d1yX@jAQeaj4y!O#%)H%2*G1Sr^SMJ|7 z)f7-y$`DYH5mTJ}-6jEY(<%r-W`$whFH{aH8wWiT9NI}@7h*AC7$m{9YlB=lZ<|UA zs4Ha%D9DH@PIabjk_gb|%x-#`L&0kY2xmu3aB3%sU5H&@Kj-H;qyo31z;fTem2$Jr zR3H^d1#VgarFwt0vs=P+8~tsZOBS+Sk~8pN`SaoK@tLoStA!)}@wY+ohX@Q*V*U0( zTK1QfUU2BP@W`b_3MTC@(KQdhVCv|Z{%jsm!KaoSYY-V8T%Jd4z5Jct)+7p#-{pM< z;YVD?CiFg{G3xKbTvz&c*}qZu%QY6daocaSxj_GY(|Ab~ocyb>gvUSr`L6R)bqm&* z@B#Aqm)6`AJY#ldgIQsi(VIfNnQ}~%!r3()&#})`$@hu;X%GF@hF|UR#U6Kjg#};i zQC&FMHj5wRA|19%vae%*W(~ThXpKKUYGK1=fwi{{@TEmru|Fc6_FQYh=X8}IdUER3 z6>A((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-udB#k;E;uoD??xHW^xM;9klx<6<+rtYU zaW9!=%RO@zeV2D;Z{6exK!bLgu zV+DxUX}H?K!8P6%e=qOE}TBL9W~fYbq(Au9P95AS0$YZ7yuxGZ!E?t%49_Rv2>sHqdYtCV%g+nJ3Jd zcxHk2OmVpNdE09;@l+rcNCi@XR3H^d1yX@jAQiZz0{Q#0ORCxAIyKP*Q-M_Ag$fAq z@xIDtyQG_neZWDk>+Ii(6|`xg?o_hNW+n`7$pB4LL+5<{*6I;bhjqDt64EtOLviv| z5ulizAhW`-Zc{1;m5qa@8M)xlP7=EiiwVOZ39e21!O%gj>&)AaR#4S+SDArg55Hu9 zrhPJW%DgRBsE~SBFzGNC&@T!OSEh*Imj!Wn)yPK zSv{3m#PH61O$^js27HEG1TUK55*K>}8*{_`4stCsO34`SRGl||;>rl_TFh>tLbzKp zK-Z@1gOODj!n#~Q2^lfP$yY^yV)o@`UGRG5{;fp{;q4@`3$d6m43gm5wLz}&dHW&f zEuydUgy*rwpgmI@+Vj+6+Pf%1{OV#)m1B2L=Ih2!Tp7V#i`gwy2w&?d96a1_ z;<#zKfBWbPHq}}{;is#)g2dNr{TL@+!Z1jJYtxuvgIvp`;f){2v_xd6Fo6@(zO!mz%+m4nL0LDQPODWR3it)of)T#(O= zAId&Xtme}!?!pC|W%jx8*R-jvBfnOKTW z6p)tFGLbzKpK-0v~Df?i>h#`;batl^Mx@Mt@ldp;Z#q0!`6^7^SgApqB z=p>0c)jF{r&YJL)+ zn4KWA!mvqO*+6zr@cHtT$(RbH0;xbMkP4&%sX!`_3Zw$5Kq`<5 zqyh&~K&WGIQ(~y(L9XlU-%@eiquEtvpy06s9)8dhhtQ_%-;zxj!n#~Q2^lfP$yY^y zV)mo#gV`S3K&91%rn=VdT8Lj=?5T3>Yq)L@Hhv>QaMxmX3l+lGdI|?MEeAczdHd)J z_5=eL23K%R3H^d1yX@jAQgD03djxgo!wTpDRTBa8!Dld%B@Khib zNCi@XQ3V9Y!A*%F&Oxr+zip~1psti5pdcfrIQhFx0_3Ju5Q5AK!@6In98@+AdL}ru zlf*8>V!|*;f@{|XxpLk%l@w4{$`DYH5mTJ%Oxq+8pv{@x^fZTp*A5WQj+o%oP7=Ei zyS{$T&vQrxZbgCRzJDv_W}T@(Dv%1?v;s=?-fHK6_Dh&-4rJQF#0=?=uKL;xaa&_YsXze;?+$(!b08jk;g1vCxg%exuC=`tO^@ zOQPW9Uxg(+{_)RucLEyLUhR5ss@ZTgBwUHksg+DnPpB`KWU zbjgXP+3H4d@VP=@=r&-hn{73lBx-1oc5ML<_hKkW*V?_UNfe$WR+$5>%n02YMU)9zig1Z*8Tc{B3mJHCf zcWHfMG%m_xy92MA|JOmJ!^iCu`rgkg{b z*RBn6<-BbwDWI;DA)p{5ra0aDyd7yYeNur`AQeaj-h%@9|01t;l}}g8l!;P-R3H^d z1rD!(9KOOVFcsJx((cN%S@XdA!C%QJsmHS{#B?Z)#G6WQ4#1yB^g{^z$0_3Ju z5Q5AKL+;-O8m_|R?;SStggFz>EYO}Q4!1sUdrc;u3Zw$5Kq`<5qynixDv%1K0+&=E ze_wV-L>9VsAILLLK{adkuHZ9bhN_N@IguyKt zplNF8oX_7{JwocRE*DTjx@Kx9PQEGv6tfd#Rv6Z8O68!kanLj)7aZD2Vi#gDVHhOA zwP`;XI>>dMdHc}{s+#U9Gf?c|mkiLfPlisJx5Ww-Qtt{T9p(ahhI&K$PvHpRG5gEs zZ87qJB(VzxrlkU@Kq`<5+^7P}oBwgDmx-n5G;ZFgXW8;rKa0N0JF~ZL@^nZA-jV`& zzrD!SoOw^m`>k_{mdzyxd8Jb`Uq~{mr!tEe-kGn7fx63pPsc^h_<5umE^)C(urW8> z?;zJQqm+#CPSttiC$5a(uEp#YDulZw19WZ5J{VbrA*{;x$3i{Rd97Z6l6ofiKa2b z2Dz>?Z^a7Qw6J*%7>I*kGC*9prKbz1E`;+ehmawnb&yeS3petVJ2IrE1t)plM>LuTxwwFA$Wy#xquSVH49ao zd{qP}W?v?K!E4=^QVuE`2Q4cG3J&cgu?w-Ji#>Gl`0B!mu05e3<5Ku)*KTZn{ZBkerZ~Bpp9CmoC&;WYto0}dm5qa*2@dTfvCDbJE_J|@mI~a40&*K=W|gTx zDv%1K0;xbMkP4&%sX!`_3cPUzg#HFMC5EIJ2nq6fE3LZOvZP_84vVTiv zVF>GT0VQO_6enL50gBm|{ilN0tL=l`=N4*cS6W?g?b;w$&fBJv0_sW`0tzx>iqq}R z+d}zisX!`_3Zw$5Kq`<5+^_=qJy2J>c&Dpn%0#I^Dv%1K0ym<7P^Y`$q9B{?5>9k& zkSq7Wno0_&D`f~M$cQOUn+sb%Ff2fBS_L`E{;icF)YGoCy5QQiL9U#)O(g}?l`;er zWW*Gwo1VAJ>u03d^hpJdp@7u;{xRywDpG+F1!Tl2eNusADxj3*uXc7Ho#=M(+c=jj zWVZ%_A!K)RJQjBEy5r^N6jNzth{AMB(wfyw4!~h|Ac7-bXY>{e770O8+kVH|l=5 z#zHr4`;9gi=)Z3oFNuPae-)PS_{TrrbzZ7&!5R}jKtBJ{nwx@W%+72uD-1JwQ;0WH zuI_ZK>F~flQzhRg@~1uYTN{40#}|9t@f8+)u}5{`WZNu$kc)KKF3G-*{h2lBo}xAW z_^5>qmj%||Ho%t_WySu8blP*R1)tMZg6PSqS68fYL|M$|2M5=9Tl~oo1>LcSK*7Ch z-#3?fZo%3m#lcoLXUAQ^1=P$3hHeA4x(U{%6s-1Wup=clt+#>Ma9=@=sX!`_3Zw$5 zKq`<5L<$H%yj(!g zZ({_=O{*Zee~SufsX!`_3Zw$5Kq`<5qynkHJ5(TlUv{;Ne!5zwOq2?|O9h0k+dCiC z1=psrpEAgGoqaH|g8UsHKF?_;3~tE)O;bbXeE!zz5mJYBxquSVHB&=z@>LO_n4KWA z!mxfIRyn9_95l_y1&4N$*o9b37zRmjZQ2ip4su;*-hQ-#s;0Zj3>16#B?C0=lc7`Q zZLvay)VqQ&@ZS>Ke+nl~s}%I|dE4%-CK0lQ?E|Kz0;xbMkP6(O0?V8KaVnRIrRX$n z-XLe$@>V~KzRNqaw{G%uNCn=K0(rl^$km*APs;nPbBUJCB?oz>Q!`&kGOMRDix}RS zuZe-W%Ye_2i{M2wT;gJnU}J8$-$AZrMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{L zLs*v!C?O-JIQgmwP|UvEtP5Vx+`qL*A-tU=b|DrMhCvcsyEe!*K5swdyhZeNp71=@ z7_?`KgFA0e0u-|!Xx>uj#f-lJd?@43PS3J0vxq-4ab_8R8Tl1$YH!c(E7!NrmhM4d zdGP$=PiMX+28x~9+d%$wNd;1YR3H^d1yX@j;Aslv`u1sh`QF7peVMawVts4#Opt?S z;@zg|f@{|XxyJXw8kKd6!NJ|}Id3Z&D0sLf19UC-Z|@Y5s>WT#%t3H+HCK?z<+|M6 z6`Y<#at>$>Ohz}G616MH&iOzwy6enL50gBlPGAj%SxNje%X+|zMw3Eax#9rL^-1wpFxQwGIwiRtyvzT&@sMkggf0;#8~IBoUy^ne{3t2tj6rVFw6jdsfUraB3%s zU5KTQ*h3eOuP&VE+7k*gE``t6a1|y$e_Ie~m4oN8#-Ke@99+#$0u-|oWL6l~dX$68 z#zD^nhjx2~LBq5QN|AQeajQh`(;6-WhcSb_W=sH0)7@}Ukj-`pC%QJsmHS{#B?Z)#G6WQ4#1yB^h2>%t&I*H@ zRzZ%ke`}=(^|ULkF1U7WkSphHQ%M1Jr3?WD88OA_rswVQ`Wb09eNusAC?NH|e~fyv zid0}k0U2>hpH$$O3MggytDW6PC%PT{HqIpr*)GW$c(AnlaQFDk*AI0p9Py984T?Yf zVxSW1w-3^?zpV6vL$`%TE-g|pX@7~XdH4lWN6++U^N0#Qwd7cX$nfCuJYwtR@AS4N zQF#0=?=uKL;xaa&_YsXze;?+$(!b08jk;g1vCxg%exuC=`tO^@OQPW9Uxg(+{_)Ru zotLUxu*QTBkk7xg=BD5ovojma3d4-v6ynX4t2-TQIy|t?RLS>={AmyU)`nm0@x>l@ ze1!#H>``4f**1$G%v7j_G1Nz*J-%g!NE1&7Jo8CL3ivSP;l?s_sylATd;OXaj@0R*>P8J0X6f1q1%A1 zZi2Nb1*<(8>_~}C>uq2*+*goeDv%1K0;xbMkP4&%kpcn`FIP4Tsfc59evs=*`?prw zriIN4*+8Low`72>#Y^WxtiM|8vYLb76xQVeO2~*QPQEGv6tfd#Rv2dVrV#s;t?BT< zgrQw&b-}f3gIqapn@S3(D`f~M$cQOU{_GGSH?4vkXx>szS}KqVqynixDsXp~|1a`t zv*2{KOqnPZNCi@XR3H^d1yX@&3JA>Yosa5*Yu5(3av!Xzq=33ohJb>MnBsKn`(Q#7 zu0RU1X^#_K8|2D)+f-6OT`5CAK}Jk*+FaQB+ZX|I(<(^r-=acVDv%1K0;xbMkP4&% zsX!|54i(7XmtF0mpRSfE6Qu(0QURgs_RdFj!L@1ZrwnpkXCF+gAb-b)&vTjygIh8{ z)6~#8pTD(wgw$bOE}(>T&D2nwd{qP}W+%w3FsvVlRSqf}2Te0_!J(Zbb|DrMhCvcs zoA!gDgIw2{w;!#bs_CvW1H~SG$pB6JWayN6TdYta^{(Ix{I|sRpTddLDh0iK-nM(I zNrY@+`+#YwKq`<5qyjgn!1CsQoXTZlDLRdtH^^DGyw%U5@AA&%<8GkB8GS7Yhs}8GT<}hB6!gZm$=v?*q9sccaUqD zQA);mr|P`%6IVuX*J5@H6~f(;0lGG2AB?QR5Z2`aO2~*QPQEGv6tgck>w?!a_irsy z2yZ8eU5LemVUPsZt_^aH&)W|FnBw0AzL3$9%o+tZ5Kxe=nHq|duZjS*ub?>;ym)Wjw-3^^W^YQUU)h?j zN&Z}r&y63-K2EIW(=6`7#F=IGx$)PuslB~sW0^Y@NCi@XRA4}Xy{_iFtGy z_sn$ytH;;0nZ3PcUzs}3*uaxGI_;p^;t z#FY`;G(ux`1BGz6WPqlLq5F*>mrEh4b#TzKVxZvQa)p3`bj>&wr&`S>i2!ZRtou(2 zLXcTu*a5=Xo)vQtoZ3lZ7h*AC7$m{9YlB?l^EN+!TM&JnCp?ce2JM;R;Lh8V0LAPt zpSSP}Lpw?AvV=pCmI~aE0&+vOW~r$_Dv%1K0;xbMkP4&%sX!`_3cOtfgw_T(C5BWO zS9lo<4uy?Zy9pl7DT$n{_UNRX}vs!gEg&kaG-fhIccdtDv%1K031yX@j zAQeaj-l+mg-~MXH``vU~rQgQ6WMSGRIRg)tb|3B@pZWS*^Mxb+@wY+ohhGd-V*U0( zTK1QfUU2BP@W`b_3MTC@(KQdhVCv|Z{%jsm!KaoSYY-V8T%Jd4z5Jct)+7p#-{pM< z;YVD?CiFg{G3xKbTvz&c*}qZu%QY6daocaSxj_GY(|Ab~ocyb>gvUSr`L6R)bqm&* z@B#Aqm)6`AJY#ldgIQsi(VIfNnR5G2S>a;#nJW1{kw5LB-`eo2J-*oEj<2xbi#@6f zC);N6gIuJ;c1iYi?9Z%0_Y|%1$44z}xGb>twgJAhC@c0yq|=^jE%=E-FSc8nIF->Zo=*g+s+nS_NM@0Oh^UGcIMI09mmW#4&$#i>o!6WV^ zvuwF%&Z6(~&g`w5{6Y@PoArg*o=l@pjyOUgMdYE4YA~`M}U^ zz*aX6&9%*rl-RW1)(fj-@w+r&s8JZwQh}o=P>xba){zRN0;#~eP(bK1UaoAG7(;># za$RZv)=J*AP}j`M5=rREEg7I|@zS{v>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6^0qT zDa3wdYdSnIVQ5!cU2yH%AXm=Yrji2cN*MwQGGdC8KRX1-O{*XWnzxjbmI|Z-sX!`_ z3f$f0|BJlZEI3^)Qzl9U-k$=?I{W=Ko*mz=0#g5dc)LAh=j$&5l!MB~LC*w-c9PhI*tAq26-WhAfm9$BNCi@XRNzf1z}ouomcK8% z+9f(&Ez=7Ul^4WjXe#i!3JB>3Hzm52vY#@@b)9`Mv4ZLz&8{*7aqvq9Xqp;2=kvE# zsE~SB@CE*Rm#Lv}`>F_#n^r*xGAj(v+y`s+rV#s;t?BT$w$=6{^(Wnw8hjhi>fS+=~@&!X@0&g`w5JRMSjx1>PcZ!dB+XWosZxnJav?=>wWD|z4E*DTjMoe+?RS}?=oglNqu^W``3cVGh|OF$oLe_+O-?|VKsWH z$aS50D^}2^h0SZgKpgy%0h*?U&Y8C+p^(~@G6WQ)Yo>bZxgeh#Ka_o(Sk0$d+=YoV%j|RGuW3_zd(Fl&cPfwyqynkHfC9^+ zb-?pVE;2EXPUD`rPFR+x(`xS#&+M(2JMmQDO(~G~+lySznfIi(a=#UnMySmW(SCzt zNJ};=2Dz3gt`^bT`G_kcxM_sO`zi(s;cm$QO%p?Xo#KLt=~^)l!7HrG1(cAkS*YUV zt0F)#`!eYZUhBq`a!}bgXjw5(aA+rqU5F)J?4gUtR~Jrn?Fj`Lm%>-Oc4PDNw*`^b zdBSs7Cj}WX#mUwDBtS7cL1u+vtw%YiY#j7VaA+rqU5GuWJ3q-G6-WhAfm9$BNCi@X zR3H^d1yX@jAQeajQh{*=1lPe$i6PcOuIudIQgPj**;Qts;IRYPmL0Mw`?q8khOjOd zP(nsbaq?9WpqTwA`(U;QH&AJHp{cI5yB6YC7kjE4`x>qrgpJ>b5Ztwx-9m-%wVuL3 zP0K;ga^60=f<3{&g~8QaLE`H*d^>?AEfq)wQh`(;6-WhAfx{}0-vf2EOLMwfrc9Iy zqynixDsUqT2z3r_N({9$$d&uIO*IA7l`;erWW*FFf4511+_VZpkXd0^_Y0MS%Em#@ z1c!E#*o9b37zRmj?b;w$&fBJv0_sW`0tzx>ic_6wn8LK=aPkNm*fmQSpIyt zdwk~W;%ecDfBbDw{2>AZl~})hke2;rr57B!Ej)5*k%CG4OLWb{FPJ)drazlURPd=K z#~MV22bbp&TQ7g7w>62v<9B(VLHH4uu?fA8XpH*%FxQp-UG{I({c??kZrt`8Z7$G% z-!xtl1tAj6q;Pgk$8+p6 zRq}lzf7(O8wc%HLe6hzJUtz%)dsG)rw$0)Pxk!iYlI-i)pIL+MDO%%?k6PGpSzzsL z1AJ*wR_u>Rr#;tN@Ht&2h@PB!b;TM-l=ZyY_1;vo;cCdS1{qUhn$$eelT)*|HA$n6 zi1Gtq~N8C$h*>caEMc?I}*;_aHg&er~zoO|Y=6-(aoOoyL z&KIw}rl>Amu}|hP$R!Kex^PjB{a69wbsDaAaBz*c#h(mO&>edS6x_S^{iC&)60u8C zIJ@bR6HT+#jpE>Qg}~5lz*aZgYBovK&>-#F0v_(gP>`;*ds~wzJe$huweMy~hg9Hz z3X}uZlqIDCsX!|5b`=m>i1t) zplk8cxe&Xypd8dXIOv(+5Z2`aO2~*QPPLj1mjG?f>{>8~g4YfZ&W@Pi)J_t+5Q_=J zAPKHr8|2D)+f-6OT`5CAK}Jk*y7hTG(rEgm0;xbMkP5s91@iwzUhOKMu9hhir2?ry zDv$~sUI96Lg;`)Kuvb9Nr_Q6h;iBN1?GjFOZICPX!J0}6s4Ha%D9DH@PMZr`_sj*z zO{*XTnH7fIzYR28g~{JLY~~4bCZ1WKJyRTRectw(Ogt4x1yX@jAQeajQh`(;6-Wgx zsX+d|?2>9WxlT>=AYeI29a%;y@N@-)ka%BZvt80pJ_lVg+qls5_PHvY82k zTQWe?)X+JfzqNXV)L~sNpoDbI)KHv!RRkzzC&;WYtlN~zL1p8hX+|zMw3Eax#A3oQ zNP=tAelT>9>pJuHqZL#&-Bo6w*uyUwplP2BoicBW6)L3O6-+wJ1@sK{hW4Mr5yE5k zm(SZ`t7BRdtUlRj$ zmjR!2Nd;1YR3H^d1yX@j;5Z80PEt{Yam9xrfsE9+Z9gZ9oxb-}f3gIvei zzx~N?zuPDdyZzfT(EbRs;N)_JfP##e;^gjw-3gGJRzVK54<^*puC%(~+B7EPAlDP; z?f)b1x`nA6EE@7OHZjP*Ld#nN4t~i1O;ba6^Va9W^=lm*G|eOw99$_wKtZ}@YA8;= zDgxxDRS<&A3d8PV2xq(2>`e*xD_hfb6FkR(&y63-K2EIW(=6`7#F=IGx$)PuslB~s zW0^Y@NCi@XRA4}XWzjm|c_kN_m`A5^&s--gOVnw#_lRfq*2|rED)6Qh$ouU@F6Yd9 z(p$OT3Q8jsbFSDU*x(q_lFf=iu4RfV89O^4ab*NIjnJ6gKq1^M8K7xm=#+i1V#JWg zb-4vAAziaj#mQGifMRxn%nHN0F{K<-HV#@=3=|yNNn#gbF<}@a!L@6HT;uaLKYv>g zeVr#fk2MDEnc`4q+C#3B06jcY(<;cz=PfM4&`uJ&5Sx|?qynixDv%1K0;xbMkP4&% zsX!`_3Zw$5z;hH3f(ADwhWH1$#`nP*JIg}_xjR1R{T&MzDBO|(x;AD1maM`M*5v|9 z$cQOUzA6F~vma<5Y@pKWLQ`F9cP+%PF7{M8_BE^ z>KxpZ7;0&dEB9}kY6_?;We6z9h$&9~Zj%7HX%&PZv%;|M7b*vpjf0*E4(%kd3$d6m z43gm5wLz|&w@oDl)Ri&>6lBB{r#jO%Nd#zfW;Z>}q2RRxgtH?iIJJ|+F2ts#0;xbM zkP4&%sX!{wR6s%f)y{4S(wBAxbJYr*Gql^}X@ z>eUr%98uQuYS(*H&4#NX#~NfzjcHQzL{Co5-qs|IIwIm1onP*vFXFgpuw0aFOQzey z3m$PVnPtm8a~6GNk=a^jt@!ujI0*A&&Id)ZFrF~}tg znZHirRaw_IXV=Y{HE7|8fAF^WlfjD1uCfp)xOeUQ{Zj9zeUbvtTCj4^HCx>%PP>8& zXU%+I=r&-hn{724E^V_TCFWiXJwv^r-P=Z|O=Wd9Sc0KOdxa#P3S3ixa?NC!D-}ou zQh|4`fS^FUT-hu!hQu1=T6P{3&P|fKj#-yFU)QijzM(1jtRRAP1Val#`YUqynixDv%1?-R1v_yxJ@{T`f~4N(J7Z0?Ru4{WYE) z->w2u|9yD7J!IwYPXU{^X6LcJ^HE*!@7f?&?t?Xz6i`>n5Kxd2Q=D#nA53V%6-Yrg z?Qx=OgIqapn@S3(D`f~M$cQOUn+sci*CRk~S_N6wm4)Z)F9MW<%Em#@1c!E#*oD}% zR3H^d1yX@jAQeajQh`+9O)0?I`tX*&FT2_$I$bT(3lfzV#AawJ@VW{J=?6C@x|On@ zGRSqEeK4_t>K@ImG6Qk&O9p6~8an6mw^pc-dROoT{(G0Hp>X@E2#}jrK?pJ{4A0yL zYxbrP`<1Qf@W6zjU1@c}wP`;XI>>dMdHc}{ighqh?BSOT(6mp6PMNpGBotD+Qigzn zbgliTaD;d_t%AIK-nM(INrY@}9RO*mKq`<5qyjgp!1CsQoa$v_DLRdtH^^DGyw%U5 z@AA&up?)MloUr2J1IqHxU=iJ3k&3sJ^6nTN( z#q1U;gs=4!4jyk5axSzf z`(R`fhOjOdP(nsbaq?9WpqQN?v%;|Iq#RT>4tgdyw3Eaxi!^t!hZ_6Wg2*#uPbkRv z6wcbU8~b55lWP#cU5nW*R0wy|0(9+-&D)dEu`7pyAf;Jhc(r*;@(UY(1NczJpPim% zUuF@1X5!2;{xb3_+SJ~j-50OHLs_nGA774{uZhRGoa5r0%TuX9Dv%1K0;xbMkO~}E zfn48S;@Xr;kVG7BVts4#OlXj1;@zg|f@{|Xxt4!1DSYux)%gRbab?|N+U$l39&X71 zU3+8uU=2AGEe^2{R;Xm8Qo)aF+cjpGI|-D~-So6`K2Qw#|Lnb8j3n80CU!GByC%C; zl(Kt@Bfl*3cqU8foQ`AegtCZE8eQiyAJy;x6=%L(!rH>Y+^te%w9X($ToZbILs3$GhrDcKq@o86(X zJ^OSh!FA)ODTB7{G#h5IjR9l87%&D37^wf*DzH2u6B#g$4u(DCJfWVV4wickc*wTp zVw>I=I9CkVc)OJD9CA!LFXOF{X%fYn^LRuSIEuLB+DIW*UE<1!;We3Np(T+Sos+^S zadaq!?!lC)NQyw)UkD0fDS_HNc#skgrO+UV6;&uTSplZfsLiGmM5yYVX?j7ap%cV& z(^Ck~=ETE5Xp{~TH=!@{h+AlF@z{hXvT}%ofThF>bJ!4L*WY@Q)X>5GILFlHL}?J@ zd@fYQ(dh*7+%)+p4_Y)HX9FoB?6`3Ku1cs3^obne|&{+^*5|GJ{fR(Nl0)wnzq9zhz|MCYo1Jp>!z` zrBRp_p(>7EuRnQOo!UCsBDOGxbf&EdO|w#nWp$fmQm7458C57XC89JsyLIcCzKJnl z3>X8(fH7bU7z1aR0s9@O6YISBiAAzN#(*(k3>X7vgaKim!37tkrn6ka6Im(5vURW| zlR|Bf%BVuADG{Ynr(@X}o@a%dU|6MQRn~8jiZGvarmYE0vr>p^Ts0~sXRVXzj zqBJ_wbz8rFN*Zf6#=vSYAnmxn8u?fj#y|-JQetE_#=vSapiC<~+v#08k!`^Xw=Qt2CRJ~b(Mh}1m|vQ0Q(k^-ep(m$dLi*Uls zBPY56i&(<*6djEdBkb#*18BMUnYJ}SlsMs5E+Pn@;%RhT7aobNezX|tg#Iu4My&O9 z9}8O(Y<{Adwd%cb;w9l}6z+xEJ^u90#mq}Jn6SnH_i6T?N!6y%GLFt_fOu|(Xqyta zQ*!M}M-z?~ls#t2-xIlmhhD(uIXoWW;rJ64{9%vQgeTJi|3WN=qx6FG^XQ*xoE8wN z?fQWxbg%mDCz}pdf{w-sNQp9O zahf6%-STdB7qah;>5iw@A6ugw6~ZN@1IVDN~V^_|)0cH#}R* zqQXFE6wNEBP`Z?e(kRS|P!&g~6U1{fMB9|W;mn$Fw4ew#=}cP_nr5XC%j!1Cq);2A zGOAE&NvOvawF<=ZB11ryf ztbB%+pfS*AK&n&KHofxEnp6(CO(B-8gC&V+4{`MikZ>O|w#n zWp$fmQm7458C57XC89Js>vdbwP_r=xi~(c77%&Em0b{@zFb0f)Wieo%mo1CQEY3V@ zu>uBu1#++yjDe#uAan~ZxF|K9nU=LEaKH0a3n&6n)q@-_!BlJ!8APhXMj#jtnwkd(* z{ndQzLMszvz!)$FjDa)AK>g;wI+@FWspw$Xe4`#p_%3{!GGyCMvt1V37&vhTY`k3x z5SHmD4vc?A_(id`9oS&4^joe;(2al~~n zdI~r3CWJFB4&euaxk%(?iLlp@8?;r$Y(vjC_hRXxon5F1`j= zO6u9jAUVk(r~vgt0TXw~*F-_yzQD#zi~(c77%&Em0b{@zSRDpze!Gmm@XG*+VVsxw zt+0WGixM#|I_C?q>i?LOzU?YX&^NKN+zA{zh@-X6i4Ahg>0?QLJkpbiAVAw;>6Y44IV7cdjhiqFew&{(5bH#v-w@c~H zA;+ZiGTsWACQ+RgqW%I$5tm#WDa5KvT;UN}lW7)O5}DCCDU1?c@gX`yxCy3AMN$OX zFi#mOlc-R7JOqz;UcrQwE?I%A(kRS|P!&h7i{8_!=^o`li^hYLcqoMi=^$|v`cj0O zLJ*o}r4XyUZteP8Ptuz@;eMQBYICAAXe(_$)?BFCf2Iwq)EvKVi6Y#jgTzhfYhnx- z1IBX8(fH7bU7z4(@Q5X<96)s8?;TK|+uY(U=yuZk{i7{Xd7z4(DF<=ZB181KB z`yHqg>%;kpMY2G~fH7bU7z1a70b!nnixNdmD#Wt&+a#MpZIH^SLa8YcrBQgbNvH~j zRch!2@!T})h022#jR!dq8l;27P3TJzZVEwYnw3JV)u~$_Zhp3*G_dtsr{v*;>$ZOV zF!GZt`s6nKthU_h_N?}ta*}CV6GVv9{0p%dj?xR#&!c~)aaus6w(AF)(7}RZ+qRD4Q3|rv zZO3@(V>Jm^;aY&$&ODb_oZ}c|dtTAsooqT-2|5}lASKGAjT76MC)?HpN#qd&KGykq z6g?KkQk`X~Xk9Sv9xm~SW64lbkC{WkXK{yYTQ>NT4C*)QC7~S}Mi1?=3Jz9yRWDSX zm!4C!CfRPA!=eyNYOwG;iLc6bZc~|d&NNOnM*N9yi!-Q|CcVs}3QzN_tR<&$q%Tcq4+LOW-!=YqmE1yiOXEAgqbr*C++mPLhu&?uT$ zP@!}w5v5U>6`?AQPA7=xW{9>afy0?K;b=h-Zqk{yCN#}TA(qu`l1ZU9NM%%^)Rc(Q zC|n&vRWPhlvqE*tbWDr^W55_N28;pc?0bc`la9+aY0f?%MeAd^&gpDYyHJWCn5XX8(fH7bU7z4(DF<=ZB1IuE-J}+AqlUba3*1`;o0b}48 z3G#*3hgl0--1G6=pdC*g;J9h zu%R>xvm#Ul!zwj&f_QG43u2WAEgBD!l5CsOwZ#hN(SQqgf)+|m2ZSe*av9n}tdptR zEhN-w!V>Ft7ubW;txxtXq9!<|HYw>_;Rt=xJqQC&tE1Izx@}6}cz-n?yU@zS7%&Em z0b}3{GEl$yuTJJNU@AHoHs7d+621$crVQD((`=W;HU>_d0UK|ZLivzml8v{4F5>@~ zD?}TG&;0G zi(txBWF^c~JYmTq)&`YfG_RmSOR+1%Fz4~GtrMbnJdU^yMo-};-h^;w&9WPPDZ))5 z2u-t6h*e&DBwNz=}^KuBcGxS+O{LNi?6|zl6q7hBqtdJ6`+17VB!w> znkdNI7ucAIF<=ZB1IBtLRllw>NjW8Y?l#TYCCrE9N%a4xLy z(6kGL$EjNZPA{y-$qF4$!u?>%R3t^A9j0!Pk1%vJub@Kdk`=I_GzzmKRK?Nh1o7PP zy|v$bYLWtQp+P!G+=RYM7hX5MQnEE*HoHS%d-my2g6qalQwD9@X*SGa8w19GF<=Z7 zFfioDQw8DsCelB(v@^Oiq=P_1&J*e>>cNmFc06R;POK3Y$QW1!25h`tN-qpKCY_h@ zR>(AoV$FFxA`2WvTykxs5UVb6WyJ8BOta9E$c)ZOVU##JltTAl%2XsppzSXN1+kPs zZ5}*GiHA~X5X6cql$xvnQ)$#@(+MI}bn-ir$ux{ra%WyZl3pK4hNN})wC zWh$~V$oeg#3OCWbf(oTei71W2tO!+c^p#i#V~Qz)l(O}xLzc3m9Ro^6Z) zW55_N28;n?z!*524A}2LomjukPb`uJG6swRW55_VBMb=hEL@Z*YEmJVt=}fu6l#N1 zMioj;i71W2t4%^xFsxETCy3{!Sua!`v}ioYiO?V&ByK`qif~g1Les1iVp-iLnG|Y+ zR7Mp_O^GOt+DhvL5vn?8dgTcNPph6nc(z1@M(H4N6Z)DM180u`IeRHv#>RlNy0s!W z!we{M56^abQ^+mJO`(4roz(#G+zin+C2*(Yde>CZg0jaf`FkRF@X!m`Jcq|4JRE<*f#z%U<^DF3 zVx7?XEmH0@p`A0=QzT)Vf+FX@%c8~*7J^Ts0~sXRVXzj zqBQDsZ2M`9P!$ZT)YS7z&;90u0Odi8#)F&)4bnm4CiFEi28;n?z!)$Fi~(c77%&Em zfsu6FlClf!Aa(0Op)hoi%BVuA$x8ZGI70tmSf%Fpb(?Nm z8$=i_mX7vl!5xqe|55#0aMYzu=xf#l+ZSKQu0 zth%C<5#uXW)q-U_GdgD_jt*C$doX1xvNFgz7^4a|(Y%5RrAvt@jl!%5RdMusu=ccS z7F3l7EgBDUA~Z+`iJR2axVVJ^{c%E!QzVB-2zW}&w$(x3O{-gy)YJ+0gFGoUC89J6 zay}QT;^=gOcy5|}lm{&u4{{XH3Ga-2iZW>1 zj@&N323Jbz*~lO{$sniz^+N#@cgWX7LEgT=#!QR>W55_N28;n?z!+E^25f%2jK1*8 z0EuCoi}@`YA#5NU6JKp=O=y~xLah2fCM90GiW2lqWR^`PjSj8QA{>^QoY?xUQ*zYy zV2&{Ag_|DdJb4Ha+V()g{gH)bF&1K-Ox+3+YB}v?1|haWRB@8^R_}?PX8(fH7bU7z4(DF<=ZB17twB=`BjE z(mL32)^FLk;EGSRtOTXdBG`N?vJ&PgvlO01g@Mp0npaSvbSV*~QJ58>DvrJ?>tI+D zEXcGqVWYB=ZnePq1I%g%04p*UjlaJ>?8X7X1OxUvP$$;S^An3?fs6rTz!)$F&Ikj-JbQ~0;q{%OCKY0p zU&m5bsdO=D&8=Ly| z(;V8fF>odru(~}H-DF7`1IEBPVL;iA@NB0yg~>Mgg>o#Z!FoX|#lGp!raO4%@#Lz; zh(EmrReTZ(rP4Rtd}>ni5UG0_WSelnBn3*Hq<=&g7U6`MM^1DD7O{lqDLNV_M%dRq z2heiy<88}%cJ;!;cp4pox}Jd}{b(`P39To5Bh$yiRs@?LXx@QS?~M~L2~XputCa^W zl{`qwI~OxA)nLM!#B7v%&!lQoXck9j6Cj?OWw(u(hmbvH$=?&XgNI(g<~ckb;osJgok`J#Fx!!k(4>Kg;-Jp zh383~JSx-9nZ~Kch(GadaR#;0q?cJ#;c1?gwd7PUn6PF+X@J>HW!OvDQws?ziiQEq zZX$D2CaicQ&`Bj_h_)?|ZEFk|1IB3 z=I&4m+Z0Thimb$^&Yr%_G+cSm=D~xU2o0in1rXnp*>tdks?M2S6JX$J)l&%1 zmWa@(7o@~IEBy%wLvPQ3Zy~q>m<@t$4vOvawF<=ZB1IBddD}`9L4wht6s0~sX zRVXzjqBQDsY`dy0R0YE-HFSb_ZfyNF!eK+qajx?r!QmbvIz+e$b`%v^39oavWzaN1 z3DoA1MTLRTD4JJLp>!z`rBRzr2TQ2xoar?I2A)P6-t+^fDNTlm=&Qaj!q|t=jMp(U;r1o z_k!@`Aw+1~0}1y>7WQ&E*2&cE77_~g(DCbb7uaE*j#;-p+P4fxiTBq+pV+2vg(LKg zqaVL+<84diY${{G7%&Emfm35(zSPL|oBvWVj|C>j@=iNzT73*H->8R@`mKH__$=;_ zZOaBX17qMkF<|5EQhIa9G0Dc;Ko`~jqz5#obZW>Kk_^=*KNK)=hkQ*Go zk{z^d1@XH}vG>YaGR483aL}?UeMW~9Suh2SJ zL8h$<86}Cy3{!$wzt6qVXUp02dncf+W2LR`R;>m6ELiv)LUA z+p|xH5?nWanlfnHPP1Va+ZZqgi~(byfC0`#S4w2SY;-W}A?FG86m_uNbHGEkEf?GL z#=yB^z{cC9bmx#`(s>zgg-nyE_-a#YLer#hZKM#ZE^#GZyNVL@O=L#rq%cYx9ZI2l zI4m_O5hw>)2lD_Xcs#FQ!b+E{z*T7!W<{uqqtglExjEW87+nRAeh{84733kbO=sGg z&@?NBSmkwV*WY@Q-qZ>A;~Z0)6Qx10Zs$T(9R2upOMKy`7lbEErOu<&-0X}2W55_N z28;n?z!-=zz$uoAF<=ZB1IBuTSP9bRkwmPO!slpSL50$#M3hEtHXSUXs&l5H zL#d$?#BX9Fi2?f^s1xhW`H4lcK*oSEUc|gP8cvc*`qQlZ$T6OCo@06j)K;The+LXKih-@CbfU` zNzy-}3%@wWQP5hU8`y~@JaJ{4(sZ=85Zc=|R?P#&~Y@*pYiT+HMZOjwhcjdJgqRBZ~) z;^=Gw#B;Okwh{9Xvd1j>dm?x6&wUlwOd29{n?o z(*h#3T|dx-4i+5SwsjPbQjo1~JH}HVt4X*D*8;?L=DED$9LFHr^NRlNWYfV)(9t*n zDN!bEoY>Ah*0z|#iJY%T(G!VP6hw-wj%K7sb1U%TSTdB?yo$T3^T>tpkgo;~njVk-6ox00GKV&cHG6CV^YA>WlZU`;e`eFxiHf8s zfM&2zTJ=-O#QrX8(fH5!_1488Bf{RkqSuWv;tQ2C|I#`lPp*BcmRH4+Ah|;LjvF)n1P!$ZT z)X)jyxv}-z2!{)& zltyhf9W0@$bEek>76}Cy3|fi0fbg7rOU?@Z=#xXxjq`_eU1?ayiz?)a@1$ z3ir_Q>vk8|VV;gzw?5jp3`dFg*FvAzrf-EK^o*k)zi#7gOXO@SW55_N28@Ao!a)7z zzdEgv0aMYzu=z$kl+=ODo8KOeuduZb zpI*+{^D@5`(ve>IXiaFEl|roYb+E+BayA(n1Xp~jWhE$u7QvLM$Vzy) z-_!~B;~Z0)6Qx10Zs$T(9Gy-O&rOq$@}NcIK~97Qy&yR+(;EZEfH7bU7z4(DF<=ZB z1IBS0;7A00`9W1f3Tts2JM$>C0+~dtJ!VdEkUvRF%l_00{ z>b0cJqXb)N6lO)JileX4I#@xbtqB{Im2|6x{*4KCmB(QYn*~CvTThZ&IX7vlYx`?9Vk7U)GRye`LY2G&loTUi~(cd ztTP~NKzik)HKA!%3bD$sW3+WJPtt82tW$czqrzJ2IK~bNvCe1R&Pu3^F}MG`@E}NK zRH4+Ah|W=6#J%jo9^J5?msCtPWb69oNg~V>176`(yyoRJe!k;NZr#Y+k^uqIbccuh%Vg2 z2{VtJ=msoe3C~k>G)|1LuX_%l<>JTNmh@iFJp2!_M^a3`|;qeF$$Dgp^4|}vGJed~w7h*9Sr5B{1NB>OYw17x$*AFzIg9XR7 zZ5_p<6lAO0j`7sTY7(x(wE(f5c`mOw$1%wEyrRE5*>tcHbTm#tN|Z?(C$=+>wJqjw zBIoN-^h9D61(71FqZ#SZ+zPxnmJB8Jm^l=D7I(=Nh-Gyf zrw|&UHb`Yuq12R!(&(($ZAnAT#uzXLi~(cdNnqe4z8Co<@L15sd4Y|Y7z4(DF<=ZB z1IBwHLXxQB=i5pIGVMMYM^>)dS_G)+(fwRvPwVIVY$<`q;ZT}ni0)MnGc z5~@09dQE_Vr&UiOJX<0{qh62_PqQ%wi~(c77%&Em0b{@zFb0f)Q)0kAFFP^f=O-4) z0vQ8OBm=@$_ZB6>>pNM3xByg$bu#O>f`q~=K3v9u2sgo$sYr@K8|LY_>tM)77&@9) zP@#0m3fNE@g;^1*;^=gOcy5lk4hC?cdoKu29zuk+J&6mrvqkYS8lz4wF^oecyRyab>IQsGHHr}>G&ZaU3i~(c77&s>k)NlT)(;68t z6&(zlZ`4Cc{Z>B|d=_`ewq=8xfiZBN7_jknDZM%5m}KK^po{8%(gT`PIyK}ANrq~Z z9}1YbL%t>o^7aKbMJ7TkHYT%pQ{3J{th%C<;o~b+)xu9aGdgD_jt*C$`@xh)&3Y4+ zNf~4vj1h&KgLzfUZc&9&QzA;EFe^e;FsxEj57wUhM_j*!r_j9@geMOnLfallxIcu^}&>j8XM**p0E_5!l*Kg<`qok{z^d1@X&4J>}Qb(%L3$C>xVmyeV#P zAy)Z1SRym#o|WK=PqmB#SD||_Wh%0Qb+DhnScr8pbt_0H+(Y$S z0}a9{Q;`&fw#U=Og61btsRV}9&;1u|RH4*l1#Bpd!mJ2Y=_bIy)9Q$I8*LjgPfK{+ z_)5vvfZ6O0h3#25LkX@MKTR35ZKv6=vl+X7_gIWXXR`^GwJ~4}oGk{LVP|EsmH{)- z!LWy%CvaYSnlfbDPP1KSGq&}{+3dGE>nD7?UEk=|Z@p%5=q~M|9NInUa9+k+VJk@# zYtG{lS>Pz*k{wluRhPIjVt7r4U|eTPWJYaL7$uGlrO-W?G8IV?Xglj*yXyejk2P(Y zpag33;6X|}ltP33SZ%jN6-rH3fT=WUv*`p8syb(SO@M)?RZk&2n-dQMp;0dgPaZ;q zwmpzef3zkv%}OCwdEE|v{cX7U*@n_!KTlqGrl9#W%Zbn+h!s^RH6@}n3fAphs0xNv zYUl*<+#IcL=_%awg7BotDKH?X5Ys{$14m#$l0Io|($$p4z=<-zcT&ECnivDdfH7bU z7z4(@Ib?wU=N3z~OxIXXn)$(@AR2FbJ{$u=^WLJw{`DQ#n$R>Wg;?e5V8>a%WwyZ; zpJoy&wAh!jw(C1oV0z2K(#Q3C|QXpJq7`8U(ST3ZmddsQ=qOGJrW6 z1E<2k`eL1`UMe}26rPx%!j=XXT$Gy5atTjlr4Z{Ju7mBaUmTN4vGv-#c)o5bHeF?d6+AR0c@vof4i2QW;e!H6@}n>I_Kj3r(mBhE;0nnULrH zDSz(36iiwMq}@Bh&dS|B;s|XpmnV>c=5yEsP1gg*c4!Qo2?m-KvNMtF8A(!^XLz>L zo5Ez9^g=n7)L^|Jm15r&&rh)9s0+x8G`X>^*<_dak&KU$2H zFPnzkV_gsSjZ`lndtnQL&8H$Q?~M~L2~Xdq3Ce?(N**NTor{^gf(dI9vr+Cnld4Uj zSsa~BfOu|}-8Nz#LiU&?e^2BN9(n9{0p%dj?xR#&!c~) zaaus6w(AF)(7}RZ+qRD4Q3|rvZO3@(V>Jm^;aY&$&ODb_oZ}c|dtTAsooqT-2|5}l zASKGAjT76M$J!QiIFa-9D0(8Xih@Xy)zOUfXl?~w97~3hddwUOK8rhK+p@uzWWe{D zQxrYL*hdd-g;#M`bso789`e=Du0r>wFtkX@9NI!GseyVyDn%!c{+Y(9#)v=hZE*&* z(xjJJRN-l!6}SJliqhiKJk5qcjL(MHLK^70hnB*>r*^{X1uR)j*3* z_bjPx#5FMni~(byl!1q(hGu6BtO^6VzESi}y(;;h={$tl$EPcusZLQt3$adU{T3;A zn$Vf8K`CrgFl8#T(w#aVydPs^9+^djfzT+LS5TpJDG{Ynm=&Qaj!q|t=cY5OZPBR7 ziO`@IB-uXFq4P=jLZMam(8nFb0eP zW55_#bp~v5dy+jQ4AxteuywGqJ_z&FStRb8lt2rOg545TC^aRbG&<|+V8TWO2~ui0 z&Etuz6k?sn^;>dN(EKcgFc2CAv7!p4rbLuRosMl^XhKymtWrZKi08)EZ^?p*F<=ZB z1IB9b2vxzbN)4SLo|_}C zgGJj$%tMIKHl1l}Ler%1#%_gJCsVguNGRMx$FJL6V54qlisvfGHSQENpJplXzz`Y) zv7!p4CM)S%;RyYMVU?QW*KNFQ#5XxP2K>o|vPi~&F<=a|4AgJ_?eVGa$bcE>VAy=4 z9!l!B`k~;nxI?xr8{7;IFu)h={J=RoJTnYDvE%J>N3kKtq~&IM_{tozZHLdM_Nf0! zKeGSQ9fy1&$6}Oj$1z2@ODXbGKRa_rUpi!F@ zjxa*2US?566_zeJ8D{+!QH7Cv2{(BK6-t*9Q5uC=5vt zP~9?}r3vo=yi&s3P7fuIdcaG%f5ILMXDH$Amrqd!ZQGICRS#lE_FuYVJ*qDqmw?j$ z+WBlL1bCtkB}2X@3Uc-ZHfAz314}8>p_4THCxL;(mEzdlcF*D}1ER5po%HgA zi7_xN1K&aT$)RQz>KuQ`#a-v7lKpSPOPcOB(DpnS1BV-|220~HaCsHV_Q+kXeyH?9 z<mSF>X`l z$;N#nI^1KLnnymrl$~|%?iMUM!g_e&jAfq?gzoQ4%gVV z>H1~UgU1Hzi9O1&u`I}Skwt40gcyMMrcMiX|v*=?ySEBWE`pL6ThZ0;j zewwnZwq<+gG#h!sv2P%rXUt0&6JF+cl9L@6{NZKy*=h)zthvd}zvE=2BX?N6N?F~m-G7n$#jaI&iYwk4cSK=!11vdys>$c`z8jBwV+ zTl^z6bN>R3Ub}ub+jND+tsNApK0R`NMsA6p~;Znim_ zHwWowU=AkdV!TD&3K=3%tT~Sd-XuedjzwJ3wGgW=afJupSQB|9GNW@+7$uGlrO>@i z8S5b)>$f|1zP_M=%BTn`cKe;l=)r4ivyD$(8|l}u4mP{^T~poq>9PCP98=u!)6>W6 zw;TUj7vZ9awZh|BxZmc*gQi=e3Z*71z*HKASrMv&VU-#>K|D82KFWg@jRz_5Pznut zL3pxM5Kd^@1K}{C)U*lFq(};}%IkK}>u+~|3;A|Z{^iZtI+`yko5XB zH^cg^y1zHQySeo#H>=ifKXTP=S5*GrPw5y|(=t?qt_(YQHFd(gf(oUkM3hFIj%~)Q zxlk2HrxV0;)8wN(Xwi6(6QMybNX~1&zRqL+sV8m!A5Qj>FrNF7{(?UQ2X`jZ>9*6E zj?j_YPTUSVaUH8+bLX$fbyh9Tz4Oa6?o~&JKsQrkAjm*dv$|h9?i}sc9Nfpe;yTW4 z(LsTAgQLjk`H_1W&o8?zj7(HPUS>g?7z4{;pdA1)UM}A4=BU8xJ+LHzjH0LP6A;RNPz14Bvr##@0A>5%!krZOpV30x5mz6{dSCTcsBdW zg9Vj;@gr^|S;*R;GHmklJPE9JJtC-3T9t^>D9nmb6-TEN#Bh>P~ z?TPy%T;RC#ZE;F0knM##B_cEmc1u*D)Rc(QsI9b45TUAbrdOUY@U-eFgl9`cXw(Z* z;%PPw18Z;aTG@rD8-L1O#9RwMh?`un|}Y`ybHs-ijU0zvF`s%=XG+yJ-*dOTfh-K zJ8cXcjR7xMcYOd~U+8~NCQhYejq1cz|CEP7eFyYzTe?|YnxDGxXr33q5xB!yV@yR#Cr z6Qq|%BD11|;^=VS{b$%TDRcdH_RG&{@jO&_-oxy6dt>T;2;b6q{&VN^@5am?z0i@_duSQy4`+btZ4q?|0mB6Xn*-RH{JOb87Yu2y*(c5*2I11TWh21 zyFco&7WNf)`|W=;b9=w^pSY)g`K5VPYf7l+zI4qAcS=NP6zrC$La8YcrO{ch+meQ! zXPYP>6hj9 zgHJ8)sjwih|7EuGOsutSU7Lwxk$9^mn^bDQqt z&RyBARMlorAMe|qF>o9PI3a3Ew4NNP;yskJ>@tC|RF=vuG5FZUpQpk$PK*#QlU)3G+j5@!^hiZ` z7*C@^&_2)bu70!_s~*slK%F4HSIu!=NA4Rbbc7c6VX*m3EG_Th_8_oOTBV!NHKBb{ z-np3Q7J3E~)+A=5+gI3kMO8ISAPl5tqD)21^$It3`gOI_njd9Jo;xErwSpt!BsPHba?7$x*kb6iA&{n zjHh_Q6_r`w`99A3Sa6SXoW)l3cSB#>v2Bq4nO-#@LZVEv@#nF&Wj%*9s7KKuLmUoU z4rhKSYdw_pVT?JJ3?=oLITU;rcNuLv?Kbee<`hL4n-_nbDLL&lW9C-|pD;WmSb(yZ4?=)ww5^Q>Uu%hRaI zMtRT+;(4}X!V^is>_%x2#)>K!BrDsn=w#CgqV(^a=~V+Qf}Nq%WF^|Rtmk6`VTMn* zJf7NcZ(SC2T;NPUFC&NAg`f}`ERoC*ULSn%m>2^~V_@>I1LZqM`GcFR zcHP7Gf9GrNlkTFMjkoaM-hAYyH+QO%T@3g|V_`m=Sr`Lk;NBPZ^MLEYE>Ayy+Ej%8~v7y}i?)D4rixajzCIdqM_;jT+)hP;9 zh*iHmD=|AksPH_?5vqE3?VVAo=~N_fbhzKxe?6Gz_j$)pKX5z0U8k1|aOdsuNMF1m zvyDxBMz#m@{{!vE9mAaee=yUyHM_Z(UEjJmnzVW3K&cmQf>cHoN==CN# znWh(%nwoRZ{hmU2wnT(Ry&xr?`)q{!JrLH5QqyT3Ph_PKYeni-UPXiIlqVkh^Xpe< ztTn}cUS?mxp!)IuXlwkJPq~}B9|P2A&AbKu&*C$Q>mP7`ADYFl>fotx1kZ*v17lz@YIJz0YPNGG zbue<(ucIz%f30sR)GS^B^;p%nu``EefMfm23ucbPNvN81yEq;Q1j52TIH2<6;#%II zx;W6u7|u^7%(0`KiMl;@D^mco>ISxzMODI*GVbffZe0Dph53M7tJO2Ve~N*-j8bO% z&n-^b))-g@1D7zbom_3^2|Fc_ihsFY=2QR|i?~l??ES5aZkH$T;@-{cpMC}B1&?|C zuMBogmcc;iU2++?X9i>JzTiBw_3px5=dgaeHvRB)inbA2badfm3_hVGH?cxhRg?rF_4?gJ{Kdmp#9$lUnHTcf^w^aY_ zkGl!hZ)JB6x%}10O|~%M_5TQ;teFg0Z?#xWcD0QRj3AD+Zq=&I9@o=N2~*t=4uI`?fU(mdOAn zM4S^8{|G2*%!Sa0JY+~HSSI4!My`bqiz4)N8Q#lCHgfnzfuEY(T` zb+_0n-J;~Db=iCRR!FSfj{{*M9C!H*=SonwVzt|_@dP{%`gz%Y{!mrZo6U)$67v__ zH4hJdxqEhZ4Yz5!;I^kDr$m`vI`F~oUh@K>b|q!Ll37_&eFk{_E#KQ6$40K-PI3LM zU{~?T3og`E_s369ccs4mc7pZW-T4jR{ht7y{>(mz*{19}vfX1afB~T5lAXo@#^X`F z+40R!dB8pu?$9JF#3;n7$1^2nCrB@k>WXVmJC0YK%y=>>0&N@}${W0H94S}RPhNjJ z9zT7z`ch4{!3xjqe={B5{gbE0)8_iy(f@}pI$YXzezAW0>;7@V>;Kc(34HQ`&_XMw z(4!-&P-?OQHk3wTR)nfxSfz$e5YJ7oGlXZe5^Y=7bDsfX3lGzowk9-93O^(*#44}b ze%C3Js5#_rT(+PJ_Yi4^Y`==@3y`wk`V4-%iABcs+wHwkJ#AfB1-H96`vdP@bYK1W zPmLyj@xNT%eCuQP#9e%5>i+P%M!5d=KXxMo+2K;d!{7gJ-DLfT))s6B(e|D6$VYgL z=YHd(3Z*71>099l{exkZn&a1PyluocDQ4geTv%eOxAAwz zxCr5b`;I#wz?X5pxURDY6aUX2{nJ~{eRy=6^V{lTu&Lg{HzR*Khi3Zo#6W)JTTW{^ z%v9qWN0ryAgY0JHE@60@evR)Q+F0*$hiDwr1rNxKKL*8PlO-^~9$Kcrz^7xT#06^WR7Q8qYR{TE%I%-gJ>xgYPGB>a>f7 zX`KgIHjig-yu~mn`+D;G76ayD+}w9Qw5!$0?-u;;h4S^|ckpYG{-V>#F97rtBPV)k zG476NspMwg=#HbPGzA|uIEG@`U4Gb9hHTqmb7}24{H&DZUPv3b{&t^W{q|Y>Uv~NC zT0u($jyLDyUvl@J-f_78_HA5$yLS=mw^OX&damD&-~CB9^0&t$_s6&|$i6t~U7uDT zPkYLBv$VDeX<%d6#U2kwf;liK9@RUWaHqJvg;;e(DX}>Q2zP?MiOlGel{h+FJHLm1 z6YIAg>$mdapFYgORt7Kr*-d}K5wk7R@bD5aVeR3;H?D=?{+Wg13+;|%HsoufAfZ9qRuKQ( zn&73U<&EPPB;fJ*-p?!x9_`@Sm*beFF>q)G6dv_3tJBZX9femeoD2)96eWu%Ok^>} zRue%?%>C};0hc-xV_-=PjPaitD^3@si>=pEXc*qS#~M}qp?)WrA8*t7CLZgkepw8< zCw2)jW1AeBfkTZ`hekhwLvpstZ1oFn?}G%siCO77)^A04dTqpWX%YO2G;Xx;Ik!F2 z{|-wK8+UR4gz;xD=zXl;{v7Y0aPdC?)2&@`BNX#S^_8A9_ht|%pTCrB@kL}ql(N*o=og3ldxan+&! zxt~Y#dH>sIujpv!E4S#Cm+kj2yRW`DSrcA=`_>*DaQ&^|`dj@Z?w>IKG;ZFE`-t9q z@B{Z}vhlOyk-(xzgjPW+qY9;_M3hEhR)nfxSf!>W#dAMgCe-9aXpjyPH=!>@xG4mo zX;N5og;*z3w}OPiJw)0exc}``nexxwaAS{W_eUG*izcNCV}S~~Di0k?9F`UX~PVYH>3gN`4%XZ7a_e?w%K*z|f4k2}9| z{}~dpb3=G16{O$UE z)R(~HLa+MUg-AN=w_Zi072dGki6-RMhWd6?pjeTFN?m0qmLq$sX{@taS<_rhqSx`gc4oagno&f`^p z^;;*ux8{DxZ+f@!iLv`lz4>wy@3C^oF=_HR%&F`hziqzCTeu|{YtG|Qbr^>rsb+CTxUfKrEKL(rs?*;eZ>YL+<;>9rj>b1%To*D7b3vB?^Z+ujt z)MN#iN~16!Ba2Ie?f*-sdi|-y+Q=>+lI zG~J^-Xwi6(6QMymNZh2Nrp|*nPpC{5zhCA*uvouc-xzCdbyMI>=o>@#YNHZX5^%J43)~@O) z%i=xxu+6@=`)vJV=Y-j%`$at3cTkqUeh3?Hw-N;lCd*-f_0qPHiFwI*t7CW5 zqu%fC!s8(xmF!?E+Z?QB9FGBG5&U8O_9kh>U^x-)pvJsI&6boc?Z=)V z7`JcHwGv)TrU1k@9Q=i5;1$@lA4t@O_H+~m!p$-6pYZ0r#r3!Dcd&lDRsDV$)^Bl##w%`od+dMMZSrhJz?KoglqD5}DCCD{*wVR`*YM1!=sG zYq+ZGZ$J4PI=b`iENW%ozx1{7X**jQ@no8)*OLthxS{^8|cQTP}b9qF&Pf!TI@5y19Pwvd8@s{MO#H(_5qK zqgy||dC7mqZC%mvzr2K(<9ql-!^9X^CIj_IQjI0k_8=PpAK(7L%x!vlRBsf#dgddI z+YRjCF{;$qe}MntOpeQdKQ6#|@JA)hw$PA!cynZ#IdRQ5dwG->FBfsyISY~xrNe|9 zi1Muz^Il;^yMF;CPnbL*4Di4GK?LQ5ujH`Gw5lxZ_-aHfE-$)ak?R3!wklFyqVo_C zdg&VeGlNpZjoi!FslE)v7sX1;e5JFqGa$!CI1d0=za4W#Z?3<^ zEt^r#rE+2Op16C@j%HZD-JSfJb07Td1@}8QZ{Wi+-2ax>-|o2i_3;?j-(GMpH=}wf zy4l4U5USWyB-P_lO~N?ZC=W1xEZ*IUJF^h0?!$hNULJ|em|<2rP3WM*b^D*8^|$jJ&e(UbM4=S;K>o&H$ z3M`65XceR~s!(c5L}?UeMW_mfRch!2@!asere7x1$kVrA9Ww4+lz~9y?*oWx~qTrlzV6QV{Yxj#qY&y;8)SN zcR#@OTX*^4J(M<V#+R4R;gYRB%r5 z%>_69yys6lux!i5TwGi$<9k=97w`PrD^vHy@ytEgxc$Q3v$MPI!*_T5?C0;}t2+Su zmsck1iYYIFO^(gL6I-_(=2%U9m*88UuZOzFS0z#rm63bx0j5}EzG=QgD;DY7co5V7 zEH*&C@(^cL-JV?!MnX)M#DD;&dIi@7e78DaDHg~CU#6T1@9aUZJ$kI+A0YAbg6a(Q#dPB)0m|Vl0kr5ap&5qSa8(Qblt7{d7$|z*h;q@#NB|2I7lZ5Pb38k2c8B6P3V{; zL8-*-M)}-J*z+tTtYDC=Y{R0HO$STq-#HWHyu(umjs8hVx2=N}&O;XYCxe`O<*Ztt z+8I|jQb22e;u<#mRF(x_DpP^|6jz0>-ADajBi)URs&1d+XDD&T7k=Baakl5P%)oH- zgas=P`Gi|1@*{((`{d$e;T8qX^lsA}6)LigV_rAzQTBcf-wmz?5ZHL`%<(WW2A06U z7o6aZpU(aJ=K+TA^G>E8J_PE``BMN+ZKWx6wJARs%ftShn>Z6O}yT8ANA064mcg*%M#lZDoxBqJ0$=*L1xeK@+Y;*P% z_pN)l=wZ6?qZp9OaBIULl|%?Cv=qBC46`D{h@;a9;<>5bNbsyYXwi6(6QMymNZf?J z6yc^2gr-?3#9EcQU0e@_`_bVuSnp=zIc~7*XYb;Rx!IOtC35!emB}w(dCFb>3#>fK z$8LbiAI80!Kjr3oySU_b{JMMb>9636Wq;=ACKgf<&v01Rw3 zEL`T-81WX*@t{a{VfldX9=mw($}jijk#aCv{2R98+?9KaL**D}@}w|O&nSjzZfGW} zcn|cY;Vz?W#bG74D>$njn~rGbXax`EE0|L+CEq*q1fH0=>-<#_PWNV9?iX^vdMkRh zC=9QU@V!X<^0`(Xo!0@zTHHruAzm<}u-_)B**MOVUrf%A194t_@33?o{;T@AMV+B= zeM#F;nAceHlizYWd57hWMKtAAwl_v@YmSbM)ItmV*U2*dq3!A7eBwIpBk-?Umo53N&hqN zths;Sw*Z6n+o}7ENFLEFattg;thO8}_%`S9z~nCWkv_FqDa5MYRWUdbk1rqLPS7`z z8GW)6M@Kt<=nKe!-&XiTeC~kzG5WRf)Ax(lZ{7C${DeJW<}^6N2e3Sa}zET zYH}hpNC%0VI9KTw+d>e=%Ss{EYSgXUes$ueFW{#aUcSNpn7N&A;nOqDQrRy#nQni3 zyrI{=f9ie%KjARP%|0%@b_o-OPhn|y{&D=K6lTm1?>Tq>uibd^8!wH#WMgY-vC`4^NK3FJ|+k2Q)_*pyg z!^*F~zAF8PSZ=7M9ExX%$8DWWjDck^z`E6Th^)q4kwXDJsqsyy4i>LnlM=o140-QTD_t1fc;j#eI8gY zp*AVRD8!;EM7iOct8gdio5+klNfBt{=umF|n5g1zB~z^5Dt}LBeEq6c%}?S|sd(VN zb~Al(f*;=d$W-RLqtW=aOER7Q{uQ@}56HNFJHtAd@_vl7*WdPwR?;~sph0L5rX!|M zx?}}xD2>9b2vxzbN)4SLo||x)P?MBo+mx>1%$jgDH3@?HAVf_HKWIv~TIk=HU{`sZ z$u$0oqH|KVppBzLDM;bUE8=$+>$kh^2Cly?tJ_^v`}Vt-V0;TV#G8Dtd->6$(Zv1C z<%!$;(g&RX=4&%QUcc=={RiWT{`GWIVX|NwVUyy)kI(|o{TFRiq10pr7py6b!mJ2Y z!LUjVogki@rjV2eEgBC}l5U&QwZ#hNQAbb3ctUg@pLLBd{^q6|@%meS&RZ;>_II$9 zu;<)w&hRmr+napL-M%3o#kb7d_1i1%hhSo23=F_P^8%McU|)mn zOSGTmC;Swp~RA>PhSBq$UNa?9%2<)+}Z050^OA$E7;=Ir<7tj=5v9nhc!D@fK8Wk8dsr zy+7l7JIADaQxs*2TZmVaf!{{yS4AvNJnHz800Ixcm_tmkHujS^Qz)ZCyQ7$~ZKo;6 zZ<{PX{goS2^3_KYFeSK%^;^L_)L+K`W%SykA}K=ce02MR+q3((W*b{`w|-kMPS!?J z-FkfU_RAAofBPBSKVfHld+etVzj@8mB`ZE_aSVgw*Ud44^M$-55#q2h>@1ImWASn9 zP&}%kN4Qhm-a@RpqLkPi1B5$4-$Z8g$x0j@u6Qfo#qW|-*Wd2k#QH6+?s{+?&7T!D z_|CU)?dps7ov!~dYIuFL)<y7zgsNazrG`!r&rP^YsL6@YARQ!bLSKq-QwT!StQ2CE*X?Hf6_rhf2H_qe z?GV93Uy+gjxf|$@pLOo@T)*A<%A#Lrk&?Y_jHvhZshPX~!qmymhn>Uqx6`e^7`t!Y z#BcHaC?2!7v9jAfKK*iaj42lX1M?T~vGHfI^T%U%ZMHz$ z!z-gie2LLfZH9bJ6y!8$+X~{JBNNrdeBM=@qO@>aeK#FX1#)YQQoRZ%;cwtoZ|JVulZ|U` z#U2j~8jCkJ zsm12vWj#n#U7KVa**PAy_N%Izg6Nw~|DM zk_H#ChUKt+tG94a^#|8)*^Z9bIxJcIpoZaroVVJw`F+^+O}mS zc?NL!;^u$)zLP8Nf7?CL(RL5UQ}0pm6NgSIZ1-r}CkZo0?8LY&I( z$W5v%#Au!qpEEH1lgj`KZI7*Q2iQ3dP-c_zw~o5(tM^dew;5jvR`+=n>a;oqJX{}H z2~S{kw?49`m0_S@?sl@dN+QH z9>%u8FMV!aOQrV9wi~i-%g%MB@ut@~Q~2J;IqDc=?U(0|Ph|Eu3E-G37`3rK<38mV zFmCU1Da?NwmrBld_Z4xax6R5haQ4RA$z3d{V*S?bJ>RhSNx@>k-R3C%tWb?MXP=(# z!Cyx>{M?3&WUTkyI!2Ydc)Y}KQ2aFJxStrS-*xWZ{cSj91)hV+c^Pko43Q|-oX5j8 zvquVZ|Cm&QD?K+>}}k%#gdat+{KrEVSO9xw~F;!)g6?!{*9Ym-oQ8AcR3Q5$nG`_gH+NxsL&Ez+Ej+Y zx}6L4arEQYE%Ak$bdb17?M{d%S`^)g-+KIjzA4fWaoO!$%@2#P_Buu{JixD&Df&To zZ}~BI+}qf^ioV&rgt;#yz-v&CRaO z#Qh$=)cc{S`!v4oi+|3pjd}lDe+OZ{yemcXTg)v?k_-s7e4Cr%;qj<=WvwU=$e(bB zCPh++#c&YirW2%>M(uOR=e&v9b z2vxzbN)4SLo|`cq{W75@CqjdCkhlqbDZ))52u-t6h;^L0eUnMl9A@*0^bl!>%-xSq z+$*?p3)kO{Jx=(`KRWT-fB0qW7RbBLP9Er=J|+J@d+!@u$#va{-EK6%o*_szFqqLB ziSitPBMGD>=ZV(DW3S7;0EaY0(+V`=ZYW#cdLzqP$KKi+yZm7*l@A9Xh5~827UVMa zIJ>EOlx1a-QdC?=rQ)XK%Hv3O7{!&EWt=U2$cM2?cBxXXilX(V9K}}h``y?5@EWiG zTmbLQU}pLUbieL<{@imCTN?OY`q}C_Kj`K(z+~ zvt%=lWo|4PF~{(Vjb^EJzdLBdn#ZtoAiOz+fr``E!D5-hehD}Aoy9hvPDJb`^Fw+` z@MCVc?lBKUZn|5xZX6<`_%qSR1}Q0_?8mZ^$50Isz)8D*1&&Z__lMu2os5y=sqhlo zW#X&2=gU}TS(AQSJ&+yr!x&K!0+9i6nSqxfvZ(1a+pGQM12+h)3>XL9w0IB^2%4!D zmG5rlSz(L0&FI|b{G06ec%bUq9CN}Mv=OrN!v=2f%Wk0U(UsqQ?prO>irzHVbTfh) z^Dwtjvr7Cb!Wumktd#9^7i)J%#NP&u2M+E5nF%?6!tVIn_-XVkbo>;qqyLtJYt4r{ zPKW7EcSNq3_S_@pQY@dq_sh)6rx!8UAT$qNegJPkv={uUTb&ip6UWceyNzpJSR(q8 z)s4ks=r2|eip5n9!VN!nr;HxD(%Ma_o3){EqtK2D%P3Qea_tbklq?#D|BT6pSW z+~Vv|>MQnP<``Rk7ErhrrP>uaEK>Xt%*S}Gg=YoanfW0bUuH$=gBxdz#o*c~UTDQJ zf>O-M)5*;8n&w9qiT*@C+E^Z(nEJvRt)r19b~sNzp=r)+$XI9AmLp zge>LSDFj%qSd7u?jg&eF8LBfWXQ6C^z6V;}prv@2;jRJk)AEPmdayyq)}nXr2?@S* zT1@+5@cMMyXn*Vss#&xNW~Y)zFqRPm(vvTKB3N%QD)3g#L+_tOey5l+L4`vaFvzkJo^tp9}UX z&^7pQWd;3SCds{A_`PLEWSO)5M7!oLVtYBt_SXh+hymoeSc?|>GKobf8{rOk(S6Zi z3?5MG;9(PPz_Ew958@q;r!MJpmb8&Lrjgo7`vOZzWur(`K z@|iH93Ok5EO9n<_c_t}~?6Od%3WC~N$uMHDWhzii@Hr!t1BS{B?o?%)2{He|gQ29< zp{8tECQ3cUrR?V*{3$mhC)$^&SnCJ9b={)=gs+s9K0U_5(oEy4HzPNH6~#ba} zmERM&j751v@er1qSPc4Y+-KtkEKIBh8%COX0~RMxwd#QAsY_Bl#qFe=9ga1Zk_n|c%71uS zOh8t$j98Wt&WbVk-_x{XLM3oJXUULRqud$E#4TSiCbdB8CT~qH z6Qz7EWh5HNQFt(vlv-4mE$63VJCK7{L2E6!vl+HxOlI#6l`DtcS84Ft*g8&(*C4cn zPOxx^Hwbh$=4+0)k+5}*nn3xpbmW>*wCYZSz0(YyguRHh9YJ`Z0tr}&pmOe9aMg)V zZrZ=cVFh5#rxB)_;Nci+1A(RxsdlqpHzYF4*hL4IVQ4pKJa9Mgs{Q`7jt`@B(z z_j#A!%I0JIU;e(}yV6@;stv}2S-z}0!@*v%6l|KsM{N{8ZZ>@%=x0d#&aeL_}10#>1b+Fx1;|LG_;+EmJ zn|xqjIb*H~(ep{h_Vzh+2FP9q;pMtNks+?GGeAwQw~QH$2ftvw+Dp zTt-i0Qm~8=6p3C?auwGVLQ=VQ3IUcYI&k!kmy|jP8LBfWXQ6BZH9raOV+f<^qOs7& z2h8k>=P<^d-nsCs`4ZlvY`hZ0jS*lI@&Wh`%^z-jsQ} zs@VXXEVHU#Mn;3i1H~S|of!zryF{y~H!*k8Zc6D^2qstOSg>@BS(U)Nj*;k!CasB= z??>t&9bE50 zTBq7H&CI#2j3rAwioczsXjEfUu?Hg7m_Yw`-Dtdx7+dcrR<9M+t?QH(@O^~5P8X`w zv+T09Mi$Y-7BXZbv<(BnMuWq9AZ=$KUeO(^0Ueu-OH*N6*eE> zi_)C$qemSp)PrenEgxtgVPkE<#BvNly^1NqNNjKj4@m!}@(>#CbkP9eHETn>NrRDH zS^us1K(euV(r>=;ptpv=#LK!}bk2s_hD*0DB}SgZec8eV3GtfR1#|gj_sZ`D*Twtq zS@$+Lj0eOCyEP7ANxuqf*1;Ei1dSXFsN?|{kOrO|MvJVMsf1n=yI(uDu(TL z(0_~fc|&y0Cg=wX%?7?p5CL|SRtE5l}uw2nUY!xY%U2WW{gOH&*lX4cy62g_I60+99Q`eD+TiE-E zu?gm5Oe_kQgzv%L^SifNRRxZ3oY^+7{9p}35n8dZ{}$6G7>nl)a^ZMrXO7QCI*)lo zH~zM@gf3nizeW}x2$>39SxQh+e4u2y)8p2spm#CPOrUYnYDclQB0)LrO8iARZ9Ute zLGRqujp$2(KG>c&E9k%7nf^3hid>w+)$1fa`_%fMps{7v%$EZ5O#2n>@O@tImh)Zg z_NHiu_P~>A&7WTJcojq+fF~G(lus|1p}`wA*jLWFctKdFt>BX8IuyQ+o%ztL;>Qyd zZ_s$4%mZwx$u3dmEV>9bye7{5xuh;R5MlO(@4ZP>m;e;674h4I^RP7Aipu=1TD_es_F)vrd7)SPXi!u7Z`U;qWU6Q^c%9%iuu$tr(SS%@%gp zq^O0~a!iDwGZC$g((!p<5Iq~1PoR6s$Zr4bFX7|QGgDsegxRmHA#TxsYdE-ede+GF z)`u2ON*jiDX6^gYf6I^VN&kB~4;ZUmK@->m`tMMWHz@ajkc7&?HOMS?SkdsXDi(JE z6>gBF7OZnTb-C)PC=(JabIR=hxYTzl#jORntjD0c?hxKjz1jSSV9l(SHl5UxCx zkhK#05Ur=KTNAg-FlgY@5z9dQ)LA@q_&6%dZG4=>B4K&vjVS*0@2o~VE|utFTCkln z(Te^cmWAk>Hu&`AmyLNLHZLA~+{8~@3e6h&Zw;P1%#1g=P3GbyYKo^5Pl8)w--0N(N23|(F$9+amVO<@}C46UJh3b;`ZtGD?umN z<`+!rq4g{c$GzAZ{cx8BtGh8 z2ddWKLTuvsE+TdTI(As`q6vu;0UH)$c`Y97M%b}}TG@5!HAl|Nx3`kXqb|9X=G4@; zGzCE<{J)04i-vA1gAS>cPzEMm%|WOtI%j9P#%wwVFgnj7_7~p`22R`g!kpPQY%9Em zr3Xq4U=(28dfcnKqP`t>A?gpwxDP{(KA#gw&3AvyE};KbsZ8GL;KiB_H)|kx0EYhC zx6nDOr!cs7L3^ll|K*}Y^5rWgdM$_&*MEEA_{WUhFz}N0!^)UG+N!*?-HywOw@1+CR84{dM^-kC`z3O?=<9`d=iiP%Et-X!`b~W#z!v~|$;M_A5lp`EUj@&^{MXG%c?C&sktKL zbb;Zu|KkeA%w9z`i?@NjVE;#}3W`e57!`)|&q&?j=@&wVUZ%xEvq5fmar41V~NmYXwZ1zupWq9r8&^T0A5E=feXn& zYS~8UUTY?ON=&egT@1g_P`D`Xm3g6{| zvKQO5B25^hie>S=SfK*OP#d!rPH{YLQ`f>JM-RMg9x3E5|3#MR#)IbmIO<33?4>w9 z-V8z$BuoWXrrVmnE&urxK_ z{cd1n>n2Ao)WCvHu8DXvJ3nh|m=xKLOR-Q93&TTfu{d{SRfhAQFx9)fp1RVAQtIYG z5^faAR#--vT9j*tsGJRA`Q!7_&!n?t%s1A9LzsF8~uBRiNs!A)-ah4wLxe(885 z=RX;m>#zMVzJ-25ZSozAVN6aM^UP0UX6Nokt-X!`hBW=R@WDt_ra@W}FG>gI4muMm z!CVSsPbrqub!*~Qin6sx%qlbC_6Hw`&GOSG?)A|2{2az9Bv+!0f%IZ1l5d=`oZQyD z8R6k>w~exIyvLXy|ISjs3it-j|28^@H+qp-h+3g^W&`xI)d6bcCRT;6q;MfY>(n|r z4uWGGIT)5?;i_Cag#gP{h-I{TBc&2hE@Y_Aq@0DaV+SAbA1N$*SkpDjs14B&6|-@A zF<3X|Ddj<_Ll+ERJ9pfKnEy6xU+jGuU0@PLS2jLx&Ibyj4SHCkBiESgSlVxk#j8B^ zusEiA!=ph_uWFRzHn*@@wgzMKfI<`dvMzAwmI>ipRwcDSj5|5Ya9o%+OQ=R9{(00U zF{&mTn;scHi>qDizPZDr_A-+^u)=T2nRExoBMUw9z^)b%)m@zA9XU0_F#dHH%3=8E@54 zch|6unmJke9fN*bu)oX|mKDXXyQxSU21o-z{o;0#0XuY-(WG0BNtW%F7v^?n=(=2a zSYpRo6mXGqA~l5F*9*&x{Q3YdfZ&VD`pPpx^*$!3+CCnyxo!0H>z0= zdEkz$Z>>qvTl`o*VhzW_=;@(Cm2D~rivHX1LTEXEg3R0u&x(03f_@3_pKr`<2fZGc zItb{^`)9i7;*Dn3YpZc+MV+MW*~vKbcAquls&55;qN7H8%9IoqQ@G)k9}kMBuJouV zb>}i6!7`P~+}+VgijwSg04Q3n9Yn!mP9ko>nOuK!Dthk{UbngUA&&x6^y3}8K8E`A z8phvhx4WSWR~}f^*2hh7y?a0Uf)k9m{kC!AZ>KQ-ZPHoafK&}E=x$EZLRV6_kf5a0 z0-{Q$Vy_5E<=QC(Sgx|equd#2<4zSUh(d=dynN|smI%ABC9_@>|%0+R`;ccuLYld&slT+nZ@%wxt2%%4@}kyj1xI%_(Bi{F@j^c-DLzm#75cm*B$aEY5Ma4F zSlm)lxT?;ioFx^fihCYk?H`Y>c_NC|+yB@sY+j;U>tQ#}JtwEX_@Veh`xVRqw#}y% z)2hK6p#=It;aFZfbs-R=kDK}S#mG!|)24K-?%95H)bibX407)kxwORk5J?ecR_x%{ z`|$|};jAOXEq={4)Iit}yKHomUA9a_f_vzIXJy#(Mi(z!dNVhS_ofHhBgIB2c198l z5?pAe7f-guG3g?%fR>#2mNXmZj$<7g5nt>D)TQ*dtJl=kpz%Pd2c{Wi{f5Cd>Rda8 zoe+s0U~GlP^05Niqd*$O5q4sOJ3J;h)krYX&jecy8V?-C1M?8>vfDyaExhU*KB!Ii zYkmSVw}lAh>>e&+yOa|&4?c~Ak9DxKjk(K73eo;`lPO@kLF0jOc)<1FzBILLdRGv` z$IP?rmi1A542)}ry%6Y}jjyy9qABIO4Q;W(%CVgwe%Kmw83P}dRX zn%-++WRB08kkca24YeTSRrKFx-!H=m$YTrJ=f8(W?w@Z$$BEc{{8|`ZeFR0s6uIWL z*=ZKG9zvEvSC$f#6dx#=ihV956=tiXq_$gb7l#Qcbr3RCXHw2WSwgt-R6^ETcRzu|=We!G^_;jn@L#>QKe=3;Qu>>Y|QK zsMMVz`1OMI;%brZnze9Pz^{{3dEWVkz zJE@o=h+Pn3DkeUQ@Pv;Ao~jzQyUYU&qZs|S46E3jp0yuq9W$Rqx2zWwjO*yXH5`B2 z)^-$4U05*7!8H@cE0|E!JPbvx7tt$*CvfQEwfeH@d1-E#YCIsM^UUV8&SG(gkT(#@ z9k$4X8{Q|sr!Hm(C|9{mM(n7tjKZ}j*A7wPl8(O>+gieBLuQS#Th<5RMKE&wtzi7^ zwzM&9@t0=j?fFlNUcVX6#cmwqRlH=jG94`b)qQ4JdOm8wBjhV|Whp^P@qv=5*egO( zVYW&Ng#gPH&-BqSA*BvNhU!epStv^gSDs49S_@BIM<{O1AE9%~oi6CVHQ#?OTEB57 zJwkP*-8p04eB}rDh$%W}r*7U@G}Gw67534-)%i7JUVKQ+$NtV3{j>W_7+sB$?oTfS zbWkmX9EGkdB`7IAP%_==aqCmiyPfBaEK2!$&g)Mi&dyB*=+&x6@7#<;U&7Z(FgXI| zuS4*Ex?de>h^d;N!Tag!z5AXp=BHX`gZ4#@%&*~<%X+m9M}-IA=mzkB&}8tV5Ek+(F7B#_U+f!s{u+RdF(?OKDaI_JAB%^6nB;7Kf3A z(872a{Bqt8Ki~mHC*!pJ*fjly0IJ@QH+_5$y@MUg{x1$cb2;I+!Qnih6lY~1+~5&| zmyN|@Sc}ljip8<;w-S$l>ZwaoMI)Iw!jK*Ko;X>DQg$sPp%R}5HCF^7d!`GU%Kc)K zNvXmPOt)mHEy5m_Dy5`JRxOv>RZO5Abx=OqD>JxL<&I2HopKjmiRMEepIYyF_NE}k5Ka+S+ur9jDO&ByOnHx1@_Qneu_&&4 zhOb)P#9}a@$(+YBU}0i4An&P**%2d|jM_1pk_q)yRIPED&J`i23xb2PrZLAv62=d8);s&Gdp1WgrTGRUWFqf=S7ERza5$`l_e zJ*`C#rDLqZGFEMQWt!g|;~wjhNg=H>C#Akt?e8+{oN9#MxFq)~XAt#(ZQAED*E_o;es5>{(RttSZ8J zZsMd+tUiYOpP6LZeAM04Fu4ldDq=&s=RLsi!t3Evu9}TZD_S)e54PGvF#5#paWa}G zL$ij#Ub3--9XYk~F5$H}c~5u|;UD8(Iea_l&!FgGPNkz4pCvDU%<)+*64Xa8c^qT0 zSA_I(?GyqmSH)pMN*#m@)tQvDP?iv`Je82O7M{9}PTX=n#{Qc%*H?ZvG2cg9^~M=@ zF4dNG=f)WcU-gqcC#UnE%{7 zzqouX;CU%{!P555VZg!{Pp|z0d=LH0SAOk6dcsy~?<#J)8#Ep$@j!av!{i2ihHZYE z*YyE-#Vv(8EnInd(VR!5;~!s>3N%eVzHx61>F zi^(Ia1(z5tc3=5Xg0*8O>I<&oL3zRmHXIK|@NU6uV!0(nVP*$J=YVpJ*sb)!}n zz{0vV#>>3Qo;H3mU2VNuW1x#|FknE@x(C)3I^m{tJXyb)AME|Wpw=BFAo z8$jpZ&ebA+FeN z9bl6!Jd4FuG;EP6cj#o{23fq|sf*bG%2h6t5j!d@qi`+CwL?@W{@Y+-wr_3UXCn09 z&azw92a5N36L!wdW3t7lbK_(;+Bhar{EXV(3ro?HpMxLQp^GfD3%_cTvpqf+_5k|9 z(Aogws5_8YNKg;8j>Rz+dqv1luAM@F@NjVE;3E|3930Z65sq5&( zt@3+1A?@j{{EV^RpISu!ZE}Ifr4DO5XQsldKZ>uFv2*sl?Z7;8c|#i95)F>Nyyy|wbSnPzyA!_kWbk`2FNJULc z^u)Cf5x{2kSR7DS7FkXi(DZJW8)LJ7R)=R@r2=j#>NFKoI3=&dKNz~ z+50hlx%MO58vJ^-nZbD5(7A0n?;~To58k_*75%sU*6hmkMu7g?IgFWI^NHK=P0Xx+ zDtz~qf2%9Tax6?>-nWF0LYtnk55((n)E|G#DH{XCbL2h#_U?B07)mG9!DGaZAdAJY z66OU^vAB9jxZ!gcoeoc3%nnelA}qp&9>OI#UVfV-$>; zbz)#Bhr(xXpT>i-O}st*(rfX_#n~sWhwuL((`x);aggnLWC?hCEY5{L3nTow4Bo$_>q)-U3Tos22DV3-!n^LscvMjqyxp1S} z(g6!uE5Z9~PhGbrZkJ(DxgS6jEan%cB&I#9r!mCf`%|%b`wWw7&_#=&eGPGY9XZT? zxOaZ39a!_+4@dU=-)PWVc|Yd7Jz^jKfZXI_SBq4tfIW@aXIzy@aZ15#%-M z`ZAVRvFvcC!IAR7k*iC@*FAG!L@g=qD&5z4Jem}J>*O$m-lE-Gnt%a~RA^<@UI4+P^FZ!$Dl zOGnt~E>jGn!VtU0;Lgn5R0={iJEdnFh13Q|#sk^GIWh)`hb6eu55>~Dv2$w(y{C3- z$`T!lXbds^Jqs-v59-^q{{-R!aSJ`>ZEh@pp^Kes5ZT0U4S4QA%B{z}x-072aTfx| z=xBj4RgZN1E&2y$HAeq!tcbXNk8Xvqpfa_W7rWtgvv@L@iC^1jD{9@DMfTrrP_Tn1 zyB|Xr@7eMO5Hqtgd2sj?ow+>9$U9!LWV9 zMB2j(Wzk}(Q~!ZkZvEz>wa|y}N^AT*d^BQ%^C!%{&jj5blRY5hDRxI0K`G(` zB~!6igrvf3l@tmAmaCD2Ax!mwkf}P8au&Fm;{CO!5+_i%^3>%Yw=EY^%yz_8b+$Nq z@e!gfK(HjAn6ivpyYkwU>HOc1@5FDPS=lDF7MH(cMK|tSJ{7&sgdGhQ9{cPAE2i}u z)B4t>&&KvRUy;0apHU3aHHcf&{nJ{NeFouAp({%XN{SDZOod+Q2uX$6Dk(=IZfVGv z!8w4B6wuvY5Bcg@BV>#8zm?$i853@t3*G1#CYItRj&RVK?uFqAYZCM*Y}~wnV}8ZMzCy04-@nD zL5rH!>eb?DEkdTqRVnmshr5*!WAG~4!{3TbJjZqwZpj#L?X$)xH=l+#Zdye0i3maM zx*WEcUJF~xaR`Rn95)j!+acU?CyEXo%6N*W-u|46u3w!oy$G=@?i7&hv()aafkI9D zD`NH%_v4!z4H^#|!UGCfMr$8<-yse|)R?aN%u-8!m2&UG!U&B5Gz-!v#(_nGx)UOe z+xS!(&7?GFJaA|a$Up<0lUeL=oNVZKN$-m)>RV3jVZxX38T1fetdTGDDOfXj(Y4mi z5;WUrevga?cB`!;Vv<+;)(rY@FP$~(2^y{Fzt!3j2;y<2g$}OeI1qg^G1^71?}cad zX*u6@;X0(^-3GJk_V?3=Z@k3GknLYvz==nmpcD9gg|5L+yU!N&%f zVu81ZSeQ@?PhDw5DfQ(t8L^|nvRY%RwSy|;NWX_}E_A}g97nDD@y>A5fh;9RuFA&M zM#ZEw_uqgp@i68LBfWXQ3=1TzM)XYb6+Sp1N*L+zJdTK0_l z_u5ntfA2Yr**X)m7}Zda*RLAgK-|Xcob7af7k6Fnk>LDyW{rIfqZ8b*y=u&B)HJYz zJC#7*LI&C}dK#Az6oh)f3MJRTnp`GAQZ8jAJi%beH9`=k)&k0fOx2l`vru;I;JEQ4 zg=HUWrU&0c*Z10~H$EfBzIHCulXaq9yw8AZe}Z?)FrIP7{HETd$oqo?pZZg?@r1i- z9k;FiI7ZSeSGBM_rjvrt?dGKNhTe&mZGv_mWz~WzB$3p@w>*PqZfnNGh+9j!c|~o4 z2gMo*o{vRoAOEzg+@?Tho1sDDf$@3(QKfbTIyd*Nw~OoM6gm}_p(FWRU^GUX*Jf(Y zW*ay$8XQ3nq>a|2W10AvQdG0D`BwvV^hH6(Y|M)EHFk#K!`c=onXjxcjAxE4BMziyj35in-a zE$oZhtbG*~@bzsfAl#y)ag|MIFO@OoEEZSM@VckmVKIdpUitC(cQqCtZ&#r!DP2fVQfdKFCDXu~oGu|Lm%>Auiw5jlEG1z=N);@K zLWb&0%2_B&oV1xKry-YwM+0C|>BwQi77=10_?T z&pSd=VYW&Ng#gP{S~jEH8EE599fSZJQD%99q+Xsx|4@eui zbEG;JCN^k1Fa{57;5CJ8{B3Y4a^r75f$_IJAKeRPedVRF9e-^8atp28n-MDDA45m& zwYjVgN5EcwJPTkSl?{)d2ZU_&va4;l!`gv0g<^5{*}{$81HrutcdR#^a{f#>ZZTI!HvSgR9oTK;xgkh57BS;Bi}4geZ4xANoziccr=^qGK#{C7B8dN{SDZOvOGIlFGGH2(Vn` z$~MqON*#m@)tQvDP__XkJ+Nl_jkD>M{F1Tvzy2q3T+f|QI<_7ibCdnIB5(J?sAsx- zKK$Miv4_I+O`Q^YliYZ~-vbY(cTY|tQuf3?gJn3iR2i5tKEbp;!U<1yP@VQD$eO4K zDn+SHTH}HJdtkcXuy=wM<7QpBvU|%Hfo5U46q*^d5UgA%tg5@nDP#3j-tgVQ9+>#W zbx$-YeRaX#VOhD&gF&~JtDx6f>>d8)HBQ)nmL|MIEM z0~nfc^ZBm93zApNvU?igbnLz=n*5pd)Y`DsdqBv-DkAH=akmw`_9>QpcL@_};i-$+ z0m>DFN4QZaTVWYxYEiBoqKg!tLwlAj)0Iv*$N3Xtukp8(OrGC1ThG1In7_t5kbk)z z1k<1Wkz?lkr=g5wwm>ph2D2Y?S#IwEl^EYBa|c(~J+<8Qw=CGDLv;`&}|3yb*^jGj7+ zhCxfEi;w7-pLyu4IscuZV|)1qSg42xUAW-`Ayc6%O9@Jf50p#;YjT+gNx77f@C1V; z*9bwF>H{HDbtdI3ly%0tjeC3bMi!))8r;0`yfM9VhGV!qfXBPRa-t8)D`*wBAz){W znDe{s1Y<4>W2C_tJhN1C5odYAdTSY{0lRObvso&BwZj#b24nPqQWq;;;YM|{ zgB+FMuv1T6k}B%gQR#s#l5JI3R%r~0pU0A5Q7ogDl@cu3>#zgUEtzVI5a(Iy6bqh) zD4B}f;e?9`w4)BL{ZnRerz+b_h&hypNnQRmWy>;A>M1T|KL_DYxfwapzC^`ZG3|w? zu71VlPsK)5P2nS^S5GpslzEM-Rw7N*wX_30YB3Jum;y=3TN zc3%GG4(!0gLQ=VQ3IUd@Nt8{gxY)8RJ8}>`TFJ-jm$4`>koX1+28#fk-s(J-0SgnW z0eMed%#IkzWYmt)luW3vqH2vvL32gO>4M;(Y&pWsDwP9TyUb6cx-x@Zb^`@v8=X44 z%6(j>a*(b#-Z^V>x+>g}2|-gum<;kM+vrr5Ez6|BjxxoEN>6LiL+Kc+u#8n(UYX{1 z$GFG3WKu}$%t@)Q)jP?u)n+~>23G#J5qcZ*K6utm;5py9gE!QsNDlLSssoinx@w=o zfjw)u?6qS^R={R>o(|e!nGgw;;AJrZhmvc=vQmO2doA#egC$dDjdEus6U)>b3ll1V z){T;(SXU{5nbZQUo4hr-OqBAultP~u9t| zZe3g~l2QdQhF=fOaQOuCBd!?RYdJB1^+!7hEN-W@jUm;q+$vFdlHOWbH80 zj!~-3H8Ge_3r}7BK)xlBgvsIaWo7&4S=2T{-|G>!3Kb1vKCq2c$?+ewFl^$6SF zijp0R#{}QL&vgFcCO*kK^*@-n_3_1Uh0<)TUH%huLAkxPC|0oH1tC|VD@zGViVu`b z#aSi4QzFT-io+pm%A!aZ&H#RG$#E*HG!S*g}?G^m}U zVMb#`tq0QDaC?|>XmYRN0&zlE^)bS}AKM`Cn%95+2PM_mtK`rEC*9Frjlya|Es8ZU^xr0~ z_@_f_(0`lw_TTE;+n8bOx%(o%&#N*UMCQ2_6Rgx06b#Xc=h2?ECuJ4$F`6ZOPsdFCQK+rILfrE3=8o;w zV(M)l%|fO^SC$f#6dx#=?)13zDd^qJv%~M{unu)qgB9$!OmH%R(+~#@HJ>Wa0m~e zuIxhtW9JyWZ)GV}zhq>{>hEB+jAe&SScWWPS1eWr&&hXfng(^cgTu)-SHfuqN5f0I?Q<=~qmjn0v_E;Y{H2}a%$8}U7z54en4 zvnw2b8>0WV=achs+qy3d9*qNzzfE*@6aBaEyf7k}n8$Xu&FlY=)pLXKctEIz*RU9i z#e$0A!2P$>;i-$PfN~XK5pER9R#--vN(iXs+Cd}(zlR>W;-3yfj=zo3e=D@55(+B5 zE8W>zz=(15-^MaK9h&Ek^8;w6XDOSy(&E$8Cj9bGrP+~E31lr~pb5?{?qr9B+l2%r zr55mplBw7$LQ=VQ3IUd@%pT>=KpS@|0p&u5>P*U6C`$-eo=V7C2~Mo#sq5Cn?J^7+ z_;kcF5Cu#76H}J)p-*E};>#Fms$AX?*#ITy1u{;pHAA)W3=0Mzb z9`Aogr|G{P_@vo5qzAHdTUq^Md3u!!Ii2VlYaI{Fvm($XtRyn_>w%H8&=dS|CQ5Rr zzhnD9&HBiBfJgsGK|HKc@<_V4izIgxOEt7l77AmqmwAPejxbf>e+IbZy4%KgB-gXV znqMlK6nLiIPE4|Fx3gTgJa2cCZ(Y0^^8rQwt*d;~glcmXPs?JyGqGZ!jO#>P)h1Jcb7X>y;yg`smw0b&2t}MxJ{OaW{>RqaQOD-aKvA zSdCUfK(&|g;yU_opJD&)m77~(5`952{#JBE)IVVCi0l{>Zgbp>p={#3;npk+53$8! z7<{~VDi(KT6K;5y*Hc#-QA*uBq{59t*$T@jQ;Tx#5bd;r(7X^~{O#lDs=724@q0S0 zw|QsggZ8yV75%pye;akygKqfn56Riq|7BJ!#WPoKU^@nv3R8U`WGZ$?89^!H10_?j zSA?X(Y?TxW0hX(*Y|5R1Hty6x$WWb0Ig2rl)?a%nVScsn)a4(yt@1Of))+Djd^%zo zh@G=jp=s4q@ND}#Xb#Wahex>2?wHTqoHO%N|IFy=|9xZk z*gp2nMfb|t=BtaD&+F8JF60?8*tNyW2ucwjD47bq(h-shvsF?k1X!+aTii19F@tje zA1R!#wPqvkCZC?^A1m~;+Fy8U)*PFD&+pEpGOwuErGb7(dOtfqVF4ykiIQpP}-GC z-bBmhk^f!9;*R7Xz*kW+VFTau0B@?nxN%1LdT7oDQ>M%Ag-#C%E|QhMp1LU0pz(m8 z2ZU@{Wyzk{sf$QRYWou?hKkv;(K&&t_nwj6i=Oa%nWeN4aww~qS9A2m6!PVDE+Bya= zI^s;o$inatTP(cx-8=oag2Liq!*21D<0q|s>{~%dH`GBf{x;ZtEHcaJzm-3DYpUN3 zk-5^N~VD|IbA|hE@dPdz+lNWLJ+3< zK*&^`NjVE;3E|3930W(_1LmnKtqGO-ihY@aL0E22Ns`9M{9XtN4d(B0v*E5Oqb=(z#U}- zC08wAg_3DtO)e85DVLIEtE5l}uw0E0gsHWFav@W7Cgm)Y9XmK~{77MWzt#xG)*L%- zJ9zP-PPEGiz#C(&5R==sF9!3bd-g1a`jQ*Rgd0otllQNiWig0pPuSY5JrxzPVchub z#6-(>I}3KZ^G-}xQI$-su|Dy}qu@;NjCgHGVyj;}AqXR{zKJ2xX0!3YK0RQ;k2cJX z`p6-|k6mh+wGNrtB35psh_!<)r1BBfcAD7y97PY{fFCW$fy}X#s;zIee=`SGYhJ~+ zFi87#EYkWh>^gVdUadOE)n84roiJqJP>#25bCPAdb)`6(Iq^w?7Z{8@5Qtf8Hui|{ z)ppS=QL>HZ_vm@xj;wF(h##Y%b-#?H?oCi8%o&~0`|T<8-{KyODOoMN5yYR_e%j93 z*7U-{=DfQX7e}vMO|nk9Tk2cqN>^vVot7?TCBhmZjk8YZMv!Gb7csLrIE zg|alhoI_KNT^1(PVjl)Umr`G`4>QM1bo{L_|Gg&1?eD~EB-Fz7->#fAGYc(!R>;0r zSJ=LaQm$fJkXJ?fuB_Dx1|id4T`o>a2}+6&luU&_?+8hS*(xa%0xVbA;Zg1kv~i~n zLWb&0%2_Cz+2OXE)xZOR?QD2`i~(Ooh0x~I)kI$5eVO61JIc0$ow&dIqnqJK1DL!G z8V`)m1DH48RS0z&@tlPDkQPS!vJWYrN8TrGu5s(R@hXlOPX5A53m!yQ`H!W$uH z{3wFBL_F;cS_X}Uesj>JHLJ!0x7!2jG=PI^6*cSdgKmpaxq@_5#Qj&*-FCBTJTL(d zSlllx{yfGKXzr?Cozt`7lK-WHEo0;&orCk_kiUrwx+e@rMVy5 z;g6aG^CuXNzum>k&qc<3R1De?#$XE324nSrP#Y?SieAzI3t4O7sq5DC-_lxyj}dN+ zSXM+xUPKoGy0`ivNwErSvjcN`?!F}YHu`Tr^;QrMGU;_tX+QX`$jo0sME_Yc{&v@m z!p)I`G1?bg$l}!C;Os|!)TW-@qqp?Ox9fS>S&rHCv4bCgB0bTXspV*WWVnwt($Zq2WwneOUgua7F;T9mZ~hd&mQPlzMC7Vm7^T ztj$@|7+)plULkvd!5fAB&^6#a(&!v%>l))~JYYeW8lGKpVAkSU1ZH<|-_obO#IN%3 zvwZF}a5b^)N;O-J2gc|D8^jN<;Im{WI(|x9!TP&4|M}K~7=Ig?rL1aA+?V+|)R#-hh&I@0Zp!!8@`T zf17mYg3Vo5zJQbmPvSeM?=p7l@6gyCxYEasLZ(7jmJ*Z{A1Ijy*5on~l5#0%=H$D( zuy3)j{gWpMQ+*(0s?MaGg|dWj<*9_MweZw+WaIY5hr~^bz~fR21i015P6lS7wIcHM zK=ZDA@b9TfI`1+XoCtO!rB*8#giM95EF~x@K2S2<(Q!-T8|?AG2M{hTqkB>dch$BK zVe+WK_*(>U&pf$@?Wxf8_(iEFL)XaNLv3~s=z%6~iyWuhm_NZ5QTC=G7*~+C<7zK{ zieztpLjRqe4*l#^fA7tp*>61H>j7mH%J7K9Qb`L6pHjHbKjHUy532#}#}KWkY#lWH zZGOh&0q0Ow2ttK)Gb;^?Wwhzd#7aB@s;4eV6&1JdhwR{`7#K>42bQHe%6~o&5--t8 zmJ!QJ36|`2;6q(YrrILxVX0FrxY1KGjTmg13KSD)M;(-N=ad=TsmeAJVh%$GV~@7F z{ACxpqbaV7Zz^*_4WNAvaQol7r~cN`6n|G8X0J zYY$<$iN(zibRNG07A97MWB0(}p^Gd82Kbp=CZn>WDVdPdP`Sd32<6%#IVjs`CY5?g zY?t|IR99xO%dS>&r_Qc&AJ^(u9PgYpIb9X*$b^tXMVQp)UtP8=lL|X(b2tdY$bHE{ zl#EkG#;I(NF5R;~zFpQOlR{c&PD*{P-bt3NHuEttq!;TkY1_9N-M7S15NHC=9R+vr zs@oI;mfFMC0f(n9NtG41lXzAR+BS|gmy!vkI?8`|Sxi7yvW!?(O0Ze@%l@gdqEzr8jTa(K~DW6Lj9EGe1lpJa2iFEXJw^cz9{CpD>1{9;57@D2x!aUb20UQwI9ClC4@|}b)@;Wc=nz@y`sfes3?ASvo!E%*KCdb- z!8v>vb?uwy&``m6Fq1qV@EJvev3NkJ1zz24O_nz?$XW|eU1`sQQeSa9CbOf$vRY%R zwSy|;7&bY$j&bUjubCAl)*^X6xuA~` z>!`-9`371Dm%r{=Gh#fL(RO6M9<`1eX>(2`IEZC?nvQz#KTgbgCp2o_oy0h{R+#Do zAycNyawm%uZWj`i6dx#=29cK2B_!oivTT(U3IUd@5rQz)2STRmOv+g(+n~S$J*MFc z>0WpoA9pa1p$*V}g#p|@97B>XB6aN%v+(4mk)h;fv+=;#JzzQ8SGa*8-?hNtn?+R5 zJ6%5X|J(?BWNfIYm%xIp5pt7oS9<{M&07l&NKCJY9vQoaaC*_4cfo0S4NPGL8#diJ z@;{v;Z((C#g$K4OXd@&BX}HK0wv=R6uY`4LV;&#gNz>?p}8AK*gS<5tKwUJ=|G-$9ptVn(fA6qexLDc-!6s6O*mx@`ft0V{kJek z(0{ApV;pGLU@RUGr^T&Vx3HG5SX{-xOPz9u?L6TIS-jw>E3K52I&+zf*im7bmqF#) zAud!gV)ErTR7t4C`sdI&yZDY{?7u}n7-qv8(RJetT=}Dj+kqXmTEQS>8qt-tKne*; z5g#a-2G-arH{gKf2q{akzAbh36rdP*{YpEAeZJZ;ua z^^6Wu2YEFcjR(f%0Wqi@E#Bu<&&ahMG_l*=S+>IW%EuEf=y?w=5Vw7KdGP3soxO$sy1*2)dW=K-NA zHtKkwEEZQOuyRoD(A~lfvebe)JawgUq12hnWWQBP|I-cAzKJg0_P-pmqgpMf&>>_R)-^aRr359V z7ATjJiRBY+NaRRFcA;%M7Q`~c@+J( zYd%>hnD7~$c!j-OSVDv9EUT4_#dJw}8VP*&fz?xkBkFF!w3B>hr zreF(=4RH0!%B`-R9u|$PF%N^Wf8qD+#7%9W*w~R?>c_6vSK%bf=4-=U$|r-7w6z7q zQ4rL(pLd};ZIYc~3E?q93pdi?NFL^BwvUDfvU=xeSOY6$-LOtNeTwz2kDA6WAN1rKl{#j~22)|A#dSd8I3`RnL@ zFn@pNG}^blFy13|4UZe9!PsVm`@;G%9)xd?fd^4>7#VvQ;l8Z6AGc;!~Y^D5dUPCL?xKSVrMmlxv5mP&{Yy=2wlZU$uU5mRyYk{n|&9%~x^% zb@Q#o{jZkCkH_Sd-Hw6wjq( z*$#xjV6l{h2`P0DGE`?$&SH$C_1B(Cm|rbCb@|8bpgVNbRkg;Dq4)@;aVg~3YcjiK zHDw^Z9P6Kpj6VKFV_sS`ayA`*JHxW|x>IKEO9-^f-wvP9jh`8^qg>rCMYy@wu8GHO zr#xGwL3AZxxuSnYt2a{WAY>>ko03v~yt31lTw?|206tPUzh7&F{(Q`J%hrkBbPPcd zUkmZNIY!oOws%FA&BhaJwrgSyI~Y}N-t7)~XP2R3t4A9q1w7ZgotS9Z4qPy=I_kjM z4id+$dF?U&R{GyKUx)J5>!^RUUk45H!dnoiJV3Ufxaw&@s@|~GCxr(~S-E(yk6w(i|ISUbGmcFmg_iQUO~*J2Yv?!b9sifC75} znNbnMnJ|@w;UTtI++~f2O}Rs#3pc#W>!~Y^D5dUPCL?xKSVrMmlxv5mP<+JXozF7a z1MTM%yS?}h6QLi>bklyYzQM=g+~dE1mvlCRJ+Ac7TVhvsHjNlOI9@)wl}yE65t7Qa zQwXqJp?J5mA53UNwWR|VvQ~mI=c((~#I3-f;v+=21Hx5ma$>oY9S?3-LP2d`!7NHE z_nG-u7cnbiJCID5u}dF8ZF~Ylbf3o?!eHICgFBUgJwk@mu6&%75|or$z#B@YVy_5E zh1n`86ap+)nV*z918v-?L}l5Oq61xpc2p`qDA8RT4=Owfx#j&RmHjZIdL{ex3Xb5^ z#Yj1*p*=XVp!}lUh^9IZ~K+x-kO!ExpyEYX-%X1fF4L2tp}8zfS4Ry zXKh(D)g9xp17=(>*?yXAW-|>_`@Qb(mp{wV=a;R=+lfh*?RJ*y&da-B#oEztsD_Ovg=^dC_cL>vAWD&jxJYq8i@+NR~;~ zNx6dN48Aox<0_lbTq!ZHe1g38LZ zgD4bd2kUUQ35#C~0SEeTBi+UXOP|Lc6LyRLtJtAGUjP1HSCXv?7DORKp({%XN=hxD zQ^{296(OlGTP1};faQv18x0dus$fABGE`?$&O%w*QO=<$$1V#KYOxQ4pi8N**oT>8 zZvFUM|3NUx6>~v*u>Zq&<&n=F_-dLv2iE==X9D_f&2My0>?tLSTn8aTrpt0Ca|gEz z2}+6&luU&_?+8id+9?EBt}=U+I|FUpse_QAI+Jo1%DU{_Mzb3603BNk4a3A-M_hKE zJhs68+X147`RP7%C2XvjBiF4xu(H{>n>@g(#2uBs3m4pa(iWa_F{AzMeA5Vu6HJB(7#*UBJ*JH69qR2Rz5$hHj#T z9`eS_c6+dneMQiAn!w%TnPzt)9;44nBg9zc9<7K#SC5Vz(@_;H8dFQejt z9o(sdkYS*UJI*d{0EGl~B#)J299WanB^1x4WZ4dcz+kZq>{0Fvv~i~nLWb&0%2_DO zyvsS190P-xj~Dh~5cDZ^<}w+H((H^Xa217XQLY`LLh-#Oqi@R-H{PYI`sRE2{j${r z{kPprS8S;P{zYY+m~9j^9N6KbTgbH6u0g+YAwenP10~bInw%~nDVK626gL_)9+Fa5Q^`(rLr*Nu&o+^(U(4UQxy*16gn%}i_1c;I$@FjHCsMN<+0U4pNU^k$?F!{agTs12sDPdx>3>pESW^f$$l?W0UFii> zsWX?!h#eJ{c^Oo$9pXY2g(j0whvZ@hf%xWh6#X?uhl@cw7rG)Aq1XASnR*^Gkzf8y z8mq7)0*eK)9zvE`&5bilThBilg}U&p&CmPs*8etzV|!}TeJfq*wJ{xAsw(#}sdZ2?46MoN z5|VN$BjE`KORf=uFx3Y_rs_<}St#p_cN@(r=K(s^3yO!h$uf?l%xfn<{`UX;xnw>5 z)yBnMe%vQhTJP^us$tJOz%ey${(DwFfOP?%UP>Oqn`LTUdb4UgaFjeCh*JxLHfYoW zgLZKF#Wv#C;-|qxJfPG%a5sI=`odx{A(mXzn~9Zp1XNF5k}4X>#1V$M_XO~HD${(QR*ozK4?OQax-$GWUYjL&|6n}F;(izWioQs9OgVSU#ZfL ztcCd(7N3M_e6v4WjgqUn2zeIrSK@ViAe9WIb`=toBDLt9GD@amgN3AW?GyqmSH%%V zN+l}GrW7rr@EQ$?5z@+sTsRF*Bvq{5Ce#fM5yYtcjL7^|?1Ra;(}=6A=q$GT)vNbAf=sjt;L z$+FdEJ|+fM{R zD)6$HfI-PLqP>)0$zBVL_p)U2C_;{N05PULKH?%LR?)j&PNRbbqs1kI@5mjJoboRf?|L>nBZXZp!q; zWW85uBcHW}-G0fd61+$K*A#oK9`FveP}fWngi~RB`2o|_+4l{Z;U-s_lA)AlbBrEv zjxBGl*?Q_qDf-lwKKf%pLF>9!D;R`KrFIn(lp;P*G8KD8NGjJ(A;5CQlY8{efs{H38LBfWXQ3=1 zTzM)XYb`u=-5qg@m&}8muRZvwXyMsp;L3z$>phI`>3sAdOrr4JDWmk-AX2zf2O&eD zD@zGViVu`b18Z`b2uZn=k?;hACD#Z+nCb%|Q*|cgER=0wUm5%e@YwfyTSuS@i5tf zWtYJET*ygeZEE}f>P~Qsmv9-n)<1iw{UX(@lFs0dU zJaD@`@RX$mUoke}{Ng?CI3SY4b!_n-t>aRf)p$H0<56=@Yx&w5ir+KQ)9Al7+IC-e zNF8z=zYN^Mc5lkl{2ago;$+;KmpN7p7K>|+jdskD#S5Ogm>r;875B;T3QIdGEF-lR z<=P=C6fZQHgjysW6yf@o(Qjh}p0qc1zf02l8iX&x{`OUg6x+L{L6sO&ZL}$vV?Husf4Vx@YHn#<97FZ=Ac*gt=#@{A_?@Dv*SIDxxH8m3lUz##;`&(vflR5@=aHkT` zA!NYsXyI&{#bSXDmN!XC$1Xcu`42}(*W(3(*)6?;WUD%VaSz;bo4xUDXmQgN|mS$5=T za99uY&V{pP6P;%5w}ViJM}-9)^pLe)h+k-)^B&g8jr`a>(C@!(1-vJFB``~@6&#jl zai^B;G`~mO1L?*8=vXNSGKKYKr&dx^4#a9ak8Q!?T7v1-v|sz;qU&ZFwS$mO#VUSy zp`H{{<0hrPR_`RsR-5@<&aktO@&&7DB&dZHmtk~Vook1an(d?KfxD!>^@}rw|EDnS z7C+iG>b~m0G*{{Jmk5;Aaev!;J;^$$sc#Do!#=Ks1=15p+Hb@RnNS-%f~aKw?Lu!k zGU@yYLs8L*!p`d$4`x~`Lt1?{tq;>dd1{MwP{n?7){m{8OUS~)@DN)phQa455$^Cn z2sgaT>!~Y^D5dUPCL?xKSVrMmlxv5mP`uD&5^CYTr*l0rNjiVRuCCrCe3ke6xNlp( zy6^s5WGl?N)UHB;Qp5*Jred!MN#)up1X!+$!-SMN2pOs~DQBT9AzXPXA!{u>b@|6_ zYb27DMx;KhwWs6=(d~e6HDt03jt2>Kh;N*^-)ir9vow3v27K-?(AE2!DI5G0;k;3@{ zS(6@~8rhpTc5j|DFQ3|cO0_+27PP(Fw6($i6PV-Yr_4)Xr$)~RxyQhn6gV^TZNx;& zcHnZQmDqvh$1a%G>ig8|7q~tY!9Czw;ntZ+;sO9M}UVy(N{LJ7_ZqHySh^xP2a& zGGWKF&2y@QE3aL`J3tQ%6ppzEM%>Pr>-=jl=|5I6K)jBR#--v zT9j*ts8D<)+x9nOC7~8>{)F~c?B>7i4vk|pma;sLN4vsFse_PV#4hS03JK~+9xKOK z>=mJSx%RZ7Zpk%?vMCi8Tb5;qiyN7Ya8+&TfQ77;U@&^>x;1etFsR%QAd+F!<+{F>@ojYO7b?~+4gYgFDRwX`}V%m@Nk~#iI3=HsvbhwNG;~i%r|#9mjf-m3Do(#!-TD z9g{3ud9L>iWrNkH4^|ByQGj{x_Z@tM8=ixCK*oIb-6i#HWIIM`J*pU^*jq!uK4#2+ zjq$g?0*QL5TD4%9WSunTBkoh29M8DQCe%u0j5&*?q=UmNKep~Xb)_M$%#pqnZWPK^ zSVoyjFqd-eAPU9zW*fJ^om?QH5`tDRzF%gx*nf-pZxbXqT{AVVBwH0Mh(d;3mlQ&h0jWKzyTSsGu?p()2M3lnNlU236x#)3`d zez6ZT$K2BKx5mu;!>zde-C&W1)#9I@QLF99OhqlTASjNTar}^R%Uq#r*H4x^nLD_h zInZ+F|7Y)gdSuC(G_R;ze^eB;sBRTC7pbJSZbs-9P|~HP-mp5|Ak?rxuUa6njKydc ztV)OvVAJyojaZ-(8)kVWYB4KRs}jpZGh*FB2-Wf~`54&G)BW7^bUS}C(@&&FUbFYj zbHn{Hd(7p z|Iz7_bnf`Q4F8+I`nSLN*47E9w|_T%OPO#oY^VYK_i&nLCp0k@O3nU~`Aj$vbc z9GkE>5ehs;fxoraz`noyDfFM5eir`STD+WXHHkAr6j0V(SblJOSRDVca#vOR`IDkg z0HMH01(y4x-E9AZt~~$Nr>9TOcqaQ)rTp7iS7ipMzob2Cz`%0 z-|Y4N+xto4I27npfP2XUZ2Fb#^p7n<9}pF;p}@Wh{L$!^|CFoB{MJylN&e4x^VlEk zB`o&Bs(O7?;HAF@DlujMi*AWo+OfW4!FIdqn;u-{aK6f_qv%NiVFtdk|8?1?=KbZL zd}*J9`wsux+1nKvPNBe#3ViwB{ms{({=^60ci6xF+td5+PXFF#e`4>y{qH}2`}K75 z?T8DPrz#*2aF^KZKPd1`}rUx2Q3pETq%oyg7lc;w7RhQ+6$1IRzc>cW#aLO-V|cLvLziJm@w2U z%`Uh$t&{7p^A>^L{$F&_VfOh6pXh)5KTn^ZaEkrg4#mtxaC^WsN%*hk?fm@VeApcY z(tq~1(|7h)z5n*l?Q@oVr#QQ-0+R3hyVAZ4GMj}R_6P17X48M7 z^V#Rq7Vhnt7>6k!!-k6(fSNAE!?u)Y?~F1S|h2SYo#WF}e` zF3PbN`}!n6Oo{ya!x98gwOk~G5y(s!-1Y(L_WP^KQz8-TUxhlWp}&6KsLL%_o4h#Od{>*Bf=ij5*=E$Ou7S_+=hWrEU!bE~eh#wE&pUhR6Xs#$SW15{h3Vqe*A&^MdD+h9(a9w1lk4it5;Sv^|KVlv z8-o>>O=U$O;oda+o~MM6ba^XbPY;~hJ_*_MRBu%r`&AOUZ5+#0hCSQf6 z1w7n~p&(7G_qHTac>Fq=89r7AwNC@p-sbJ2#3s|PF8#i>-U`F-V^H9m)A`lk+Z~DL zdXK&Otn-5#d&t5k6nLxxU;bBr@hW}s$tS!NHckKbBwzmJH_G>+`xbxu^>umbS%ILu zTv;tKx^i`L%{vbY=PJo|J+(QLg9vU~ncYH#@VVqK69=!pJ$?E1|DDU@M9u8;7}L*h z|M<--dq3Da!Ly_N8sUNo4_vMypddY_IQgmwkegOP2r^3y{z??2Wr9OJN!f*1Oc**z zaBW&A*8%5kz8?C`zxtbIpOeGSf2OCO)4y{{-@evvDSM~|Cs)cMpddY_I9>a^?P>I* z^ZA>f`tG-X_}72+>eZKj{~vyKlD|tIol4R0^DDrs9+tSr*$0FE>3=yLHU<{KKTrQR z$JkG3sP&P-m!A)PH(R?5e0>fx{g2DEzw%3SzViA%;_~M|QhYN13Q|`>?bj3ANJxMG zojs7cZ=j*TKn2pw-TNP+e=>dX&byP!fBVc|#W+xupuP$PZchK?i}v@STPvUNd5oW& z>|Jp7edwZJPdflt(c;w?DRkg&xG2bKyMz->>*PA}KG^A}f75Jpa`@8rZ&m;K|N0G| z$C%{nzwVNcVm{5@&_MO{*aD;wkZ%CH=gpy2A$2GQpvqr0ha0 zCJc8;rmyPU7KBTe-Q_AYx40Oe!s%=r77FZCo2Ui~+xzy0PP z{#(a6>|Jh%iUVZbHk52g0^mi@qz(aw7 z3M4+OhPz~^+52j~#QogqA8w(*AO-A2OndIP)2rPlCtY4Z2bB}Fp+HN4bUI1P=Pqu0 z3AR}K;&gNOWIPT9F8udXIZ5KZhkxi){u@4=JlQ(okDUQWb~_)rxTvk7K>FnL)o1^c ztr7ax3IC_P|Mu12e4;nS_up1>1@r_3gxJnaiDnx10Xw-avwtgAkiX+IFJEz3m;BYg zt9`$fsYU+X`E;Y{^H<-^@4roJE|Tyo8?GPf;Gk+Iq2S<3Sp*cMX{Lta;1xV^Hlv%MEgSq%Kk*&eplv|ziv9;TAAekoBiz9eD~9)UZ9J{{nnAC`8&81 zGxXHs<`Q#On{|nKeEP=5x6Il9{9kaqi=|yy3M3<+6NQv_Pp7*FPkAf^(+rn5*-v3( zuDjn(u6af&8My}t58T*FWyCbC%x9fi4Ze4kv5bpvC(qoE~tIAn`%IpW4w-maY@fpB}GCs5UVRpv-Km8|aW5eFtJ?F3g z@aLy5-<G$b!pLm;1*PXgTe#68WWqdO7GuqJJ9^Kbduh^p#mjdUzdI>I{|8%~! zls!&j81G@con@*O*M~vrVeSv}9{HT;sAOnw9r<6hi+peQKXp9=*QpNH6z%?jPTS`wvsU>%%>t`6;)yTl3{W*Sbah1qcb7{+avdC0%{T-Hm#YXUNYkte#VJ>_N+Lk3Gn?sY4hgRf zAe?QQkqb`sBxM(3cQ@WQekgl0u$p(HxD(fOls)sCgopMvO0(b%1ww&PAQae1fqBuo z6Wb0nvI9qnLQBAt&yQRuu&#Yh8`;})_7%B9fr~5fG2UExQHxRTM|lUX)eDwPpjHL{f14HUxNk^!nFh7Q>WOGXTNxh}V0C8TK< zsyO+o2vC`wAhX1p+G1Q3WNfoKqwFjgaVxk2!5TL5?z#?T$kCurDAu- zXWGUwQ1Ea|258!{4^~OCb!oDigWy!G%LSB>9#forRRpNaew2MM+k@+Zm8g~)RQ>KX*p=w-AVaM@OHTrBzMU{%LE6Ps|YAak10;M znpF}3TAf+sP!NL562k@%&i0t#R8LZNAvP=&2n9lcP#_ct1ww)AR^at@mw!#wpRcLd zs5KM_1ww&P;1UW5Njf(ry6WlViv8QFngZ%dSp*cM#}ub)-v<+#a0OD3RePLhS|?Y` z+p3ZR>PlGz6r{%#r`3h!VkFKAgPT@Cj#p73WNeLKmnx( zf3>su6LhoPANsjuCfg*LfIDlf*OgV*%u)X57lZD;iD1oTpc3o14^p$At@MOLvxR#u zHBvCXF;#g`tjhfvojma62nrDa*(G92UV-~ri5lLw~mGU29)m;`N@8iuhsb8e%{;9iLbEWi#@Un zC+lYMom`~DHc7U1Y|kt~w-mL}KJI(f!iviRb8jo)eT_0>Z%aCDxt4;bbeW*^;M}UK ztZ|7lpI5uyt7=wU6*-n5W2#J(oTv2QT zy=0Wl_smiBP2Q2cHIwh;z-!Gjnm%Lh$ES{oH)%Iry!x6VyEMfvJ3(fNVMK2Vv0vGe4i8Ki z>Xl{}T$|R(74x>Lq=33o76Aq6F~!NB9RlR0RgeSCTgnLw1ww&PAQT7%PN(?qA}=-z z&KJuRi9&%;AQT7%4zGY5zQQOl6xb>t)2V5!?|fvJDWElUa>YJaRmiOQYQuSlw+C2O zCG)lKg9%M^&NW<{*2xv~wyLCnx>6Pa1?e%x>DuRQPov=z3WNfoKqwFjgaV;JC=d#S z0(&VCe=plh$tKUa>2UyubbuO;TSBOF+-pN+!r*SWD9CENgcDU`bE}is%s!$2^pcd?LxHPSYr2ct<`bI_gdxJ`ER{ z@M)x()ee!rzcU52hEA?|Mk#cSosV*5ty(6$%x@iPN;z!~vMkAd9=Q)jq%f3Q@GD!k-RAHIk&v%x4=BjE6ux?;uglYb;HH(?EmR11 zO9p7#3!ArRp<`DL1wl%)#IQ^x7qPyzc_uVSGv(c;?1F34I=SZGm=wOei{i#sDr*)~ zXE#*v@Y8~{?1k-vRpd~lIM6*}z6l67}I8ikwV<*>T=B-#ks}@$zP6Ki9O9rT# z8amJ0R7tX(+ErFSlThY=w@=z4pdd{%H54ab6#=SWL32oW@!Gm~Zwj$r*^&;A-HrE+ zAIjbgtmfS)?!?3yW%j=D=d_`{J!fN)I}`{7LV-}ALxFkG+TnR27a5pGhjEWwC$M^a zP8-?VbM_UvLxGDc5ck_%Bp-QCdMWo?L1~0q?GSA@IJ&fCv!au0p5kf|t(}i@Wdv7^ z(DJ^DfkL=jGC^vce7S2kHr{`m5NVkwJa%FGE=RKNDWd;f!8-P96F57O-x?BobuA_%paB{hdfP(ax;*_gd zB@v+2nay^WIV8L`fN-|Q1gCnEvJ0`8Fm#gO+O$rtn736W1=N+Y2q;L8DNffuZ+jXI zpHLta2n9lcP#_ct1&*med=J#cuFLsinIcgr5DJ6>p}>_WAk^t@xG2bKyMz->>*R`k zu&R;*>PlGz6r{%#r`3h!VkFKAgPT@C2r^3yv4308a1o~Rj&}5Gntz30HxmZ;LIE@_ z{_b#6M9LaB6*C9H$<)+0T#Eus?XXZF6bJ=Efy*eMq^YkdvJ0+N z`@zsoE}4mzWvp`S#lEgAh-QxRKff4MUW6K`#CmBtU$>vF^n^>Zg?lb_T3Z0tzeLx< z{er2ZWy*ibSt|HklVb@YgS+%pkd`%iTaqX|ewViygn!CqtU_-iDx?0`&2^#ugx^Zt z&(~P!#%;gS<_!J*s`8XbIQds$4ln=n>*tN9s#~y@3GW~`-__=(;8|v8Hkc)b5xptI zt0|XvI+k>JU^i6B_lf*uKl-T+-`mf7`#JFy7JRWscHv~*EWVSAbl4`zwvO$YCFqu- zHhz7tg%y_t=H6Do`x<4&-j;OQaxDc<=`um-!MRmeS>qCAKCgDYSJkYzDsn7A##EUm zIZx@qx!T*3q*6zT_(|vIyXccRb`6$Y+16ybKHTw$d&wx9@0p|Mo4g}?YbM{xft&v` znm%Lh$ES{oH)%Iry!x6VyEMfje;s}-_=LQihV08J||ofEPC zYOTp?4uVs$E*DTjdQ5ThRS}>vJ3(fNVMK2Vv0vGe4i8Ki>Xl{}T$|R(74x>Lq=33o z76Aq6F~!NB9RlR0RgeSCTgnLw1ww&PAQT7%PN(?qA}=-z&KJuRi9&%;AQT7%4zGY5 zzQQOl6xb>t)2V5!?|fvJDWElUa>YJaRmiOQYQuSlw+C2OCG)lKg9%M^&NW<{*2xv~ zwyLCnx>6Pa1?e%x>DuRQPov=z3WNfoKqwFjgaV;JC=d#S0(&VCe=plh$tKUa>2Uyu zbbuP7gizpd3J78DhKqu%wo5osH8!_8xh}I0CRWg@h1GVbfjIaj15`~79rONMlTb+Q zN?8OHq-myx;^eC$KyF$EA;>H-EN_Ta4l)}DRjc-bP=Wcy|2UP)z*2M=H!swqj9203w2{3%XJ3&!6u7toalhR~@{#wXxZgUL zh~F^}Wh0+RGOE)wiWuIJ&xww@Q-@E(MJ9Y2X=b%U_Y7B#%BN@%J|snQTBcm@eS8>l<~>P&uBw?dvsqs2M=ZQYGg=FGKAGg(P{U#;Svgj0--=C5DJ6>p}=tzi1qCr*COpfQsQ_K>sy;=LW49@-fhY*xHhem zYyOQ%;mf-yZfvEpW-)bkLj?~%ElA5=*gjZA4n>Ls?Sl#RxED@AR#S=-Rbw)Ca$RQL ziWRhKVfE}Z5C^|xfU2pXW9F?%D5Q3!ECLGBG*d%y@>LNaH?4vYWR@6sZQZsHQnhMt zN~mAilCDbrSdjOPAIjbgtmfS)?!?3yW%j=D=d_`{J!fN)I}`{7LV-}ALxFkG+TnR2 z7a5pGhjEWwC(KLKVYRo2NA}jr9e614q7;bx?Jk#d1t3|YSKFXC5Ts1<=`zi(s;cm$QRTD#foyr9h)3jtBf>*IF7f?c)W}%9cuZjSb z+2={0@LD#el!MI1LCunZfZBk&rZ~Bpp9QGQPLNq*Sn5#@G8+di6CCPE$}YrC>81xUgaV;JC=d#S0--=C5DJ6> zp+G1Q3WNfoKq%0!fZ*D>DbdB+$#t3iTPn_bG@Hr{6g)Nn+p=9YWdD}T!ceTs1(c8; zQ=EKN1gOk@lzlMUgX^d?yURk)*i;F!}j(rW64Z_M_Lwqws4Ha=P>>!|oc!G;0dmtS2tj6vVc9QK4l)}DEfXB- zNy;w7V#3f#f@{+{xnkZ{l@w4{$|9g3J*GJ2nYKzIK&vyG>1hrLuMHra?J>cro}}zT z?DG7X9>)+0T#Ewpeg9g@jXFbtP#_ezY6X<){ngH93Da!!hkh=Z$u>zQ;Lh^r_38eZ z4~wgrqx{b=29@7LV4xD~w+~XYpRM$SL$if@E;Ujxseg&CdH4lWN6YkE^C%U3uF0_k zk>SqexyRPZ-{@^gqVV`#-ewT~DVMPdy^W}h`eQfOg?=yltZ%aCDxt4;bbeW*^;M}UKtZ|7lpI5uyt7=wU6*-n5W2#J(oTv2QTy=0Wl_smiBP2Q2cHIwh;z|H>|O`kFM<5S1Po3xuQ zUVTlGU7BK_&7+e`X0m1Bq8$6N0>tyQxZ1(NHC`6KF+@Q(>_s5q-n1|7t-X|pO_Idf zRhOKonyqdW2cN45blnDQb+fK!l|&6Kq+MFT!@U>^(zJSSOA>`=Raw6F%?#lX3LH>@ zbikUTq);Ff2nAlQ0zzx$<;rS_(WOEs*SzzfaITW%bcro}}zTEG7({ zB)B%MlPl(JRY?JLr7Qvp(qoF#wa?q0M#Co*2n9lcP~c-wApX0^i(TdO#WF>rP#_ct z1ww(tDwhG8}Y8u@Q7X@E!mvEwKom{aGR#j3!T`7xzg7lc;w7Rf)&s>1q zvs&<-0;Yr15oLq|4_814DetSSwo97q>;rakU1tAQte{m3d8d+HHZx&x zO9rT#8an3vw^omkx>%PBC?QQVH54ab6#*)<6J(YcmTgMqAhU5$H6s@s>PgBj#A3qG zNrG$DzB06v>oW89jTMwN-Bf0v*pFW_K-JzEI%M7^D^y6mDVTJa3+M#3hWbb02;pV+ zr_bADUS6fwLbpA#K*rw*Tni%j@5(hQe4*-v3( zuDjn(u6af&8Ou9W)5cG^GJ=~{X17ow+$|ZPX+!qG$SMrQx?DgB=`qF0S4Duz?DNe! z;q}Pq*KkbNGWu$k((76l7cqpReH}%8z#;u)So9McRX;#PL$rw?c#UosaB-YtuTpV*j?Pq=33o z76Aq6F~!N<2RjLnn^r*%v=1iKQ?E3;;94~%V<*>T=B-#k{uP>E8gTGS2B?}EI_B>V zCZUkpm9hvZNYhLW#mQGifZVhSLXcTvK)`MLAXTgOriA*HE$OP{j|F+(_@V60z-r!& z;!aGQQD*NOe@+|P+jBM+xkG_aAQT7%Iuw`}tsR~ha*=^~bQt%@b;7(v9aejbcw}#_ z+<}JzFG_*9-|libN8XcO%KcVQ8ljkT$$knO99>$nS<%TgPjMw8NpQ}w9Iax z5bl-?P&F}hyAkAaDMYyr4r-PR6dYWxBA_5mGfu@RSF=hYK&vy${*!_bWR@5p+G1Q3WNfoKqwFjJVpT_sB=@Ii@%ere;=%}v)omXyW=x$ zD_OWe;g$^0v?2SqWEF;DT`r)6^qAu0t0F*U_5p+G2b)e6M- zKwa$eoiCOt5`_YxKqwFjT!{igot>K!T`hHT#r|zoO#yYKECLGBV~Uf%+ay44S_L7< zEHNzmg~~x@PlGz6r{%#r##bENd#zhW-~p_ zA>p+FgtI*+IMtJsU5E_}1ww&PAQT7%LV-}As(^y}tDVgfrb-0(LqC_`Z?;JyoV~OB zd40Nn=0gy!Rr|%z@|y?@RKorCL2CB1m7Z{Dws6m-MhYhNFVQs*zhLTUnSN^?rGn2j zIhG(Y+_^mW*jo7;y)8);9>2@m48lL_!W>@y=hx30PgS>IEfd~BZoaF{O~JFw&TKGC3?q6|h*wi?^PVa^up6r6 z`$T@SAN|yZ@9pQk{has;3%=MRyKu5@7T?K5I&70Z%aCDxt4;bbeW*^;M}UKtZ|7lpI5uyt7=wU6*-n5W2#J(oTv2QTy=0Wl_smiBP2Q2cHIwh;Fuz#u#P(nseQ?AP0%GD# zS7Ew%^)*FyXo#Dko5I?Zg4KR1>_~|X>utHPN*2FM1G*Z8AuJR)iUR2< zg+v{pKqwFjd=v@@U6z+Ct0hL4Ae~$n+P}4uS1sf<^Sne7dU8t!Xj*ycoQU;TYfV;j z5S)s2xquSVV~UfniU5__2{KCzBYIPa{mPbfcwoX%uQa>h+O$rtn736W1=N+Y2q;L8 zDNg?E5Fj_Lf*fexQchSX5DJ6>p+G2bI>mn%d9hh=zF4M66bgKN3e4;5kFW9Q_<9wP z{QKec_7IhSdNQb1iPi-3aknBsKp`(Q#7u0RU1YL635 z>*R`gTUAm(T`7xzg7lc;w7RhQuQ3ATrd5!6U72{i{2@R&$ZQ<6OmL_tDZ3CG77By{ zp+G1Q3WNfoKqwFjyeI`&TOZ!y?`0ReMCXfTibSEnN2!3&b?2r;Qy}(JI=L>h4<=Sn z-lN%6W*`oJ$pBSTL&v=T)(RC;ZwlVwKkqU%6mDM?0dmtS2tj6v;gS1b)!r0hzp^DA z9+)uHE6pyrR_!Z8JGm}1Z{Ju!WgQF@`|(Q#sMTHd&j5f5lNB0%$+eb@xCotW4 zy!*qE&xwv=hxXQyKU_kAP#_ct1ww&PAQX6*0Azm&&ijX_(cIQYAR0#s%{&^}m4rP+n1s>WojcP+#(F7{A4_BG5G z>IwU|Qe`&~hg?tMAgAS^W@_M={ae#V$h_#3IJjGv3n*SqGp!XTUvmK}vlC>N7?ygJ zgUrT3)r?$ls3$4A5WBnazVSoZn}OB58^xWtrlZW>H~yS9w72JMEOLhep+G1Q3Unwi z|FYHLc_9}Wm`8_kk6b6rOVnYtw}?mf*2*1tDDa{bi2Lm>mviJj>80Fn1*H+HyxWvr zaIG49Bb{9H6j%82E{Yplsf^&Nk)_OTpb+k-1*w@BIAk9z88PJLy4-@5kfvFv;^eC$ zKxKA<%o4-n_Q41h`)MR8yAX>BL%q`Mf@{+{x%%gAy#F>K`Z7;=T-F%0Wr~A4Z_ff$ zW`Fv;gp+G3`7zKo&&P|Cf{!Xs` zeXytO-%_!=<1=k587O$TB?B~V$o?%^g`rrN3n(Eyra1Yk2vC{*K>J`Fm1Y;3YFfQ( zA%1bOhsv?9VcxK0iYNS*Gs?lNArI?TX16#YcBvBLnjHYP3z=}d0SOd zKwT+|fP(ax;*@9FDv1EC&TOWqIV8L`fN-|Q1gCnEvJ0_cp+G1Q3WNfoKqwFjR25KA zf3>q&!c>U>f9U5D{LMB=gtK>+Kd(>s&wL2NwQ9c@T7DCOfl9dFK1j`ew$c+0%@*#t z)JVak{w2ER;TKFDEz@t!qg3#@CdU#)hC7$%9$PDaqqilA!sB;&n?d-eT*fN&Hli}> zkKJ4s`n~M8Qup&U7P@iUue3QszrU(HB@#~lRhYxe|NQ!SnZQfIb2X;f1e4ofq_M@NL@V))Kx1SSVVZj%BWEW1>&Eh+`NQZ5b zZ0p#bS%PjUYU9`UT3B&eVD4=NysuGa>}^S>E!R@;lr9sL9-Lcsl{GF==JRUTdsWSf zt0Kn|WK5N5lJk@voU6SpNh)=eh@W(RzKcGIW7lBWm2FL?>%$$7xR;Ew`JOq7zR5eX zw`TI49Of76o!A~sqYsWaLO@Ks=_*VYufC?pF3rn!Hjhp&naTWh63@!KwwYX4XO^Iu zqx=sqi{BWmxNIsb0txq~eNkQNxdm&J6bD<~Opcp^6R4RFblnDQbyHZIQn1=jg&ire zVZALER>|UbX+T$_FocByM^PXhrI4s26bJ=EfsaA~q091eWwpfU5~P#sLi@K?@~VZr zW}cTwLQihV08J||ofEPCYOTp?4uVs$E*DTjdQ5ThRS}>vJ3(fNVMK2Vv0vGe4i8Ki z>Xl{}T$|R(74x>Lq=33o76Aq6F~!NB9RlR0RgeSCTgnLw1ww&PAQT7%PN(?qA}=-z z&KJuRi9&&oPl0)z{qZ#(9bc~kl7BzE-X5azk52)cw`S8=-}%Tc_&2SSEB3*vN(!he zWf4%29#fpIeIHC{!WBqCR_$@3X`Ng#Z>vfQs4Ha=P>>!|oK_b$|20N{+_VZZuPYOe zmp=q32bqn7mI)5^BxM(3!$N^jAQT7%LV-{q6bJ=EffuC!i{ryv{JrdAm*{-4OixIZ zo)8wJT*2P>`n8KMF^Pchf4!)8}oyx12=C=GFla77By{p+G2br3%b1 z{>Q0a29~13xOssbW%En@DEcPv$ljXC!yy!SNeaaMc9*L;@}Be}?zek4!DhvwY~&M3 zMs=D-5yLz3Inhyf>hNi}$h5CvV`0ZZL73UXgYJHDWV$5#DQxmBF6N+kt&(hFD{KTe zt;}wrLikco;^6*9A?J!VWFL%d!ceTs1(c8;Q=EKN1gOkTkXd3_bW#p78wV{D9O_BR zF0(YJvWFV`hl0q{We+IG_!Q3EwHy0-Gm~==!A&c(Tc{B3rUhu)3!ArRp<`DL1wl%) z#PDqMmgGAdp8=woPM(xsyN%-AqqA=L5-* zyg^ubM&VX9F?5qQ5i-9t2#?*80jj2kj+wVskC3`pmkTH%O*1tVCtno-Dzg)0mKYFl z+dfFuj9hT2Cn>uSySwqe@k800fz`Yl#htjOqs-nn{+u?nx94mua)$z;KqwFjbSNlaB#Vb zfPys5I2ET{%_@litL`2Y?D4^c6HR+SLB^%< z`5G?5#QSd(A}w<8xU4Z~%M=G!^Robz*$FaB3`;%AL1yEiWr9OJN!ewZv2z{pgoOgv zp@3XRnNejZ5DJ6>p+G1Q3WNfoKqwFjgaR*I0inOnO^GfkI=L>he@n%Ak7iSufr7^d zU|Y7!hV0*xSs03SxquSVV~UfniU5__=l!RI*R$<|-R2f*s8^a@aBW&ASIpb0k^<^V zSp*cM#}udQowted!$N^jAQT7%LV-{q6u4pq;(MSjcJa;^%M^)1flwe62nDW00ijNJ z!$m<>+a;W6S|?ZRgH@FjP*=(#pddY_IIS)$7b9_27~Hf9a+LjBD@CZMUTJp0wP~GP zF>k9%3aBe(5m1mGQ=G1P-pa9;fgL1&*nJQkK8k z*}Qe4*})I}Tr!hwl1#vzrQPe({WBll)G>3E|M|tB@|#}_RAT-1L2CB1m7Z{Dws6m- zMhYhNFVQs*zhLTUnSN^?rGn2jIhG(Y+_^mW*jo7;y)8);9>2@m48lL_!W>@y=hx30PgS>IEfd~BZoaF{O~JFw z&TKGC3?q6|h*wiC?{qBb@W5`UlJ67w$$s=x8@{)n_x5w*D=he8kL<$9x>&;ngLAdFB}t`@67iGH&v(%$aqJo_yRxmxbbYwv5%-c&Hs3Qx(KmTV z_SQ_klLI&ZXEc4r+>cKk6K~RPx_I?9MRsY5eKwCyE}6-eg^P0R#|jY7)8c9e2iJI6 z{KgOk-LMydgnQGzs4n%~g0)GCgRO2R$4$Wr)XWFEZUeTuDXdK?Sna36j+EH2-a2N( zZ3Qug0@tNLx~_7g+E5@A2nDWO0ipi#a%HuU>T0!<>q7gtR`RNa)e6}_p(nRwfTop~ z&WTumwbo=c2f?XWmkTH%J*GJMst8b-oglNsFrqhw*sp9!hX*DM^-8k~u1)LYig{aA zQb1iPi-3aknBwHm4gqr0D#(H6E#-uT0--=C5DJ6>r&IiQkr$f<=Zj^EM4><^5DJ6> zhgU!jUtts&3TzdS>C`mVcRsSq6wn$vxnduzDrDAtwc)(O+XF1ClKI;A!GtC{=Nhg} z>*R`gTUAm(T`7xzg7lc;bnWxDr_t~U1ww&PAQT7%LV-{q6bJ=EfxQ%nznAT$WRvII z^f-V+IzSCkLMZS!1%xnn!$m<>+a;W+8k<|4T$kAg6Dw%d!fHFzKpgy%0jj2kj(PvB zNhqXtr7Qvp(lk>;aq?9WAUCao5M-7ZmN&#I2bqn7s#SYaLNk|J$3iYVa6J@cMZ$@y zePw7T*JbAI8!Kql!jALyopBGDx2bw|!8W7yHGP{Ke;cm$QO?zSU_AGSl%Ap`gX_gq4iKHB4 zjX7wU;80Ieb|H3m<1>H{Wqj=PD0@GO_=amb%J^jDXSAWcJ-RQRgNL$tH8P|o8NzC$ zX%sQMBcBr;b*B!Wa0vxMflwe62n9lcP~bQU#QJuRYmxRKDRI1r^{ve_p+TA{?>1!@ zT$|R(HUGw>@a0_;H?~q)vzR)&p@N5>7Nlh_Y#*#5ha$y+_Q8aD+zY25t0~2asxcWm zxh^wr#R^)ruzGeHh=X4;K-JXHG4s|W6jHlV76AomnyH~U`Kkzzn^r*xGD{4+wr<-8 zsamx+CDgBMNmnI*EXe!D4`pu#R`YHYcVgm_RN*${sp;%2@drnWfx+nbklf$G(Qk24UqdA_OUBQ-M;KJZ)t{~;>)qOjGCM*;P1ww&PAQT7%LV?375Z?oJu}gElSf)r6 z3WNfoKqzn}3J7&}Zc22u)X5e5w^cO-)RnRbC`gYfPX2C_0J&)ugdnrTu&R_cDf#zHr4`;|6l z==WEZr$oZZzY24B`JZ1uZ#-4qg0)O|2f6vKHa7*&GCQ-uEHRAeO(9-QIi^YC?2?Yh z*bP;AVN}`4q(k?CF;a&^{Xa%Hu|=u)ARYu$}_8 zo0%}UB?DAV4IT6TTdPM%U98Iml#r&G8j6#ziU5__2{KCz%QmHQkl8q>nvn|*^(18% zVliRpB*C?6Um4oTb(wkl#tO=sZYncS?8h$|pla_79Wrl|6)L3O6ihnI1$2U1L;a(0 zgzz%^)8}n6@{S~B7YYmu1ww&PAQZS#1?Ct3<5VvLOVMH6yikv_`K5joeUo=&Z_VW4 z5DL5`1>%0Y%heotPm24kbBX57B?oz?QzM^9GODLEiWuIJ&xww@Q-@E(MJ9Y2X@*Oj z?5D6X*WGU?*F2+?jOCrGY2&9{8Np2}vs73TWdmSkY>ueP1yz4rgd`7 zzcDF%?w-ttjh}L5&0^~8h6)~T$pB4@{o9iwQoe#s#mqr)ay3_w%;mb^feC}_p&%;~ zPE?H<*2#65c`H`Xs)f~az(5@Qk^!owhK`xHCZUkpm9hvZNYhLW#mQGifZVhSLXcTv z;I(zzK1kK7y(yu7WlOp$`C~!eH-0F4Gq9R>qqq|jXO!9d#-G!M_V%2OMea}_6bJ=E zfer=cMQexWgYKLgM!O^89n-!g0^AuN$XzhHID3oMk^!nFhWa{{3nr#%$vgzF zVqGqvgfz`U6(?U60V=c4lRn|KY)mN!nT>;*B?ASAdXlmWv7{?|=phNxNHzs{vtwf z)5`1?DugffBo1;~4q6uT_TCk22?j0<^5DJ6>SE7JWXXmCwS4*8-v42}tQ$SrQi-3aknBwH`HVKfMRzV0d zOAO0?p>mMfIB1#RP)|~JAr=#cP7++3*2xv~wyLCnx>6Pa1?e%xDbKW35&>GB*-TG! zNO)}k;cSlyPW2>Z7h=uQG{z7LgaV;JC=d#S0-=DXfO67b?QE7XUi|9Z5B*#+2X2x~ zz@6pK>(l)+9~M_LNBN&$3@X2gz(6I|Zy%&)KU?Vuhh_`+Txz6XQvVWN^Y9C%j+W`S z=20s6T$5u7BEy}_bC0c+ztP*0MB(wfyv-o|Q!Zl_dK*z0^~Y|m3;ka9TdDi`8VlXH z?N{2Iq2FIso)QTs|0>Mk<$r$tyzx|Z3)V8>9pvV_+T0X8%k0bsv&1l>H-&gL<(MXk zvr9T2V>eXE_lf*uKl-T+-`mf7`#JFy7JRWscHv~*EWVSAbl4`zwvO$YCFqu-Hhz7t zg%y_t=H6Do`x<4&-j;OQaxDc<=`um-!MRmeS>qCAKCgDYSJkYzDsn7A##EUmIZx@q zx!T*3q*6zT_(|vIyXccRb`6$Y+16ybKHTw$d&wx9@0p|Mo4g}?YbM{xft&v`nm%Lh z$ES{oH)%Iry!x6VyEMf%4n9{A=(-Kq>SkTdDv26eNV~LvhkG#;q-piumLv+#si3>)@bef z?;=V771RVg61zf@jT%~5P9VDr{&8r=;S1zv5JaH46QT(J*U zRZ>7*DT{!D^qAtby0CfAT!7rP3NpVwCmzTCZ9&6DnE1QHYMwA>$}>PUuCsj z(yWwyz)r5q?B9wNv}z&mRL(CoIQS(4R80*X^Zr|tP)O}cSp*cMX{Ltap8#yw=- zrs}n|tQCzxt5*Lg93i%T1K- zs5^D|G+bobam;CnGGI28(n0--=C z5DJ6>p}=t!i1qCr*Cy>jQsQ_i>sz70&P|Du7OV4}T=Q>CN^IUmabsiuHX+io@5EzQ zCk5#-#mU!PfXeI#+6U{XG`rAL)tHR+u7&u;#U3ihzJ~ciJz@V=s_X{hkn2et(nHQZB2Y2go0mZ9nrnTbaYc4=#c7n_j!%~lOkl8q>nvn|*^(18%Vt2R0 zeW%Hr-CO)X`0m5QeIF&R=_s@JjX$Ri?d>@mi`=0=C=d#S0v!s>zif4QUdTlT=Fwr? zBi9M@5_MSZE#i^AwQ>g@3cM%<;(oi!1!@T&u?3NGI1k#TCB1 zi{i#sDkHdRWGS;7D1`fIL24!j4%r7wMhtnmF1KJMq-hqaIQgmwP??<|v&8VYeK11B zei}*2F2rKOP_Hz*;M%lKuKsx&@4romzRVLImo)}$nd0Ei+p_?b*`Gdd;TMK_lClf2 zVWB`M5DJ6>p+G1Q3WNfoKqwFjgaV;JC=d!fMgbwHb5o*=zmuzfAM7dnw^Z!z_)ObM z1_~Z-$pB3ovVTifVJOz+0!m1aDNepB0#s%{&^}m4rP+n1npW>xh+kanp>phNm^Un$ z;t9XyjB+q*$iupo*)2|pUFu03EJ=9Ww3xT|u3%F!a}bsKJY2kK(i@O-gMkth@h1ww&P;7Sw_>g?Q<=xV8xEB0@zY6_?;Wf4%29#fqB z-6jEY(<%r-W{F|hFH{aP8wV{D9O_BRF2rKO&`E-8(>l3g-d2?qP*=(#pddY_IOUnP zN+Lk3Gn?sY4hgRfAe`+n!Kt34>_TiRRt8(U+rv`FjXSJANsij zf3r;z;q0B|&+F6uGarI*t=cb!mfu8Rpc3x44^p$At@MOLvxR#uHBvCCe~GSn_yto( z%k*3GC>4CJ$*}~H;m+l`$JWZ<=xs@&@c3QcW)S`j1@elPp2)ct&o zg>KyTD{aou@2@IPiG-7X73T2rKfiw7c&fSuYnkv4a`RnnZVH}dc4mWFVi?hzLcE%C zoA*@Vf!$Cg-zV~u{phDQd~ZMR?dQZ-Sn$Oj*@csJv-nOf(qWq<+d8&qmY`dT+W7Uo z7FJvqn0s3R?`xD9dt1_J%e53drOO1R2j^B@WsOUe`MlcoURAT=s>rbf8B=AN%nI&lEDF4ID;x`5>E}P1V zK*GIgUsRWRZo%3l#lcoLljEk~1Zw63UAF;S-4xcQ6s-1BVMj`ASZ~XPRkHY98qn1! z3}Kv(34v-K-0=g z=R~Z(T5GbJgWy!G%LSB>9#forRRpNaPLNq*7}1+T>{qs=!vhnBdZpO~*QRxH#k{R5 zDWI;DML0734tkmU6;Eflwe62n9lc(<%PD$cxQ_^TjenqEO)DQ(#_a ze|(Kc$JeWXPlGz6r{%#r`3hce~l3!H?4xq>&nFA7N5&`{uc6%f*QZb~#O zWk02p>oWUbVg=SxP$BiE;2r++E>lC{_EixeH?4vYWR@5n zxer$DO(FIxThify2}8Zo?1F36zB06v>oW89jTKbZ!9cMezhr=_y)$&kyiF#dklK~9 z2q;L?>K}z8#Jgz~k_{*sM5|jeH`>s7});Vt7YBCpzj*9X<^g zneb_(87^_MpTfpmcfXxn^Ndn5mUpVA4a;(61UIeBZlOZBTQWe?hU|lpRTzqOxquSV zV~UfniU5__=bLrHYuQj$4l)}DEfXB-Ny;v>G^etM8vBQW$kSyHD9HE}&fK*d`#R<= zA}#ZT$F5Ea(qoE~tNB@g%IpN0C5ELQA03XWu*y&OBeiZQy z*L0Ne$;i)WLwkF4Upxm7W%FueNKG!Bbk5>8Z&$=JztnRzQ#(5i*iv(rEv{E`8x zriPB0w+UDJ#w8eFHwip z-Xb2^TPt_qp}>n$Anv!jT+WgAq?dBP6_iG()eg~igQH7JHY+;0<|(cg(c1YaS4MEv z2rcic7$}6hB?DAV4E1#?7fejkl6eST#kyQT32B;zDo(yC0#s(7Cw;)uB9JEYus3$4A5Id!t9>fp|gaV;JC=d#S0--=C5DJ6>p+G1Q3WNfoK)(Wl zYv-m!7i%ZiW%h5WIPcMHDl<^<*Z^$HcG-~qTQUnnu`U--LV8Sb@>LO_GW${X!K}iL zO0x@1HLc#Y5Wl$CL*>}laM>WN{6&P|rj^+(R0v<{NgU*~9JDOv?Y%455)51zT+J1v ze7(AFC(wk20--=C5DJV@U|x8RArID2;A2rBz6a`JSNeRhOpz!Q2n9lcP~aLAkWU`8 zDbdx^12#B}PvLCre3akLN^sN4>=r77yCnlOE%w3gUBRYe<{&t^nkz{8dfnXz6I?q< zaBW&ASNz?fs-%FrQWgOP=`qF0pB)0^rd1Gv%o4-0&#fF}HV#@QIMkDrU5H)km>$Ov z3S5f<^YiCg%8fchflwe6xM~HI>ht=fzU*i=`a?gL%w+yL=}sN5Pm}ANGanXLGe`NK zUkob$@?@YA>$eY5v!AW>ghR81doDFnFsXluu6g(cQ%B46Tk|Lte6Go{1d+kL7z)y| zMsG_Jg~#vmHiPg_xr|llZA4|%AG^6O^n2NFrS9izEOg_xUukoOet%VYN+g{8t1yR` z|M~UvlYkcMasefzX*b{1=B5x|W@k2-C592bDa5NOx7ka=1G}M0zE9*Q`_WHr_}+fr z+s}!wu;7b5vI{5cX7Qa|q{B8zwsmaJEJ3#vwejnFEv&dKF!#0s-q$EI_O_(cmTM_^ zN|y;r56-Q+${Lp_^Le%Fy{cx#Rgq%}GN#Hj$$3f-&eh(QB$YZ!#7{au-$kFqv1_pG z%C;uc_2G_3+)GB;e9s(3-{c+HTQm7i4)crkPHYdR(FaEyAs{B+bQPwHS6@?Pm*!Ku-=vnt7P%J1PKcTu1$e-Z3RcYp}?X*S{}r|p};jM zAQW3(uB?_AU43l3g-d2?qP*=(#pddY_I9>a^ z?P)Z8LV-{q6bJ=Eflwe62n9lcP+%_w;_qd9DcR&XH$B236bJ>LpnwqTZn!AOYP*CJ zRbz9jlj}13U}6QWT3Bs|8i<2mGCsZKz2d;;LtVlRfwXY2Ah~v)Uo@_jhn@S|`^$qZGcz&PTbjW-)bkLj@1FWPqmmI+Y8SCgbcdITq`3 z0qy0U9DU8pX>*WeN%r%|eJ~=0q1=LB*|P0MEG7({B)B%MldFH;zAjILW-&OFbuduy za7zYg+6$YvXQ5+P4h2C@Dx z*0+0Hi?jzxiQ`4AZ*86l4bn_`w<){e+O$rt{(Z1YWzAx6$a^%K$_x}dHbD0q=3dx7 zSVay+s6*_7B`WEuRPf{4HjT;XP68!#GlA@!4SA3kpoBEd)KHv!RRpNaPLNq*;I(zzK1kJ!TyUr-DZ3E6yYas9L)n{w z)w~%-%QtoHn$#=WHx;hXSEMC=d#CC@}xB)#3R77kPm1eb=YN-sif1toKjs zc06*OFfUR4TF14N^Ns8+Cy584z{jA#ko)aZgp;QPH)6GcKOP==PpaN;A765JcS{DSni#s>2y(d;qFe_D zHA@Bx4lY*_P>`k>r{a{WStSvm)tTigCXIqxcL2#-kDZ3C$9c2%lJwCW_ zqG=B($hZ_fU&BS1c>ireq(u%Mmo)}$nd0DTeiooIJ3(fNVW~$s$ZQ<6OmL_tDZ5NF zcCG`S~ld5>mOnS~1!8-Q)uE*r9cOJ-px*5v|9NRKH_t|}@(W%hahDdF{O`(U@Z zg&OLWW*1zW*2&dBZ=dpa2dZ_i&?LKof`?l&K+|5>ysgNgXmN;no2Vo#6bJ=Eflwe6 z2n9lcD^?)B2kK%M?|iXLA3-Ad2zoq7i+YecqKr`Bg(@KQ7*DT{!D^qAsw z)$?|K{`52(KB2%d6p(!1KSn)KMJUjtfb=+p&&Q;IUQx4Ct^R6f^VW%GTR)gbCzs4* zn0;c*MPAl+E|dQS?pTk-asO@8rPE{~1l6 zG56zB$Hbeon=W2`O_5!iVxP^UlS^i@W#OV6d$Dp7AfBhi)ea7>sfB%Gh=Oj|i$KD? zX`gaV;J zC=d#S0%ZjRsJvWREu_jUcaTo53+>-pVXGEaD`W$O%H5IynpR#qCu058T9efr1gBzM zE}(?;nBwHCB0yz!g3J=bh~5-pzp^DA9+)uHE6pyrHm#E@=519;0d=J;0t(V&ijzM( z1jtRRAP1Valyhv8W0&`ms?(R$O!N{8gaQv&ApX0^himr8i1Q=kA}kaL1ww&PAQX5u z1?1T>e{_lUdO8+Lt?ztf7hIdx$rbxxRV4+~m9hvZNRKH_*S-%XG~o)QAglH`(X>vk zn736W1=N+Y2q;L8DNd^koBtXkKyF$EiTztt2nz*5flwe6IFtf%sA^txG5Vsri{?Us zP@t(m{JpFx(OwScy@-M*6bJ=81%#9JosaB-Yt`6K>Eyc1KA2cR{*Djt=QI-rw`72- zsi9-ue{1y!sf%^FfD+O)Q$um`RS}>vJ3(fNVR=KWa*)|LsG5-r4)r8u7h*AC=p@0l zYF`=J$#t1|`^E~&nr`9WlNBnY-W0sUf0kJPD4cRyrJ$$J+j?&~ ziIA<>KH%|9j$h(SsZd`^Bhg1F@DV65zxW@gQyEx_4&&wpa+J+4^`q#Uyd!&SCJ%>D z;3X*#_uE~r=E!?e+;5#rG;c0B$TOW9`9zXYJ*82^@Q!>=bkv<5~+6uO)78Ni1!K6ZMPy&pw8lj}0` zR;-{^3#(_RfjIaj15`~79W!rDLLs#)Wf4%2rkNUwldp;ZxoH)IAhX24YwNaskg8RC zQ$qd9mULC}$AY|X{8090U^VYXaVI9uD6{vCKc@}t?KvBZ+@U}y5DJ6>9SY2g)(+1H zxX1&1@4G%F_CDABW4(W3x8srPgn5bT*E+7HoNr`rIY~SS1wIA^hTLzTBAh%WxDl%j z{PFO}ds6j&`}mT(Blqe}$OzeLhiJRO(Up(QicYS1imOGmc0S6L5nMGw%lj$@3gK?a z096x1eVxh$6VtS09)ee~E*DTjnr5Mjldp;ZmD%S>pYU2Xrj&!s#zD=Jfr3LlN!f*1 z(v>}Q_W0n!iKacEAmdW_a@THby#F>K(lSqY?CPW-J*GIhnx6%z%ubM5Vp!@?4l)}D zEfXB-Ny;w7PU)rxM;_$J#l5WB^kuaZ-Gl<6KqwFjgaV;JC=d#S0--=C5DJV_K%nm2 zl<2CWldFIKHah+O$rt z{&`#Zi%7E=9Na53T@M2V54U81rVaVK16hTkSeFYZAw8xz`Kky|nf)m9mMX(Sflwe6 z2n9lcP#_ezS_R^Jpe}al&KJw{5hRk2pvQx>s0XPd$_NEsr~*P?^_`FGf@{+{xnduz zs-%FrQWgOP=`qFW+V{bPCR~9OWYr!gn%2n`^R}v_fVxr^0R`zX#c6e6xfqGF!r-P= zkfZG1&UH{ySSWBc3dFpq>(i0BN7Vf#!NWrB3CA#L}7fc;3({IhA zRPebb#}Y(_JD2AkTPuH~wEbAn8VBe{Q7z0sp=N2Wx_ki&3CoADR`FInGI%%VMK2V@oLKD-x!y4 zcwjeF$@hu;WIy_;4d2_(d;2-@6&8H4M|R<4-7LP7i*(o~$+nK|nI-6!qBeeguZ0zt z1?JvX!223y#@?26+Hx%gPw6s2>A|^GS6Sl{Wj?QVy;s$&xGHiiLB>>>COJ>(!MWPo zlB7~ciTFw9=ey{WICc$|UD?)Tx<1_Tho9~&U=$pJFdut}&$$^{yGnzhQ?#HK& zi8pCCUA+35BD*xjKAT4;m&|0#!bLguV&x=2JWq?O9UNR!3;V_p1>LY0frNY0zNjws z+=8`9ii53gCdW;|3DnF7x^4rux+$zpKe41*XGcnGSZ~eQaGO8IP~h4WNY_?y)Ef$f z0-?ZFDo(4A8Xl(m4_9uhyEZ<{&r~>v91l zq{kE|Uljo=vlC>N7)JD_5c`!a>F~gWpl3g-d2?qP*=(#pddY_I9>a^?P)Z8LV-{q6bJ=A1_k6}X!006>M_cQDnfx!;JFlt zzn5K1`SZmxJt0wgLTrSF0?(^}klx*JQIOSk2`8$?=2j=yW%j|u3R<Rw8kDIsk z-W1~U^;-Vyg4gj)j$h(SsZd`^Bhg1F@DV65zxW@gQyEx_4&&y9dX(`h{G2wjx999D za)$yJS0L`UyGTCro)q_6=MwQd=Amrl6G=vOnnn@BJMuZvQFrR_X}HLQPb1B&c8L7_ zohhI-baKrzN};Roe8l&mCq!EIop@Z<7_?=IgR8j;P?>$cStq=fdX$6A#zD&jhkBB- z%jq;>w__&>`I^?r)jw}vm!DD1VsOZsZYncS@Yn#|8-@P!yIk;;j+8AE9Ex?hfD+PU zij%9#S%AvyhnTmNxU=yYz=twEc6yY(A4Pn_#2ICLGV(Lp(B2;1SFCRzE!~~Kbm#Hz z4@W*HI*J|ITSxwI2?audP#_ct1ww&P;9&~H`u1UZ`QFJtz0cVfvA(r=CdffEjm?|x zemlAP_rWTaQG3(e9iM6Y(L#lAw`72(y|8_-iX4g-2igY{>Txfef~+n&C#uF|?Bu%4 zycH{G)xzr8X&?@M$pBSTL&wZplTb+QN?8OHq-myx;^eC$KyF$EA;>H-@Y=dhCZ=i0JOr;|T`r)6G|fU4Ctno- zDznd%KH;@&OeqJMjf0vc0|keAlClf2q$_*q?D4^c6HR+SLB^%<<*wb>c>ireq-CD) z*wslvdQ5S0H9rebnVlfB#IV$(9Aq{QS|&KulayVEozhJYVh9C7flwe62n9lcP#_ct z1ww&PAQT7%LV-}AUjf0jb5o*=wUg^I`?pk__h>ei87O#c0JdejY{>pCnT4TPmkTH% zJ*GJMst8b-{V4ljwg=ZyX?CHhrq#O^;ujZts2uwmE*pfEzladrv@*Me3gJsViG!S$ zgO|s$f`JQztGR-duUGf&1e&l=AQT7%LV-{q6bJnBA_5Wra1Y#O#A|^GS6Sl{Wj?QVy;s$&xGHiiLB>>>COJ>(!MWPolB7~c ziTFw9=ey{WICc$|UD?)Tx<1_Tho9~&U=$pJFdut}&$$^{yGnzhQ?#HK&i8pCC zUA+35BD*xjKAT4;m&|0#!bLguV+DxkX>qlKgKNAjeq)G&ZrF=J!o6u<+*^Ao5t}55 zv#TySQ8ioLC=NbX5$L)N*y?6o%_@l+T1dOJfQNfA6r^eO-j*Z^&#JO~?VB0GArv^E z0_lJ?MM1t) zplRi$b0T(WK{?2EaL_Wrp;(s-C?P$jIOS?qTmrN@vuVK`5?&iXINM`_Q$0!9g;-1& zI!SPCS|?Y`+p3ZR>PlGz6r{%#r)!_LJ&lG>C=d#S0-?aipg{b0kr%tl=Zj^EM4><^ z5DJ6>hgU!jUtts&3TzdS>C`m38!igI+AiTl(>l3gAFQgRfVxr^0R`zX#c6e6^Paf? zxoH)IAhW~}`?m!R7h&S>4y$>>oGH&N(3U9<*FJAsO$HtcgaV;JC=d#S0--=C5DJ6> zdnyorFWXbiD%ZJ+1{ey20#8&xh%fJ}thP&;7V=IdyKH8{;Fb(f zH8ph1`){otA$74X7f?c)W@;!-zA6G#W+%ujF)Z7Z%0Xu1plU`gIMkDrU5Lemp_2sH zs(oc>C)Z`>?Hem7Yr3h-K(QacWPqx@GjzzjO;)IodQ&jzFc;7XY7O;|!V$vD>`$M! z$;dmBlwBw=EEEU@LV-}=N)?!2{Et(;3@k;5aq~hw%I25)QS?pTk-asOheIgvk`##h z?JieyP{U#T`qzb&2Wj6{S-Fly8G?q znrD=fvAk0?ZTyrgBe-d0b_*54-I4*CHe?@+tin*N%LSB>9#forRRpNaKHsbpUXR?r zwMZeno}}zTEG7({B)B%MldFH;zK(f|=*v9eaam)~mMISIygdt0nf*ZXmO^(kJ_Gnr z#>Y;NviGBiZMjP7Oqx*{W?W3i;6PWHi-u>ao=R`-bLwoDUA1eQ4@?+b4+U9~aH48V#!jxw%v-U7RxPZa zod)9ImkdxfHFV6pH3@~(u9QVUL7HZ2C{DgA0_3Ju5Q5AS1Fx;y_Ccyv?M(^wD_hc4 z$sY^yzVSoZn}OB5`~TTHW8_AT7>cUwvICa`2QJqJ4iK&bSNRBi6du7xz!7|e4SWDc zj&%%&dlchQGrbxO(A|=zDN+kmvBjAWd;ou%!`>EGoW)(3IJ3+?H~yA3wYRryEOVy< zsX!`_3JfT)ELsOVFXSQ<^XN40nd^jQi8`(J9`VfHdbtx%1>ThcdA~j6a?ZRby_fr~ zpfo~lc8K;H979^NSux19OmVe{-p)r{8Np2>G~QP+PzZNR256cX>gyC2Oib5`c?e!% zT`r)6bj?B)Ctno-irJS*U+`Ktrj&!q#zD)9fr3LjN$f%_>0%FEJifSaqHE76$hZ`~ z+O->-pT8}Lw9XTryE-Yzh$&94<|hG)*$FZ$3~N2gL1p8hXM#gJN$f)GIoUPZ5~kbeZ{u9D zknNJ3fk(@q4|h+`d|6yA9Py984T?WRV4xD~w-3^?zpV6vL$`$|E-g|pX@7~XdH4lW zN6++U^N0#Qwd7cX$nfa$JYnnQ@AS4NQF#0=A2J9(;xaa&4-t(~e;?+$(7((6jk;g1 zvCxg%exuC=`tO^@OQPW9Uxg(+{_)RuotLUxu*QUsko#X+b5rn)*_jPyg<(c-3h`#j zF-;0**K|C`?x~XR6Zz8~`mGJW+T*i5?)VA|zSyI>aI$R{KgdNoY?owT$NtP3^gz)X ze|*xyhRXtLZyVrqi?U*WL^|!c)`HLJDnaz*)T=AjIHIiQ)vouZnhjS&jy1@b8q=ia ziJqLAy{$@ z4RgOdbxypqcIS)NUQ<+;uGlB@803PB(!xk6y*HejopZ8e)DYG{ylZ2=GWVkk)0+P$qw6rN3G z_1bqcq(drjg$k4_tSL)M1yX@j;QcBfv=%Q{HcN~l6$ZJMod<<;lccU=)}_vVRa=7y z?pn-lp+dM@GCM znBug#uyxN|fZVhSLXcTu$o<_RLi41*-NHth#P2e~dYZ$DZ=RnuK%28uoWk^!3b$RrL4!(2em zP;Y4eDI6g@W`F&>Ek-_&BzB>|v{WD!NCi@X8&zO=^S_?zWnw8hjhi>>S+=~@&!X@0 z&g`w5JRMSj_oP7HZx6YeGw(@xzjZFrvbp3SZ**$r3rS}6RAv#wJM%R$PA1)l zKaVuSB`)>|Hs*%=9pqYOl#(&tsXA}`#FY`;wV2&Pg>biIfUZs12P3O6gmt-q5;9_n zldp;Z#q7(?y5RM~{acF^!rMt=mnHmJB;@PbGYT>;h0oV;73M?ETSQvr;CZYuXwMV} zSM!qq#q3uxZz=I$<8J_8mGNh%XW8dj#P>{`S;k*RenXqu+l%|+o^VyRtVX8PBvV+8 zw9F!gcjjwipzbo@lP;-1Dv%1K0;xbMkP2Ln0=d3D;#!m=NFt7RvA(r=CNxMh@orOf z!L@6HT+6?h6h3!P=F7%UTv@l6HoKvMhg&j0*K+^%P7$eI!LDNFAUL_2D@f&XUGTt! z!Szs(4GAZj#ta+ey3D*4D`?Zg<~3j-4t~i1O;bbX%v+OCNbO1)0t(VKQ$um`RS_UJ zt%49_Rv371-M0_Yv}SKgs9)Kdu1Wq~rI9X;XW9%f>Qy zDv%1K0;#}&0?VRx!1F>bGBJ-%)@uu5bGe>KxpZ7;0&dEB9}kY6_?;We6z9h$&9~Zj%7HX%&PZ zv%;|M7b*vpjf0*E4(%kd3$d6m43gm5wLz|&w@oDl)Ri&>6lBB{r#jO%Nd#zfW;Z>} zq2RRxgtH?iIJJ|+F2t^{pY!t^Qh{4hV7c$#O1W8QDv%1K0ynLIQoX<0*)3tZjs7;y zB@5Xu$r*UG{P}SA^vsvV)xr_~_}ifPLj(pYv3~m?E&Iz#FF15tc;eC`1(Wud=$eOL zFm?1ye>RV(;8RPEHHZw4F3%ITUj9yRYZ8UW@A4so@FOl`6Z#O*81?sIt_%IU?BA&S z=Y_z1cGr8PGN&zPOrU{)Ar^rjGR zrX16xaCS|{bL^ff`96_9?V;b=@T)yO+vAR}u;7b5stYIEX7Phuq{DVe_I2#ftU(VH zt?|bvEo`_fu=chAKDQ_<_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkA zYM$uHsoC3_q)|si{HpWIUG!BPhX%``Y+Ewj9v*nay=0ax_sm)JUEZ0!b(0_Dz|H>+ zP2Vv0%TwpXJ8O5oc{qol zh~Tcp>=r77yCnm3EnYeoV%HXwgIWg%Jrf+lx?DgB88OAFRN(!heWe6z9h$&9DK5s`FO`lXC6-WhAfv-V<{C|-b zyUM4FWy(aUKq`<5qyks3fLwirSzs!#S3u6E&ZE2GqTrkD5>9k&kSq7Wno0_&D`f~M z$cQOUn+sd_%mv6zs~`lK6^7iu4K!SZ$=^F{<_U8qo>`zhQygx6-u9YIJQYXV!|*;f@{-$Fm#aXGV}JM6;w6dRc4^r!!H@2X`c+8GH;6&Dx}^OOghX3 z^bGZe_MgHL!ejQ=&)Z_;14&{R3QS7{Qh`(;6}V9amN)5UTm3Bh zF7M3Vy2;Za6?jhyeNxZgppWkx9(;FWkSiNFltPBz9TCpG88xu05k5<5Kv14Od}4tY=@KqUqc6ye5o<)4m#F=IMW#l)sslC0pFYXCfWy@-0N=-6_ z)kw=MVt8l1CI;#*13u}J3Zw$5Kq`<5qynkH^(c_*+as<;If5kOco*win`c6UG!ySO zRTo^lHpsR7i%H>g_hi0o{KS=Yi)phPDtNdh19UC-Z|@Y5>J{uNW)6artGR+yF4txK zXRF}qdML<-gcD6;h7EFEX5NYwv}s}U8ZZzCzhr=>siAY`tw|`PcBKpf1?ifpp*Z=f z2#}jrK?pJ{47|7Q+Xrb{vo|HwuWU`%B!4N$=fm`A5^&s--gOVnw#_lRfq*2|rED)6op$ouUfmviPl z>Al=<1*H*cvqQAs;26@9&5A*;Ws0js^mabt$_Q>6q4Bv91lq-z$cIQgmwP|Ute`hwTGF{K<-HV#@=3=|yNNn#gbNf&$Q;_=0W6J2{o zLB^%<)vn#x{QPY}q;;O~+|@}zMoe*XH9rYZ%ubM5VOZ-?4k{Z5Jrf+-Nn)4tj9u!0 zCoL7Y4F%*j%FHTLfm9$BNCi@XR3H^d1yX@jAQgD$3JCoTZb}SEG01h9{aY%odo;Vs z3=}+e0Nb)dHf8^o%)$`X>N*oHiG>eqdOD+_VaEE&I1ticn9x(&~b1 z*9N(A-ZqsKP*=(jP>>N*oNjvFF0Y@FX45AXxDExR-uJJgo~$Aj7*Rk*oYE&1xK0I> zvi#M~?xPdk4t^Wwl7(!SUhR5s zs@ZTg>(2FsyrTQc1q9(csPWR@-W%vtnZ z-kH61lON>3&HoKe-!S*fQ|H7xYj?hQ?KMSp>56?ak3lY3$kv66a_q+n5Uio3rDt-~wvq14Fj~Tipa}QwmmlG}w_6o7UUF zY>N*oc!4#KyF$ExuSVXIccdtDv%1K0;#~=UH-qwi_L=5#WH20R3H^d1yX@j zAQeajrYRsWw|73O3$9%ogO}GLn$fi9`bZw9;=WSC- z0d=Je0Ru+NO$W5ytxqpiaX{kUekP4&%sX!`_3Zw$5z*ne1{=V#D7yWdx zOqnPZ_$n0;x^C}$R2N*E#(v5m*Jbv>#0v6veE2-4nJ~B|12jzyo%8uyt4By3*5v|9 zNY_ja#mQGifMRxn%nHN$fmr3BvT@KfBNrUnNn#gbF<}@a!L?~W7&^#xnR)xs3aXm! zDl<^*;g<~1v`>aknYYCX6;kgCKH$G4w*M4PoK`95_4BsfTTLQl3)=@wO9fJaR3H_& zK?RmK|Ldt-CYGYpxOsz|Wy@RrEc!0*%-*`m(;*djPYUGy_K>SN^PZIVTjvrjn@bMz zMyF=JkYrX*Wfn2KGhY(}b(aC3As4}mX1K(~9>K=kaKD3G%ZySo#yeH#ji0zOg1Z*8 zTc{B3mJHCfDf?h#6^5`b7f?b*OmXs65uliTxmg#yUbug2kwSPoN$f%_CJcilxOQ!j zYkb~*$a#zC>pbCktTAZM6bEbV&tLfm9$BNCi@XRN#3E;c_zp~Gx2Uyb-}f3gIweLV2#SU#o*xX_?)+u3=}-vk^#Dw`?q(BNLAym zV&)(?xtc3T<#Ju_?g~!NA~^@N1}3AMO^MnSWaoUK81iMqGJZwj)-*A6m$neHyfp~V z-I4*CriRX$w^omkI;_hDl#s5O8j6#ziU7sz1ep~E1l+d|(ljF%9NI}@7h(@LJ~w_< z_Hkl0pJs6vuIVhZ&yByOP3`S18_V3OKq`<5qyhs9EdSUV@Vte@|xJXlYbN zaMQ>Vvl}RcyCnlOO$^;{1i4%aQLTf6mK6g92bU`Z6r^j$sW{bYHc13%b7s8?3PO-s zVb}q}*`5`15S-dcVi#hmBlgh6>U1|;6lAkq!ila8a^*f)Q%M1Jr3?WD z88O9ab78p{g|ouord5z@*}t_?gnHVQRu^2mHprFpwyC6mx>AOKf{d8rbkp;8dHswu zn?9+)btoY9zJDF{WEH8vhypU=ls>7zbt<5g<*#;jAD!rS@Y^_-EM&VRXW-G&?!(>F zGhaT`v2esc{x&H7@QZ;;tlvIJ%l@*`3l7~Dp18C~!KD2qy5`{*OdUPbpUopG_|%eP z4I;y%%kzY-m%r27nndC8yL`wX{D{lggg!(xM*V%5>q7r7`#0)-xyC{_Zu^Zk7wErl z8ZU{0lYbSK@c73+-*sN9ZowK8K0@w)Y0XW+GiGNtm=%T@y(z?-DOYzo)^vDa_f*OE ziTr5~{nmzG?eWwBAxbJYr*Gql^}X@>eUr%98uQuYS(*H&4#NX#~NfzjcHQzL{Co5-qs|IIwIm% zonP*vui`i~SPo^|lIiyFz$5M@vuwF%&Z6(~&g`w5{2&Kz{%>gdhPhv!Iw#&)yYt0s zuPLfaSL~B{406drwk}+hV?S1ac%6o;9UNTaZSf~V6m-WP0tNT3ecxQ_xdm&N6bD<~ zoE>)s7f>@F7`hGE>Lys5Qn1>i!H$&JwB810!$So*rUI!zDv%1K0;xbM5Gf!4@p5Ie zkcv1q=Lfkiw0~=*ZCco@kPQ@ScS{E7TD){F#QLkXE~_~RPGMaxpoEN=;^eC$KruT( zW`$u!Zwj$r*_sXyOc>ggRu^2mHprFpwyC6mx>AOKf{d8riv70p}9NlOJ% zfm9$BNCoch^8ZC%Y!;j@mMIgZ0;xbMkP4&%sX!_)O#y+qz4K9BaP8V4SMGx~l@w4{ z$`DYH5mTIQeIHC{!WBqCHtlhuYlB=lZ<|UAs4Ha%D9DH@PMZr`e;Xq}ZdwJ&{aaK> zO9fJaR3H^d1yX@jAQeajzCs1^_hlEm=%u1)*F&_S-t%-fGvP}Ou-nSo*tzhr=>eKK^)ye(F!ka}0}0sk$r z{ikr^v`Rs*pSSJaY7!w^*gjxdDv%1K0;#|aDzLoyUr*&Su@s%g%^Tz_Ti)tt(RX=g z_SQ|F4ynL_oTevI+tkKTyl^%IyLi!B(r)dvxwoH`I;E0yA1dYxd>h~ z!zC{E2sY-1`yJ$3W|WdK-l;lo{KS(FuF;MK( z-UjlgODd2GqynixDv%1K0?$(**SF8p%l9t+>0{2mi}kI|GeHiTiFcc-3$9%ou7B;U=199+6256cZI%nRRghFap$`DYHu9+H&ldp;ZxoH)IAhW{2d+WY^ zkft?zQ$qd9)^ttsmx6q5{HpBZ#A-gx;x0^_S!SObe@mO%+gmo4xl@5uAQeaj1{7Ep ztplDHa*>I7bQ<@}b;7blomP8~cxG?C+=-_G?@EEZ-yU)~XWo8C!J(Zbb|IE@v4<`mUtBoRwPzG$Tnb<9+KtW6-xfq# z=LyeUofKrm6em~nlK{o+1eq0vwI1c5vT@Ke!J(Zbb|Lnh?))T&R3H^d1yX@jAQeaj zQh`(;6-WhAfm9$BNCn0f5L^d0C5BiBxh}JROT~4MW>=Ykg2xVETXx8%?B9}E7{a<- zKnWQ!#mQGifMWJ**$1;dxPeNm3r%&c-L(+Ey4X|Y*w=8~AZ+|bgy62l>=r77uk{oT zYFZ9@mh<+>73>KHE)1^b3KCzh;oAu`X{kUekP4&%sX!`_3S6xM`8`kQ7gIu|P+f-9PT`5CAK}Jk*@^_mA$W5yt1eq0vb-z$KsB9ec zOmJu?iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0A^wn-vDn=`xVX$}Ri9UzJ>12Wi<~R(ipq+rkr<7AcstzeLwO{DP^YXZo{wL9F!V(_;_~*OMOVuq{W5P$s{V%P#DR{>0%m%Z={AmyU)`nm0@!1}Ce1!#H>``4f**1$G;y5%|4rSYt>GtrzBkm=$Y`JI7qVMv~?5&&pAO~*#Z)p04xnG_-C*E1R z^TlhgDXL3X?2~y6a>+urE?ksjKURQvorbF&99-jV@h3wRbjKb71^2Ff|77i@MC_6j z&ThKoMAK|_qd53nAux0su+`1BnoSZlG)TL)fQNfA6r^kI-qs`v&!)0^?YkM$Ar-hn z11t) zplk8cxe&Xypd8dXIOv(+5Z2`aO2~*QPPLj1mjG?f>{>8~g4YfZ&W@Pi)J_t+5Q_=J zAPKHr8|2D)+f-6OT`5CAK}Jk*y7hTG(rEgm0;xbMkP3Va3grKbyx3JfT`W^3N(EAZ zR3H_&dIjX_E6f5@fxQB9K6M`54HpI9Y?pANYlB?557tytKwT+AKtV=KaoSwix@Rsx zZdwH)$gD8r{%xS)Dop;~VKYydGx5v+`nPWa6nnDv%1K0;xbMkP4&%sX!`l zqyqW-vLn@Oa-Ev!m4M|+>c}!uf#)kAgv9$Qo9&V=JNtlxT$kCu6)R}dLfxrkm(5HV z+>!yBriRY>{H@g^qz>zH0VSksriS9=t0F)#J3(fJVcn)w4k{Z5O*3-Ap`9dlAr=#c zK@wb>_Jg5=T$h=*AFZIO>8>&Z#U6gi08RU3=#+U|tWY8Ku3*w(E}&_oTevI+tkKTyl^%IyLi!B(r)dvxwoH`I;E0yA1erT;z z@Ot6?twjpq?If|w68p-t`W#eH#4xGGy#BU5UUDXd0XW)Z_X^EEM0 zcNy?WmsB7XNCi@XR3H^d1+GVdT;CpXEy@uj5y!h&-`YGA8l;(cx2d||+OsiAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q z+Xrb{vo|HwuWU`%B!4N$=fm`A5^&s--gOVnw#_lRfq*2|rED)6op$ouUfmviPl>Al=<1*H*cvqQAs z;26@9&5A*;Ws0js^mabt$_Q>6q4Bv91lq-z$c zIQgmwP|Ute`hwTGF{K<-HV#@=3=|yNNn#gbNf&$Q;_=0W6J2{oLB^%<)vn#x{QPY} zq;;O~+|@}zMoe*XH9rYZ%ubM5VOZ-?4k{Z5Jrf+-Nn#gb&*{!ja!3VIfm9$BNCi@X zR3H^d1yX@jAQeajQh`)pTmiv#a8qK4b&%^a`?pkF_h@#N87O${0Jdd^Y|8#EnS~*& z%LSB>5mTIeRRkzzzm|P4+k+dZw7Sq#*VtLik!w z;h?7Fpl3O6pIpJ7VBo^wYOWyh^%}mNK$DgVqynixDv%1K0;#~&Dv;j;b+Joxx>%-6 zlnSH*sX!`lBMJz04sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-sVOaMIm4nL0 zLC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S@Y(^w*%1?* z+DT#;V%OKt`FRehz^y2--1l##+^jPdNCi@Xn^r)n-e2wPmN4B$e;enLg>0AP3_M!? ze7Jjh=F8%0;fR0yZBYCn0t1y;zkQIF{bi*W9J(z$acPl)N&8E5&BHI4I(nu*n@3de zsU^o6M21I~=LuUcf2X%KiNfP|`H(^Q5tp$EeTZm``ui}~h5lXkZ`A#AjfHO9_8V<3 z(0|`FUJ?Z-|0*ou@sEGL>%3Imf;A?5gxvqqnwx@W%+72uD-1JwQ;0WHj%iXjyQbqg zc2AXjpU9u~&~I({)gGViamQC!@Wme0g_CWw_(3kxVY?*zI`(JQpa+W9_~VlnHe421 zd)ok?Ta*?1BhqQlwHAC%R|%pgr(RvL#t~&buXepR)oi#La;!nd)R-nUPxR!}>}^fb zs3RhN)%oQv`YMh?gXK`REtzf)4?N;tGRu~G<}CUy@66u1$q#bi=KqGKZ^vx(nrnBr8c*>DNa=FF}Ib0~Q20O9P22~O=Ku?w-7 zFbtC5+Ox((cN%S@XdA!C%QJsmHS{#B?Z)#G6WQ4#1yB^g{^z$ z0_3Ju5Q5AKL+;-O8m_|R?;SStggFz>EYO}Q4!1sUdrc;u3Zw$5Kq`<5qynixDv%1K z0!J#4zb`vd%_i5WiCzg-L>9VsAILLLG{adkuHZ9bhN_N@I zguyKtplNF8oX_7{JwocRE*DTjx@Kx9PQEGv6tfd#Rv6Z8O68!kanLj)7aZD2Vi#gD zVHhOAwP`;XI>>dIdHc}{s+#U9Gf?c|mkiLfPlisJx5Ww-Qtt{T9p(ahhI&K$PvHpR zG5hQ1Z87qJB(VzxrlkU@Kq`<5+^7P}oB#DxFB41AY23U~&$8vMeinU~cV=(hk_{mdzyxd81P^Uq~{mr!tEe-kGn7fx63pPsc^h_<5umE^)C( zurW8>?;zJQqm+#CPSttiC$5a(uEp#YDulZw19WZ5J{VbrA*{;7o-DSW<$t1usO-XhW}2hU@TL3^e+xSF2?C}zKk zc}s~08-D}%s*FE7JLTCYi!&q-7Q{yfa@D z19g`HpL9tDQh`(;6-WhAfmGmn6v*}M5!a#|K@xGii}kI|GoeA6iFcc-3$9%oxw*U+46cWQY)ClK zG-lW!*Jb9dSV5Z>Hm?B#aqvq9Xqp;2XWp8GLTXpa5Kxe=nHq|duZjS%M)ErZsz0LjB6tbWQS?f_!fLs_f&$YCg^4E=-(RW}h2>OPku;TQ-)tQ-M?<6-WgJ z6j&Cm1D+Rhk%@V98u!d~!m>o2R(p?lW^cXRiKhbZN`buJ9&$Nn-jm+T{Z>#Kp*A~2 z`wfmEE!nIXBd(0#rV$$Ns~9MRyCnlOO$_yQiVG&DYsEYSudpr`P(r$9 zp^B5QiU7sz%cL)Ots7IyL1p8hWyL_jp`9dlA(nKphb|spTsYCSXB1>y3SaHojm^*B z7DQU-3C~@f6lBB{Cs*^60LAPCnH7e$9_662anLitp`9dlA@-c^{3M4|AQeajQh`(; z6-WhAfm9$BNCi@XR3H^d1;!N+Tn9HLhFAx=F0+42#dVKnSDAr=#|~gycF3me-;!Av z!n#~Q2^lfP$yY^yV)kp<2eUo6fl8|jO?9o^wGh9$*i+@$*Kpk+Z2U%q;I75&7Al0V z^%M?jS`K=a^Y+OV>R?5vfQ-M?<6}V{ylA((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-ud zB#k;E;#Zwt?xL^aI5b!eW!sYJ_VBC8YwXKq~Nl6%bmBmn)kk#*hkwT+7ab!nsLO*D>o-XTPegK?HX#X17ow z+$|ZPYw^;#5WBXZ9Mn2E=$YUU*5v|9$cQOUwVDl=0Bz3fS}=!#*A5WQj+o%oP7=Ei ziwVOZ39elm* z1yX@jAQiZJ1?1{0%mPz^y#jJRbspUf7X{yJmvEwMgIu`})>KkJT`5CAK}Jk*+FaPW zXD&c)S_L74(oCOC8TSnhT`O_B0w=aL1u+v-KJCyDjNq)GjhS9og{W4 z788a+5?q`1gQ0_5mzlR8t)Qyut}+9~9)8IHP5Wf%lzCgMP$BiMVA5eOpl7HzwEq;2 z5FWF?e%=-%A4n3rP+(drkP4&%slbgYu)O(SPxUgf6rIM+8}%$(-s)%3cX?;_)=i!c zslaievwAACh~b_2ni#0N4ES_hij%t!b|*k?S_QeHeK4V(cBRz?*QPNU2e~dYZ^a7ouh8<=fP-H$K-1LF zIlp%>35C?Ilp&xXT{ATlCtno-a?>gZL1u*k0r%~LG_Bd266#mBrfZVF6y$T`S7je3 zR`Y2VcVXhpGW*>4TiVp#-mb zGkfdhPCONOR|@3)_K?dt^Pcox?ze){2*sQ$_6RmOhO}g}VvuW@;!4KO&PQAs!A&DH zW;aj>cS{Cnni#s@2y(d;qFM(BEh`2J4lY*+C`i|gQ*o-*Y?27j=FGbPq#y*D6^0!k zob6dL2f?YGBz7Sd6NW(&T)Q^NH9l|i^S1@j*LlM8SYyzhDGu(uJqb|E{`z?fzc93u z#4g09r2?ryDv%1K0;xbMkP4&%sX!`_3Zw$5Kq~MO1%#l%O^G4?L9X$Au*S~vP(kjF z&v{$P!UYPqWPq+s*}o;LFobovfD$rdij%L30LAQAv=25=X?3BguC==s;#U`YsvP?o z)(y*7{NAC>Zm8g~)>AmBX*uXw&f6zfuqPO}Fu0m4NM|6lBB{Cx5p|fZVhS zLXcTuSoaH+gUZH1&jg2dlGueS+5y7Z5fhx+Nn#gb(^7#{AQeajQh`(;6=*7;p#ExSw}fdD0sc15CHR}|k_cxX zEq^}TJw5X!2-l|lZD{-<0t1zBzkQIF{bi*W9J(z$acPl)N&8E5&BHI4I(nu*n@3de zsU^o6M21I~=LuUcf2X%KiNfP|`H(^Q5tp$EeTZm``ui}~h5lXkZ`A#AjfHO9_8V<3 z(0|`FUJ?Z-|0*ou@sEGL>%3Imf;A?5gxvqqnwx@W%+72uD-1JwQ;0WHZuglgJg|GJ z{)EY>zv>!h$dMs4kpro5c@ukq+A>+1If@vj#m-w8kHww6Ni_z}nje z_}rqb*dLKjd#<(MbGk|pJvsI2iZzZX>v^^7y{Try)sSNiGN#5fsd=I&r)Fb0OAWt#w(=L2wG| zasee|#1toA6#2NrQh`+9>J^ZyuP_Tt1@;Qa z`P6xA?|f93b3ktxDK4%NTcbK3Zw$5Kq`<5qynixDv%1?gaUlX=ISkfUv{xebh=okOq2?Il?n)5 zyBjVFve_=-MAO*Z8sxgnKA2cRn-(_Pp$6jMmkiJ}HFVDBZ%slWwJT)^C`i{#4aLb< zMS$G23PO-sVOT#9s~l7|4w}~NO$n`BZXGMR@Wk~{kPQhZn)ZXCgIt%Hw;!#bO$!Ik z+fT+lW!{$NwY97bjX|5%{!=(YZ2JnDL&581^S0faLL6VO_17+VrKJL?Kq`<5+@J!> zoB#DxE)z@9Y23U~&obVH-_mCG_LhBR?o{C73grFv5XooWlitPs_UI<~H|AB@%omc( z>a@%vhIi&`VxaCa;L~@pc`JC)%w~tk-`~NtYlB?Nj8gb``3O(k*hXcv91lWW*FFUljq0*$FZ$3@_UUBT^XJNn#gbF<}@a!L@6HT;uch zLp%+-#o*vyq2;YX@Ni28=-NA*whZ%nZ_^OOQJ3Y%j&mz8O;>_tS#3Obnc| z4_1sA^0+RyU?rq$7OFV;st8cbPLNq)c-cM}p<<6tlGueggRu^2mHpn$TZ}aoF z1<}`e!t+>T(4Hv{?z}w-P|W`Nc?-WVw3Eax#HOVJsX!`_3Zw$5Kq`<5qynixDv%1K z0;xbM@Dc@tputUvA^t(G@qMt@?B7zcyW?}-Rx(iVa7za0+LZlUvI;|3mkTH%Bc?d{ zst8cbentCW1C>@6n(A7+YaxDhv8T$huVLM=WQrI3)-%e%q9IS)7PDKN5WCh>I9QYL zylXjcpIpJNV&)(?xtc3Te7#UREfq)wQh`(;6-WhAf!kLgzX$4K*YI?)OqnPZNCi@X zRNzJw5b7M&4393)6SiLdPH$@x zg~#vmA%pNEE@Kn=5YZU*_hGIJ{k!bnsQcv_3*ETwH`-jF|GsIwBnnRcRanB~AOC#U zd8xVuYfShEx&Ng#HwDj_o!MYk7-saQ5O1d3?lV<*VE0tX_lf*z5B=7LU+wYP9(R0& z1z+q@T{zh`iy!179kxrduVa5^4SJwxjXyqVVZ&vCwYLrMxkXvAKO&v>Tx-GSbd?}_ za_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh&ED1|jXEOYSDjz(qOamOG*}L0+mh+_ z@W3PPC9`a~XU?MU^3Lq7oBSY$<<0sawrA7mvm>q{ASd4WDx5D~dreVYx|i)_9)n!6 zkooH*UX^uib9UXFS%Vgi_y=!`KN+mJ>?#X^f_vA#Z!Y!Rg0)MEgRO4Pj=O>jsF@E8 z-3DxR6Rb@sSnbhZM@np3Z|j9sviMyZFw`gvX{kUekP4&%sX!|5H7X!99WPflON=3X z2DvV@e`_UgTBvL0Wr-y81toWi}tuDBBZICPHZBt1Bb)^ge1sO5L$)6npmk0;xbM zkP6)0<^PMk*ep0*EK?>*1-?E7mUZ^m*LZe((+cFgz3I#MH5V^5-`@GCF1U7WkSq7W zno0_&D`f~M$cQOUx4sW1G~o)QAe;6$(X~OYoVQIS1=N)?1QcY%6sOIFt-p;CAUCao zEbq^S=j$&5l!MB~LC*w-c9PhI*tAq26-WhAfm9$BNCi@XRN!4Hz}ouiEq`Bju}gHi zSf*DbDzAvm&{W`U6%f)7Zc213Wj|$*>oWUbVg=Pbnq6fE;^3DI&@?r4&gXBfP$BiM z-~;}9m#Lv}`>F_#n^r*xGAj%(+y`s+rV#s;t?BT$w$=6^la%fwQ28aHo{vut^*pGDv0o!MJAc{-#5?@58Y-yU)`XWoyKYNQ!gruv0T%69Yva(3_n1HEaxa92A6^Ej$_S7e}T`u}84Ux4M{v;}GNeBDiZYyM+qjZd!n@y|a0H5;}I} zP!OavD-3ToZ%KZz@i&04%J{R>v+VOM;(I2}EaNXDzoAX-?ZtiZ8oVmY_3hKkG4nO? zG?#N+oO5|96-WhAfm9$BNCi@X>s27vw?|x?as)}l@m|)qLWAv{kLrSJ*9N(ke=#Y1 z@lMtG1E;wUhDhta6VGFfL3^e+_?in)%zj1tU;~v_7n*7sGpyaU5Wl+EQ{~v#uwJNF z%v)))8;C=#r*Kfya?mn0aL)d%=_6!bbt)V@uFC}!udbQaij%Lo0LAPCnH7e$9_662 zanLj)7aZD2Vi#f$H$FFhRrYaWHJ@g27q00nv(JscrA_VaEgQ?+sX!`_3Zw!93a}Er zDwB!T=rrz`>x5;AI<58|@yy;#z= zhL`Pw5i0iRB#B*!#e|_{ql8Hc)AGp{cI5yB6YC7kjE4 z`x@2_OQv|iZ#|>N*oc!G;0dmtS2tj6rVcjoO4k{Z5Jrf+-Nn#gbF<}@a!L@6HTsd!>N(!he zWe6z9h$&8Wrfrf4(B{l;dYVJQYX=BtM@(>PCy8B%O-luCPXW2TlC$De;4bHFPJ$a& zK&jnd?d+B?-8O$4=aPkNm*fmQTK;@^c!fPW^JQ_haKu0UHYolOfq_b_-#$po{<6{w z4&4@>xU@*Yr2Qqj=HVAi9X->Z%_A!K)RJQjB7=J|6r^XJ-qs`vkKg4(2H{6s#wPS3 zqA}|4!(12ociF#D_scaFx^dfYw7Ee4ebabJ6rB94u!P4y{`u}sK*PFRKndyE{V%P# zDa6O@%m%Zs|3-LQ?IUAQz)DaQC>ilvSeHF){!Ez|umQ1&Y2Oe=RnPtm8a~6G< zcV=(h#Y^WxtiM|8vYLb76xQVeO2~*QPQEGv6tfd#Rv2dVrV#s;t?BTrlkU@Kq`<5qynixDv%1K0;#~I70BP0T}<)Q#WH20RN$*rK?$)52ft*1rm3NGK7VV43aNJmAMoG1ObvzGS4Du_vifnpE8WPql9GIYwkEheFm+LbZ{ z6r^kIKZPU2yJ;2V_4BsfTTLQlbL#*|O9fJaR3H_&Q3aMa|LdtSN^PZIVTjvt7S#ec1^Mxd{IxVw^;hp)K7^u4p_zbxS zUNplcF7^mE=7#$nhZ%nZ_^OOQJ3Y%j&mz8O;>88kmf3HYI9Tke%~^Vo2RNe9gQS#Kp_p^U9>E63kd|y#400_~T*=tk`G_kcxM_sO>;?+qZpi>m6GQhKK`xg!R)dknC4RYoFZBt1Bb)^ge1sO5L$=`bvAUCaoT+u$5P*1zk>Vj+62Dx(HHkA}m zSIQ7jkP%ayZhhX4G@3rCKq`<5qynixD)5ymp!DspcD&zBw^jOWoJ$s_U6M2KXleK1 z?&+B?zcpVt;vat-6o2@|Kqc01AEae}S?L9bZVOLbTBKmo{t{jD@C&Anp6So#5fyxD z$*~5J;nC%J!q&^*>1|D-@c3OmWDtJDWo$wpA{wLqKFoEYf0z9mb-!F=p&PgTMw<)t z-#3kyM8V0w3QKtW;B z+@h@5ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~kYf!prp7d>d7>w$W^ZefMja9H ztIjWX(N}RC8Z3vhZOL?dc;FHDl3BLgGiT9vd1v<4O@5HW@@9Py+p}r(*%8+ekQ48G z70ws0y{4!x-OF||k3lY3$ozE@ugbc%IlFGotU(J${DZf}pA1%9c9n%d!M$tWHy7-|%Tv{WD!NCi@X zR3H`j8Wj+lj+ZN&CB~3GgIpKdzqOJ#Ez~vhvP2Ska!Us2TD){F#QLkXE~_~RPGMax zpoEN=;^eC$KruT(W`$u!Zwj$r*_sXyOc>ggRu^2mHprFpwyC6mx>AOKf{d8riv70p}9NlOJ%fm9$BNCoch^8ZC%Y!;j@mMIgZ0$-m3%R2k(Ydkx?X$5lL-t^`B znu`~jZ|{6m7hJnG$d&tGO(g}?l`;erWW*GwTi*v0ns5bDkWG7>=-MDx&fBJv0_sW` z0tzx>iqq!8*5AemkegOPmiOnv^Ys@2%0Xr0pl5fS+=~@&!X@0&g`w5JRMSj_oP7HZx6YeGw(@x zzjZDVn-y1OGhawDtJ5-z7~Yw$iGjMyfX|SN;6*cB;$n|rV{W+LL9S&+DH-FPs`G|r zTp7V#i`gwy2zN^c=-QNhFtQ3mSeFYZAtRL3tsDns&Y`-IOv(+&`uJ& z5Q_=JAPKHr8{`_Fw;yueBKkT{cphsE+B3zW&a}r|CjokVrlwVpE1I{IbC~frfUnB< zv(vNe^DN?fCeAG5FC)L9P3`T)edYT0#nL?rERUWa{&ePRVxZWmy$$3~msB7XNCi@X zR3H^d1)irsu5X{Gm+xKt)5n~BFY8-Dj`q$+b-}f3gIweLV2z#SZZSBxJ3i<^JuRB2v}3tC%?mPOjz(Qn_3gJTPH!Jrra^!ilCa83(y8GjGKT+O)9Q3pNl3 zzhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{4C{Wfa!}bgXj-#3CA4z6bu`Ie z3i7$}tFn(1tNApGyKuo~nSE~jEp2LVZ`oMpP6bkdR3H@?P+(cK4tQS3MJDFaY1}i{ z3Cj|7TJ1gJnZ5OLC!PwtD+Tg?d&uRSc~5#T_gg_}gxc&7`TIM#HjTZJL9S(rD}0@u zkGL{|n?`8NZlDnEmJHA|G1S*7E|{3E74s0h!n#~Q3F(@JDo(yC0u-|oWL6knwhuT%B(V#z zX{kUekP4&%sX!`_3Zw$5Kq`<5qynixDv%1iL;)daa8qK4e~@c@AFQ#nJXDao<8$6t zvT%XIEg7I|Q}%DkDhy#=E}(>rnBwHCB0w?w743r!R9anVs%!18h4|IQo+`(_hIPX- z-o_`mYcacp3gK%#g@c-wgP!HQeR2hRf`JQztGR;2*9*1NQh`(;6-WhAfm9$BxP1lk zd!R0M4Nn)#l!;P-R3H^d1#UzEq0YfgiJ_JTxpM!usiuIsQigznjF{r&?=}gLn^r*x zGAj)0exY(u**NH#;LuJIyAX>B!ypN+T^r=edD~P{KwT+AKtV=KajG+IlSF_vXLi%m z9131LKsY;Mf>S$5>_Ti>Dv%1K0;xbMkP4&%O$8LxU+wIcFij%B-^RHFf3sZ@;q0U3 z&xgCGXTAjC+O)q7jXy+Spc3x457M%~tn`9Iw}mGzEmAOPe~GSn_yto(&-7>WhzdTn zFFOG6+B7GB%+P5sguQALhEyzsvrOx?irb(2d)Eqs;~S z@0-R;qTu9Tg(W=x@y~aim#SN^#)OZM`(IjfQ}B%0nGI%zVMcEX@n*{HK2wDUc2AXj zpU9u~&~I({)gGViamQC!@Wme0g_CWw_(3kxVY?*zI`(JQpa+W9_~VlnHe421d)ok? zTa*?1BhqQlwHAC%R|%pgr(RvL#t~&buXepR)oi#La;!nd)R-nUPxR!}>}^fbs3RhN z)%oQv`YMh?gXK`REtzf)4?N;tGRu~G<}CUy@66u1$q#Z^-mDK|dp3p0_9o? z$vRSjR3H`jDijd9jF&5$CB~2-gIpKdzqOJ#Ez~vhvP2Ska!Us2TD){F#QLkXE~_~R zPGMaxpoEN=;^eC$KruT(W`$u!Zwj$r*_sXyOc>ggRu^2mHprFpwyC6mx>AOKf{d8r ziv70p}9NlOJ%fm9$BNCoch^8ZC%Y!;j@mMIgZ0$-m3%R2k(Ydkx?T?M56 z`|x&q$jZMy1#I4$oyYdhM|Hu!YlB?557tytKwT+AKtV=Kak}+=Frf)oAO+d9$BC{D za^<{jDk-3@lp&xXBc?cQE^Pg6i~zZ56=Ydg7M`!a2v80x8wWiT9NI}@7h=;=fm9$B zNCi@XR3H^d1yX@j;L-}@@5?Tx_~~MqGEpk@tGW!@H(P)O}c83GE@wf3LF5#rsn3iA4S z+wQF<5wf{;0Hmb?sX!`_3f!my%bWl8R4)@t(P`YgLC&(}t$r4Lmv?4w-Q?+z3cM!; z@_u{B)tq@x%KNQziP)^TDx3L2l3AUWS;X+pd`%40T?TxHTm&zg;Sv{n1RHb1{SI<1 zGfK%A?^K;PEaS=u?pn-lp+dM@GC9roSsE;4rmQbMmL)hwJXTZ z`9LwG?i{{m-iq<;(iS^;z`RvsnKN%qLNRh*$`DYHu9+H&ldp;ZwXdK#6ufwE-M0_Y zv}SKgs9)Kdu1Wq~rI9X;XW9%f>QyDv%1K0;#}&0?R+P z20Sn1A`|oIH13(}1Xho4X)}9!%f2#qDsXWH@_u`WbiIfToF|`;8!%OChRtaL}@1py1$gg@A%|%{UdO zTFoYj0Bz2!`%el&kXd2a0m9jy6>|`r+DT#;VliPDB*C?7gIweDHa~w`5Ph8|JdZU7 z?U~}>&fAj!#q6)2x9|%?J4x)aghP>*3fzzaaznLdsi{CJkP4&%sX!`_3Zw$5Kq`<5 zyk7-`)&@5vhEy2j8s7(N>?{uz_u=m8 znJ>RJUpV3)e;X8k_{Bga)^8uAWq(=e1&3}6Ph480VAB2)UGwk@rjDNJ&*l*od}_(D z29e>><$1!^%irm3O``DlT|Q(Ge#B*LLLVX;qy9e3b)kQk{Tp?^Tw|ddxBW(&3-sSN zjh95h$-fFqc>Lp^?>aA4w_uG4A0hX@wC1MZ8M8AR%nHMd-W1}^l-qyG3Kz3`s^t4b z{WVdvDC>E(>%FOF!_|;u4Kk+2G^u%_C#Pm_Ym!DC5%H_e zFL%*baU2>fhq7(SbbEN<5%-c=w%jvk(RX=g_SQ{)ki+t3eGuETY4q6<*AS2s?|c=` z7q7jhs4m^hb~2AaE?LO@brP@2y0$sHZqBSh3rGBex5b|fR$O+Kg+Rf*Yu`7QdTznm zCB?y3H)qFP!3EUJ2Zn9~wz>({rWCC9Xs{zCHm$ey!YWz(E)5uJ6o#}^AQeajQh`(; z75Ewz5SoscE1M<8kUoQ47uvtIk~b~XHS@AW5_)n=2IyM6bS}jDtFMnBwHm4gqr0 zD##VhTgpjG1yX@jAQeaj?(XvcMP6(coGz9r6Qu%Qp90G|`|E2wJHBZJa^Bwb<@=h8 z7n*PHd{h@)yEe#``(RBa1=N)?1QcY%6sKF?2NRlb1yYbrdz|RnAXm=Yrji2cN*MwQ zGGdC;=EBzB#t4v`Rza5c=fd;#7XivaW#gb{f_Ti>Dv%1K0;xbMkP4&%sX!|5 zt`uNxef5^VFT2K@ImG6Qk& zO9p6~8an6mw^pc-dROoP|Gmr9P`G_n1jtRRAOx8eh8OOGHG5Nt{mRyKcwoZNuC%(~ z+O!`G9pt*qy!~he#X1-$_V7yvXxb-3r_9@85(=qZDMLU(y4L{DLRdtH^^DGyw%U5@AA&W}5K-b>cygdmWyK*Q9QkoTpH=DO4KiK#iz*lAb+38vKc^2_K6K9t3myzGlruO#Y zzIY8@mF4>O>E)RDns}PaIWEq*Je3Nh0;xbMkP4&%slfFrkn7tcu1z_DB;t54>sz70 z_RdFj!L@6HT+6?h6ux+;>imJz+y_IXb>E5SvBsc1QyhHF1t?~}qJ6M|N~;S^HH{h8 z?planUF@lH>}yyr)GOw#G}#Trq1ID4sA)N9nHo4}|JL*oGOs!n4j$L#0*Y7HOl!r- z*Ia;Nc7n_b!&;AWP}w+Wnvn|*?If`av4S7Nlij;FNu^V#JWgb-4vA zAziaj#mQGifMRxn%nHNH_Q41hdvub-F2rKO(5|$);M%o8uJL)BpT8}LzRnY##~Oq7 zOmT4M?MZ-Q_Ser__=TaJBz7S-Efq)wQh`(;6-WhAfm9$BNCi@XR3H^d1yX^RC?Et4 zZb}UC4|0v~gS}?|mWtgSpYyhofr5uyGCxLy$yx_NJE0kI(kF<0~xqVvp*=$+lVi zAQ$PdU6Oqr`!j3M14V26@kt9CE(@%^ZGg`$%8LCF>9prs3qGf-1ksaIudZ0*h_aqn zyWX2>He3xk)*xeQOp}@?dU9&^wkB!R5fQ)Y{Bjq47002$awyxDOt*&z9&s<3Wy?Kt z7JZj@W^di(2RSTn)(5dYn?|1*mZFv~a{fcw79*V8vxuSqK!|yY_u^spl4~T~Zuub#r#y6D{V5^&8ZA!sv zj|MwZV$*tCFRYTq?-C>}6-WhAfm9$BNCi@XSOEcwmn)kkM$GaE8RWXq{;d_ZX`!x} zm$jf!xmz+o*W#sfA=Y25by>|pa0=^k0VQO_6enL50gBlPGAj%-dQ*t~%GPvvV8YO@ zw7THhwLz|&w@oDl)Ri&>6lBB{Cx3PbkegOPu4vv;PFgCE3Zw$5Kq_!|m;W#FVzc0M zu}qmL6-WhAfm9$BNCi@XX$lC;?VXS6f@{|XxpE(@sic6qQigznjF{qd>-%6r6RtoC zvT2VKT^r=edD~P{KwT+AKtV=KaoSwi`r8-*a?>hE?%$$9S}KqVqynixDv%1K0;xbM z@D(bMzc0JkML%6EQzl9UzDfmzuG>2w)dkn4v7a)?b(wuIv4Z>^A3o1%CJb)L08LXv z=Y0Ow>Jd_hb-92N(lt{lLC3aJ>+W6 zyeH-T*11H>=8}WF(W#j)B$?GynMDlm%-6&~-DSXM$VKp?87^_LN3bzB-0vXQGNY7? z@lMrw<0r0+;I75&7Al0hB?EMA%03ubg(0lV1(c8xQ=EKN1Sn=-Zq@~_7w+F$q!8Xt z61xzK3Bw=>u3a1C8lSfxa^52PI!|~WYYf^m#lfAoCjpAtuV~&<=wZg+0KO{Y&rZ*> z&$Ec{nK-kIzl{8bHnq1G_m%707fbgjusnKx_|uuMiGgCL_BN0|T~dKmAQeajQh`(; z6?mQkxxRg#UcPtnPakvky{vBqIodlP)dknC4RVd|gEe-RyT#z(?)aRyl?)U-+>!yh zmixDNibz%Cu43jOIJufDNab=}@W6z@^-z!v2`8GyWE|wW%)AvVXw$-GFW5jF{E`8h zriRX$w zugX47tme}!?!pC|W%jx8x3sCfy=7yWI~7O;Qh`)pK!Ih^I^cOB7nztxr*Y3*CoD_U zX|?x=XZF_1op>tnt`x}o?ID+Q<~`}X+;0V?5o)tTsyO+o2vE#UkXd1P**+MdVvkOe z*o9b37}}Lq7hJnG$TdE1^Yga_(bsvx^H^iho+%FQygdm}%>Md$3%@Y5lf*8>rlkU@ zKq`<5qynixDv%1K0;xbMkP4&%sX!|55(R{y!A*%F{z0zseXz#P@=!tUj?Z~p$-)H+ zw`72>P1(OCt1yIhxquQfVv3WmiU7szSF{f{P-%6csjjuV7UEYId#W7!8rBWVcpIPK zuEp#YDul1~6b@=y4tkdJ_Q@6O2?j0_RLi41*-Nc5RR==WSC-0d=Je0Rq)!Ze8he;el#{LOYrgtL#9KOgR% zp7|1lYt#NVH2x5Qfl9dFK1j>{veF9<-4>p>v`E3E{Uy5Q;TKFDJ=34fBP#gRl4A`b z!=uadgsqpq)7zRv;qkkC$RPZP%h-fIL^MYIeVFS)|1SGC>VCP#LN{*vjW!qPzi%2Z ziGq`V6_)V$$3Ne7UaD@v8WTQ3?tf{`O~Er}XEvA>h8evn#G5I%`%D!c*gaM9eIkF_ zL%+4*S9^T6#~oi`!54c}7f!a#;s?1%hwYN=>)4-JgB~baoLx6()}VzW{=wVgPX;S4yUIeK;NG?Gn@c^nVC|CP zV5^(6{qs?!vhnBcBRz?*RBn6<-BbwDWI;DA)p{5ra1YtLx9}03UWpB zmU7Zkfm9$BNCi@XySw~6lBB{r(53#6Pj=ZQjkr1oaovhSI*m}k^<^V83GD2Vv5t|!q(r$2#}jr zL2~~V71C0HR3H^d1yX@jAQeajQh~2ff&6{h#V-2kVwo~gD)3b*Aavc{`KT_qHjVw1 zL9WZ}gNYU7@A&X}PBUR}O9p6~8an6mw^omkI;_hDl#s5O8j6#ziU7sz1eq0v^#ifW zL1p8hX+|zMw3Eax#A3oQNP=tAelT>9>oW89qZL#&-Bo6w*uyUwplP2BoicBW6)L3O z6@0*dOKkrsoH(sg(Cg=IySJJ|$QHH_n3f8p0;xbMaDxggZ~oU)xlAlYr*ZQJIm?!} z`dRc{-kH61lcz%}@SYUN`|TlDbLKrM@3+n+S~iy)vkx#4~Xxt1BFWQ=#J&Ko~*WdwIEX17ow+$|ZPYg6{Y$SMqBT`r)6 zjF{r&t0F)#`*O1`c)f7{)*^-Qc9PhISWFlONpS7jAlLZ3{gCq((bsvx^H^iho+%FQ zygdm}%zj1lmO>9R{s!<>8Gm+qmVKT@e9y$0W&CC2H?*m}y|}Mj-@aJ7M}g(h^TVIc zd`_`Q-B1G9NO3VX(cjS_p`_`8&$wY|&27@G``0Wv@aIv7}QT01Py zWFiCO=rHV&_X+DQ>ag5fz$0sG#ipJNJSqmb-kxMSN3KbaWxW+J4WhCgB7c7erd7e- zNGI0X;tIUR&PO>jI9CO(Wpq;t+})I+sEU}@=c$}9e3~+wg}|zqm#a{?G{syMMm{S7 zRT&*lCNmCq+XsWI*rO4oYyw}1;84#rnZUFuoml;S%lF^TAU*a8o|id>wj~M!w{H&u zRT=&5`xf|uLp?~@1iln9KnBPF86X2>fDDiUGC&5%02v?yWPl9Zg#m$6=cYs#ekWG{ zK3HXExho-e$0uwnnY#eOO&N-&4B5X$RKcN`m#a{?^oYX9XGNeYqu--_u#QZV35se; zy=sAfG_j|ObDzVsVOieBhjUZP=;kVLkNM0vNnvr)687!U47Lb^UT|wwyv@VrJ zg+kLK3L}5FNuYAWDl~91nQ@5wg^H7ehLe^E4C+D3Ch&y_4xJz{ZAvE=`?kuYK0vXNbTn!yaKokB3$BLbs(kg^GUDP({QkO4A42FL&zs4}3?`gc3K zTbL>kl)vc564;yUf(S-8w?Cgv$0IjRFs;hJOj~{vfhiU4e)-VUh16IVoBWrupd!&#;z^l6B1-1>eLSSz9ys zi44{k>l2~f8b)vJaUUGmcwrX80SV_*E{^p{J062gHCrpj??M86X2>;K4H>sGxkilHFo-72An*ru|z>IV&OEGq3l8 zf|}fvp=e6^)_I1nf49~|H3NZBF)vr4aOn|+kvlSv@VrJg+kLK3M0Qe1S&VILUWJ$7U@vP02v?yWPl7z6F(Puwk|lF zEfNEf0Wv@a$N(8217u(r0|Mmw&POtVX;V6}xDQrkQlNFIEGiV59#I%Q^nEZv5iUUr zO;#QTn$n5IzO6DT(7IF>6$(v{D2%d+O}~v1sNAp$4fk)s0)-5a0Wv@a$N(8217v^< zd=DAm@5|0M>4&pLVjwc`y<|X8b$#a}nZUFv*iY%iI?q0sSVI1e58lsdA~?7yLs1nq z?U?u9T0Vl%#k^dF!lfz3hQi2aMW8C9!^vdEA-*A2agxw*QWXOi7}SH5P2dX=96CW@ zT9qG~wiD|-efz=^N}TR8Go{$WPZ^4;yf*ESzMU;mLFiq=C-_)m{i|@wVHF9zec#q= zOF;zDiseH|Ap>N943L2b$iVvIe}6KUfvM;)Y`j2@lJ%v26nqnRWNpphG#~?yi2<&+ zCz;KWYZBL6XNuO%B}em)hDKgUGODI|6fkf{UK1U8&mA^hCITxIgDIZvQP`O4uD26w z?I=aW@=jIQ_$g-w=cbg=%~jy;rVK?>hU|kOs^Cz}%T*{`dPHI5vm#KH(bvU#hSeSS zZ_QKSUJp_>fiFaG=mddjQ#!Hw`}Q;TEl7`jg6Cz9p>2u6!0p?EKvhP+M}3P#PbT;d z;Cm(b+UZg9W)$!>8fTQ?n~~q446W_Xb@Bf8&eUxj=gsqzKOK2ZbR;{pwvPBTAp>Nf z%D{`NmdX<{@cM*UgdziEfDDiUGC&5%02v?yWZ)4ou>OYP5mj_=OObn<851J|WPl8i z0WwfvKnmj=J~;z=azp}>0Wv@a$N(8217v^Y@TYR)!|ug?~Vfye+EAOmE8 z43GgbKnBPF86X2>;Gr{c6~7(wS@;05mGfj?YMR5>WZH9>=p@T%*AZC3KEt}u0@h9; z_&NvpUgGoD3)=d=FpPxE;B+eqC1hCUo|T`6?FCQSBrJGlSiVUuK;k@23#8a_-l*e7HT}^&Dg~u{R~yH&QG?)|Oj7FTd@E1v_P& zbr^JM7GM%GT|7FDOB&PCUlGe~8z}dRyf<%(GUG`1N-q36B_lifWaZ~!qe<&$-eGFI zUCQ(`bkMMd6$9+o>6 zISFHavxjO9FI(<>67VzZwL?a7??<$vG3UkQb>GLl_V#h8rz54mzU@=*y zm(w?2KQTUnOC0#w)&dxri(f6GAdeI_BN>TdAY{6RMU?lCQ%@Knu?V`KIWa7?M+8OK zSj)a0GES%W%&#Pu`sVkaY?U8OFRYRE`RCKMRrus9=zfXb|Iswfll<GCZXsg!f4#frvt{7uYECO@xB~C8Y&wq4kh564{ZP2(@3QEJ^U$5CPJ zd!>K*=Vq13SUq0E_DkK6*QbzOTH%XRi;FK=-K4tAwgAL`-8^2E&1$;-_PZZ1|26pX G$^QYw1kT6+ literal 0 HcmV?d00001 From 8a731a9fc914cd11d1e5a8d49f4e9ea1b45a4c62 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 5 Apr 2023 21:23:18 +0100 Subject: [PATCH 5/5] [LSD] SeqShiftUnit_Demo added (pratica06 - part2) --- .../SeqShiftUnit_Demo/FreqDivider.bsf | 50 + .../SeqShiftUnit_Demo/FreqDivider.vhd | 33 + .../SeqShiftUnit_Demo/SeqShiftUnit.bsf | 93 ++ .../SeqShiftUnit_Demo/SeqShiftUnit.vhd | 52 ++ .../SeqShiftUnit_Demo/SeqShiftUnit.vwf | 872 ++++++++++++++++++ .../SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf | 350 +++++++ .../output_files/SeqShiftUnit_Demo.sof | Bin 0 -> 3541753 bytes 7 files changed, 1450 insertions(+) create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/output_files/SeqShiftUnit_Demo.sof diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf new file mode 100644 index 0000000..b3344f5 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf @@ -0,0 +1,50 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 160 96) + (text "FreqDivider" (rect 5 0 52 12)(font "Arial" )) + (text "inst" (rect 8 64 20 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clkIn" (rect 0 0 17 12)(font "Arial" )) + (text "clkIn" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 144 32) + (output) + (text "clkOut" (rect 0 0 24 12)(font "Arial" )) + (text "clkOut" (rect 99 27 123 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 1)) + ) + (parameter + "divFactor" + "10" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 128 64)(line_width 1)) + ) + (annotation_block (parameter)(rect 160 -64 260 16)) +) diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd new file mode 100644 index 0000000..e79de6d --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd @@ -0,0 +1,33 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity FreqDivider is + generic(divFactor : positive := 10); + port + ( + clkIn : in std_logic; + clkOut : out std_logic + ); +end FreqDivider; + +architecture Behavioral of FreqDivider is + subtype TCounter is natural range 0 to divFactor - 1; + signal s_divCounter : TCounter := 0; +begin + assert(divFactor >= 2); + process(clkIn) + begin + if (rising_edge(clkIn)) then + if (s_divCounter >= (divFactor - 1)) then + clkOut <= '0'; + s_divCounter <= 0; + else + if (s_divCounter = (divFactor / 2 - 1)) then + clkOut <= '1'; + end if; + s_divCounter <= s_divCounter + 1; + end if; + end if; + end process; +end Behavioral; diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf new file mode 100644 index 0000000..a6512c6 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf @@ -0,0 +1,93 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 208 192) + (text "SeqShiftUnit" (rect 5 0 53 12)(font "Arial" )) + (text "inst" (rect 8 160 20 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 10 12)(font "Arial" )) + (text "clk" (rect 21 27 31 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "dataIn[7..0]" (rect 0 0 43 12)(font "Arial" )) + (text "dataIn[7..0]" (rect 21 43 64 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "siLeft" (rect 0 0 22 12)(font "Arial" )) + (text "siLeft" (rect 21 59 43 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "siRight" (rect 0 0 27 12)(font "Arial" )) + (text "siRight" (rect 21 75 48 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "loadEn" (rect 0 0 27 12)(font "Arial" )) + (text "loadEn" (rect 21 91 48 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "rotate" (rect 0 0 22 12)(font "Arial" )) + (text "rotate" (rect 21 107 43 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "dirLeft" (rect 0 0 25 12)(font "Arial" )) + (text "dirLeft" (rect 21 123 46 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "shArith" (rect 0 0 29 12)(font "Arial" )) + (text "shArith" (rect 21 139 50 151)(font "Arial" )) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 192 32) + (output) + (text "dataOut[7..0]" (rect 0 0 50 12)(font "Arial" )) + (text "dataOut[7..0]" (rect 121 27 171 39)(font "Arial" )) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 160)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd new file mode 100644 index 0000000..457132f --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd @@ -0,0 +1,52 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity SeqShiftUnit is + port + ( + clk : in std_logic; + dataIn : in std_logic_vector(7 downto 0); + siLeft : in std_logic; + siRight : in std_logic; + loadEn : in std_logic; + rotate : in std_logic; + dirLeft : in std_logic; + shArith : in std_logic; + dataOut : out std_logic_vector(7 downto 0) + ); +end SeqShiftUnit; + +architecture Behavioral of SeqShiftUnit is + signal s_shiftReg : std_logic_vector(7 downto 0); +begin + process (clk) + begin + if (falling_edge(clk)) then + if (loadEn = '1') then + s_shiftReg <= dataIn; + + elsif (rotate = '1') then + if (dirLeft = '1') then + s_shiftReg <= s_shiftReg(6 downto 0) & s_shiftReg(7); + else + s_shiftReg <= s_shiftReg(0) & s_shiftReg(7 downto 1); + end if; + + elsif (shArith = '1') then + if (dirLeft = '1') then + s_shiftReg <= s_shiftReg(6 downto 0) & '0'; + else + s_shiftReg <= s_shiftReg(7) & s_shiftReg(7 downto 1); + end if; + + else + if (dirLeft = '1') then + s_shiftReg <= s_shiftReg(6 downto 0) & siLeft; + else + s_shiftReg <= siRight & s_shiftReg(7 downto 1); + end if; + end if; + end if; + end process; + dataOut <= s_ShiftReg; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf new file mode 100644 index 0000000..5a6f4b5 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf @@ -0,0 +1,872 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off SeqShiftUnit_Demo -c SeqShiftUnit_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/SeqShiftUnit.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off SeqShiftUnit_Demo -c SeqShiftUnit_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/SeqShiftUnit.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/" SeqShiftUnit_Demo -c SeqShiftUnit_Demo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/" SeqShiftUnit_Demo -c SeqShiftUnit_Demo +onerror {exit -code 1} +vlib work +vcom -work work SeqShiftUnit_Demo.vho +vcom -work work SeqShiftUnit.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.SeqShiftUnit_vhd_vec_tst +vcd file -direction SeqShiftUnit_Demo.msim.vcd +vcd add -internal SeqShiftUnit_vhd_vec_tst/* +vcd add -internal SeqShiftUnit_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +onerror {exit -code 1} +vlib work +vcom -work work SeqShiftUnit_Demo.vho +vcom -work work SeqShiftUnit.vwf.vht +vsim -novopt -c -t 1ps -sdfmax SeqShiftUnit_vhd_vec_tst/i1=SeqShiftUnit_Demo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.SeqShiftUnit_vhd_vec_tst +vcd file -direction SeqShiftUnit_Demo.msim.vcd +vcd add -internal SeqShiftUnit_vhd_vec_tst/* +vcd add -internal SeqShiftUnit_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dirLeft") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("loadEn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("rotate") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("shArith") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("siLeft") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("siRight") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("dataOut[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 100; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("dataIn[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dirLeft") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 25; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + } + } +} + +TRANSITION_LIST("loadEn") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("rotate") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 12; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + } + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("shArith") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 6; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + } + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("siLeft") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + } +} + +TRANSITION_LIST("siRight") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 135.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 195.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + } +} + +TRANSITION_LIST("dataOut[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; + CHILDREN = 2, 3, 4, 5, 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "loadEn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dirLeft"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rotate"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "shArith"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "siLeft"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "siRight"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 0; + CHILDREN = 17, 18, 19, 20, 21, 22, 23, 24; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 1; + PARENT = 16; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf new file mode 100644 index 0000000..e202bfb --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf @@ -0,0 +1,350 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 96 208 264 224) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "CLOCK_50" (rect 5 0 63 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 40 224 96 240)) +) +(pin + (input) + (rect 96 224 264 240) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[7..0]" (rect 5 0 48 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 240 96 256)) +) +(pin + (input) + (rect 96 304 264 320) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[14]" (rect 5 0 43 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 320 96 336)) +) +(pin + (input) + (rect 96 256 264 272) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[15]" (rect 5 0 43 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 272 96 288)) +) +(pin + (input) + (rect 96 240 264 256) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[16]" (rect 5 0 42 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 256 96 272)) +) +(pin + (input) + (rect 96 272 264 288) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[17]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 24 288 96 304)) +) +(pin + (input) + (rect 96 288 264 304) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[11]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 304 96 320)) +) +(pin + (input) + (rect 96 320 264 336) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[10]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 24 336 96 352)) +) +(pin + (input) + (rect 96 336 264 352) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[13..12]" (rect 5 0 62 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 352 96 368)) +) +(pin + (input) + (rect 96 352 264 368) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[9..8]" (rect 5 0 49 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 48 400 112 416)) +) +(pin + (output) + (rect 624 208 800 224) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "LEDR[7..0]" (rect 90 0 144 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 800 224 864 240)) +) +(symbol + (rect 272 184 416 264) + (text "FreqDivider" (rect 5 0 64 11)(font "Arial" )) + (text "inst" (rect 8 64 26 75)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clkIn" (rect 0 0 24 11)(font "Arial" )) + (text "clkIn" (rect 21 27 45 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 144 32) + (output) + (text "clkOut" (rect 0 0 33 11)(font "Arial" )) + (text "clkOut" (rect 96 27 129 38)(font "Arial" )) + (line (pt 144 32)(pt 128 32)) + ) + (parameter + "divFactor" + "12500000" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 128 64)) + ) + (annotation_block (parameter)(rect 416 152 610 182)) +) +(symbol + (rect 424 184 616 360) + (text "SeqShiftUnit" (rect 5 0 67 11)(font "Arial" )) + (text "inst1" (rect 8 160 32 171)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "dataIn[7..0]" (rect 0 0 55 11)(font "Arial" )) + (text "dataIn[7..0]" (rect 21 43 76 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "siLeft" (rect 0 0 28 11)(font "Arial" )) + (text "siLeft" (rect 21 59 49 70)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "siRight" (rect 0 0 35 11)(font "Arial" )) + (text "siRight" (rect 21 75 56 86)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "loadEn" (rect 0 0 36 11)(font "Arial" )) + (text "loadEn" (rect 21 91 57 102)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "rotate" (rect 0 0 29 11)(font "Arial" )) + (text "rotate" (rect 21 107 50 118)(font "Arial" )) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "dirLeft" (rect 0 0 31 11)(font "Arial" )) + (text "dirLeft" (rect 21 123 52 134)(font "Arial" )) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 0 144) + (input) + (text "shArith" (rect 0 0 35 11)(font "Arial" )) + (text "shArith" (rect 21 139 56 150)(font "Arial" )) + (line (pt 0 144)(pt 16 144)) + ) + (port + (pt 192 32) + (output) + (text "dataOut[7..0]" (rect 0 0 63 11)(font "Arial" )) + (text "dataOut[7..0]" (rect 118 27 181 38)(font "Arial" )) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 160)) + ) +) +(connector + (pt 424 216) + (pt 416 216) +) +(connector + (pt 272 216) + (pt 264 216) +) +(connector + (pt 424 232) + (pt 264 232) + (bus) +) +(connector + (pt 424 248) + (pt 264 248) +) +(connector + (pt 424 264) + (pt 264 264) +) +(connector + (pt 424 280) + (pt 264 280) +) +(connector + (pt 424 296) + (pt 264 296) +) +(connector + (pt 424 312) + (pt 264 312) +) +(connector + (pt 424 328) + (pt 264 328) +) +(connector + (pt 616 216) + (pt 624 216) + (bus) +) diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/output_files/SeqShiftUnit_Demo.sof b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/output_files/SeqShiftUnit_Demo.sof new file mode 100644 index 0000000000000000000000000000000000000000..b2b86488f2dc12bd2b85a00c27e696038007b771 GIT binary patch literal 3541753 zcmeFa!H;ama^}~M6yL}MF`zvJaTiR z`Qu;y)i+=NBWFMs=YfBSdkKm6OT|MKVZ2fz2F{O<4mj{X08 zU)s|@{ZHkeeEsXMtM>b9h7`1L>g z>#u+Pv;XL;zxw5G|Er&Wef3Ab`pcjH?3=&&<-hvs&wu^1umAcNzx)qA6#1j&1kde= zeDYU6|NWo+{Of=D)vtd2vp@XuU;Lxr`sx?I_=7+HgRlPK@BiZW|LhO{{LlZvKlsC6 z{NZo?&N2FTZiN34$t$suaV$Uo>c9T_&%gRdzqQfvx8$2Y`}JS^qu<&W`m105;lo(q_2w+>~*o${_U%O@BjOKv<3h7|M-*Z zEA*Q-CysbeufOW?I@)u&`b`_lm3>)Ut{vKAsT0EdZgJTem+QKc_biu9lj~f+aUJ^` zHlePl?BlB&XRa%2*AZB6cS(!KIl3mHZ_9OE($Ux(j@A66T=#a}f?i#{{*C|Sz3*TD z#()2oYjx@IRr?~x2S-J#lx98}a6^?NDEQq}*;KQta^v!-$4-!;UJMR4?Q^0F=D@Lq zRr8y@*)618OG%#NwdZ;qU2a~M`h2NrE6UEjThvCqV|9cy1=efQMftVt+F5O+o~dlt zf$uVI*e#IjeoOhP)*x@aigofFr4-|S?>Dc0vd)P+N_k!Ijk&rOOm+1xu+D(NlCN&f zgJZ$O*4bdwV9$PR!My6kBV^M)C%V|^wBaK3hE8@>vA8hL`rC6KLB z+S97>b-{LKcln8EVlDXSRIh!V4J@7Z?8}SrXjtoc_i0k+!0n51UGUq=WPK$qGq_6G z>@1C`Kq_!kf%lHzL)|=+&r^X^pre3x>}gH~Qh`(;6-WhAfe{5>k2p>Cc{!Os>8k>- z>a%=uR|P)2YhcEu0@D;DQZuooC*P735!`<*fk+zhn$e zs985xRB#_?AGaoqLvV1pLW5%I!qiZld{qRKn^v(9WGD=~2`!xMqS>1g?pL;^>n6C1 z!^Qm@g_n2fVld{_px-;Y6(-Isd@zf6jCX2pVN68kj0;xbM@Qf4?dTsA~R2N*k z805-*u%?oN)s-?dD3%c@PLF*bOlZOtNU?0%<3tyOTsd!>N(xq2%Fv)#MxZ!tE^O}K zj_8&?sX!`_3Zw$5Kq~N96i^EFS3A3Z`qu5~^KmX&$d)AUDaT$NFRlbrxY)xO;ybg% zAAT{T66&Spd>h|d=>?aaPQqEYV8zmd*e^p;oWi<%19kMEpO{Bf@S&A<4I;y|-qs{a z7Qag@SB*KLm^Y!EXhOeewY1?n)AwaR+-jkI2eMpap&$1uG#fn{e|)d;k|?*NzhjR-v`2N}WE&Pg$VED^OR}$He`XE3rf7{H-D+XOWr4M~jp0p;vf93kblP*R z1s~H@g6PSiS68fYL|M%v7j_G1MTuhY%y^kdHUz}q5@isOzwG!)#sus_~ca2jY~MHnF^;jsH_`5Gqmy69^;Br)NMkB+c?#&|m6R2w0;xbM@N^Xr zT8oz}nrZ0@lbcqt z zb)J1Nv4Z>^A3o1%5C*qo3{9w6=Y0Ow>Jd_hb-4y5qzh9+aq?9WOffq_hQhFZAXYi3 zY#cOUwY+rJ7YPOB7j|9RW)ttJt&h3#WZqynixDv%01LIsvL|I4XdCYGYpxOsz|h2^b& z7JZj@W^di(>5vLMB?a<+dy=a;^PZIVTjvrjn@f)6flkeQA<3+s$}D1dXTBx|>MjF5 zLoR|B&2Wi}J%Ww7;eH3XmKmjFjCZPz8$WSn1a}d$Tc{B3mW-i`Df?h#6^5`b*Pw)q zKymU_5lk`rafxOOqfH9l`&oOs^;{X!4RTZ8c2Eg3@> zPi)>EgpOS~6w3w8Tgo{Z_#MC(1%B=HEWDXT{F;d~3;br}2gKCg?%Y?dZ|^MKjlgo_ z`N^Nod`%1#JGHlg{OOVkqynixDv%1K0;#~eDUj>ichk%FF8=A$oP8?mTS1QY&PR2@ zwTnTn@qMty&T_XH9Q>Wi+y}e566D!jul*Tx+U)k(ak0mf+P`HoZgkjnEwsG4*i+>= zo>}+(xq~#>&4@#-r*N>A%=0GHteYz;xUanx4puJZpmxbY6UHGpxLlz@v2Vs?TIg<-us%0Xr0poL;a!J(Zbb|DrB!ypN+T?}%K&)ZXf{`Rfx z){D@OTQY_&rp#Ni3PV_zYfwT)pg7f;c9ZKMm~NigPfv3wc-?K@5-AMrB(V#ziBupJ zNCi@XR3H^d1yX@jAQeajQh`(;6-Wi{qJR)IxG6EjKgcz{4|bpZTPk*Ue2&{VW)wW! zk}-7g#P-1&awuBdfBzO1VQ@89Eb;Y1?G?h4L6SA5`E`&h=WSC(!RksG8WhV26eoXn z2qrhJV!5DsOF4;DAQeajQh`(;6-WghrvmwRpw4#P4rj}hiBf@7AQeaj9*F`%o$Z~E z>Vj(*gIu`})>Klkx>AM)#WDiL>9OyF2~D^HDV9xpoakbZE9Y%fNx|w$85$JJ2o$Hy zh2>%t&I*H@RxjmvUkr1d>HD%DqVAV#EOg_x-)M6&{rFzv zB~ftlufh@@fBF7{&P&xTSYyH)me-%Q=BD5ovojkEg<(c-3h`#j)$bVBba;T*RLS2H z`Nkglz73z*&P5P0<=Zy4Avl%K~d}8^fCx zWwm`7>9prs3qGc+1ksa2udZ0*h_aqnyWX2>He3xk)*xeQOp}@?dU9y?wkB!R5fR_( z{Bjq)7spA1<)my|GTk1Y@Q8cKEG+lTS@d1rnZ0$BpU8om{{uoFF!$Y4=fpc|cf5G* zHAQvlihVGTK`vRy)`g35?8gcwUZ>$|2L~6tEq-hk#kyk;4F&fue%f5>xdm&N6bD<~ z936KB7pw-~FmxNR)lINArC_y3gB>ZswB810!*vBYrUI!zDv%1K0;xbM5Gf!S;^oR_ zAr*0K&JS{(Y5&$r+qAG*A)8UC-7OhI7xB`$5bLkjx~%3PIE8h&1|?(!ij%L3V2arZ zG8Bdxy(z?gWotS-fH1TxtuDBBG02tkwyC6Gb)^gqie&_flRrBIlbcqtT+qCwoJ1;+ z3Zw$5Kq_!`mH#gCY_s5SwoI8Q6-WhAfm9$BNCi@XX$lC;?VXS6f@>FpT)7X{R8p|I zQicY_G6KcvvG0QkO}GLnmQ8z{=wgs7=WSC-!RksG8WhV26sOIFtv`(sOm14mlKZ!) zkVpkmfm9$BNCi@XR3H^d1)iY-`SY@~UG&4*GG(Gv;8`jlblu+hs4loR!G6jh*Ln8A z#0v6veE2-4K^WYUF*KoOo%8uyt4By3*5w+MkS&sX!|52o+e~{4b|+nOKTW_6RoShWj1lT4t1zG2W>#N! zMKHze%gwsrb;tc%ixk4!Nn#gbfiMh`;M&C?*Z91Bk@FVO*LlM8SYxa`C=TwtJqV_l z{etE#g`N!j4&aLdzjk^S-pnF?&BU1nelzj|Vrp-9?km@~cb4u(V7c-9bV&s+S%FC}Prc-xv$j+qQeau(N9H`A3Y<}aT;HBT>~ac{h-1|0=B-#kn--Q=r<`Eks&UVmwGpi%iU;)3|4@6IeYyBxd&ZkbPzDRN(9ir%++mPwnc`{@y`7J^GJ=~RG~QP+qY&y&-4 zV#JWgb-4vAAzfIg;^eC$m}2&2(ignA*8BFcv{1|_IJA?*F2n+17$m{9i$SjOd7GcV zEr`C(6Q0K!W9>n4aOdqoFvaZmpSSP}Lpw?ALTn-xNCi@XR3H^d1yX@jAQeajQh`(; z6-WhAfx9Rm1PyLV4Dk2fJVwT>>UIS5WJ zS7=ZyBT$@bHJc=YX>(@Xep4(Lv=1iK)2_6-;M&C?SI*m}l7iKhGBhZb5hzZNecp~V znm(yODv%1K0;xbMkP2L;0{M5K&URf6XUmj{Qh`(;6-Wghi2_2M?uLtE*=(0^qKiSU z+y`qaDOg=8LxW-&f#S5euw0D7Sz&O~Dwf>8J^nDkl&Eru9r%jn&m9WdtUmzYc|5bQ z_MkXC_IcZDGVQ5ADv%1K0;xbM@Qf8udiPg5@7ZE~^U0B}OrJNnHvf#STz?B-?(4cu zA@}Nd(fDqGm?pk6>!t<4eO({gQ{~u8%lS6GwbBbN-4<@Sv}v!dr2R*9E!;1dI(pDg z%p)rJ(2`>fBEu?R;b1i=2R(Fp+i>|^US|-##AR$kuOk|xelg5-ru~E;I@eg}#%;gR z=3@Hsy~azT;N)M0B|QG}{RdZqHLS}uC?Q?E{A)_@zK;EwHRzh6HGXufg$2LvBnW)J+F4XH`Q#o8gi^b#?+W5HBa>9(ClqZ z(x@XMzSsHXE_yGHlLpI4*|uc5Jv`wN_mWvy?wPabySy`d>n1;u12_K%gg#*IyQj{H zchv59@!D&O>e3baU><{9vXHF{7vNN!_^KBE_hq~*er^5#~vCA?p^$}xzuwD z)-EXywz@ew?g}ng4ZdOMHejopU~NjlYL5mxQi5r{4a|n?3UW*ZQh`(;6-WhAfm9$; zKrqD1mCZsb;@F%Yv9cB$OsfC zUlqX=vlC<}3^RIDi2cgeba((^XjfWYaP4A{E9Y%fNx|w$85$JJ2oxuOb_gantzx;L zc}qEoR3H^d1yX@j;OZ*>UF6wj!QpI~GEpj!3Zw$5Kq`<5qyp0v5SZILAJqldE(W=B zAFQdQV0EPo4T@z1iqm7?2NRlb1yU@V_BheSAXm=Yrjmlyl`=FamJujUn+sci8Y7t8 zw2CG7Z&4wU3Zw$5Kq`<5qynixDv%01Lk05ZWoNtShqGnMM5(~DR6yvuz4K9BaBYJ9 zltHfZ?1PCFP8FW}Px`ixn!Q z-W7a;f0o$(RXA~4rJ(!I+jeg?iI6R9A7dgFczOyf@1{?$vFzw6D^T9Cx#i7&B-y-fv2RvJKS&2)3!`Zqtm!&-jniv>s+?; zy!ktcqf;|qND?Kz@A-0Hf>!*@*F-DtVk-kaLoR|B&2Wi}J%Ww7;eH3XmKmjFjCZPz z8$WSn1a}d$Tc{B3mW-i`Df?h#6^5`b*Pw)qKymU_5lk`raQJ#KOm;|cIUoweS2r=ZUmMa&rkkz=4)c0*r~k@)TUY%W?{mh~x3EZ*B9<=9$nS&BVJ+)dkls2D!%f!5Woyi^0L&@i}fQ znNjd?OUBT}ego6xQiy6DT~>1toLsKZpjbwrIMr%4Nd(j8%)0%iST158>{v-dpjxR| z#m8p&+60qv?fReKx$9auySms@<=EG7jlIvjl_t9xaj5ka4r*EsT2M32nYX5oka^Xq zaB#CO*PwWHVOlFrzUG1{W+%u{7}k1}gUZH16GkpLw3Eax#GV{{Zv3L~a$+^FW^os; z=`7gi#vc+>dwa;nGIuJF3Zw$5z<>hFH(LXqXL6B=d2|~0%yq)DM4eW9k9cNpz1(R} z1)h`wdA~i$<(zp>dMfu@L1~0y&J}wE8yrJgvNtlwwM=m(W8Fn@V;hwb+yq%-b~6g$ zep)Ooh#9BsgB2r&Jg&0L9|s4p05HH4pxJ5FapKNowou487S98S@U$5(LvI(fIPmEv*J|XD(7uZf=8@? z@=qfD)y|D2%(Y9m&CkuF%XP!W+;>TYEH{=vFRouG?`Uow^! zzO~W|4mVnexHc{=Qf$)xBf93{7fg~K^b_;gRC9yt(2`>fB7=J|6iW}C-qs`v&yC7% z{JY)j48oVVj7{iuL}S!1hPlr4ec2CD_scaFx^dfYw7Hmme6R76C^-37VF{1FeE-3f zU=8bX4N6ECuRm?gO(8yJXEqoL!;Ib(;?0y}niS5i>39xaQzd^-?h8$~wp%0k*?x}O)9kn}Ny!M)+x^%@pn8zTO zEM)7#MLG6k1rx8+aJ7Sj3*Ht#Hj852v4@6&dlx^wwf0gXc1a3nH(hd~iS{oy|(+}Qo9wgwU0#mc_#(n5uBKP{FX;-zyT)L*UjNU;k;SeI*1 zLPnrC`Kkz}n4KU)VVKdILhM(zro#gWL%Y)If@>FpT;9C(>&SUq5dG$bi#6_E9&=Ef z{MjLxV)hG~w-lO41yX@jAQeajuCDUmMV@UI9L|<06Qu&rPl08f{rnowjvuuGId32J z<$KP>3(dE8KB^0@T?}&NK3G#p!RksG8WhV26sO0&4<3Zz&z?Qx=uL9U#)O(g}Z zD`jX&sX!`lUIof| z(`B|)AQeajo}U7&tuG$=^RlyDqQluTWujEzSt=lOJ-8{+6^Q+mL9X-cgNYSX_h@#N znGpxSWDHHHS?7HI)(RC;?+PXza1DAi^#)Vp=;*5=gvaaz84AN4_rZ)@2yZ8eU5Ew3 zFi3)H6Cav&kn242_N5i%U!i4*B=qB!jG>8FW}Px`i`65f4(oCaN=O&&Uxg#Y$L#l? zx9#4P^zrptU$%H9Qh`(;6-Wghp#saB|K(IJ6HC!)+`LiG!tz!>i@wV{v$t;YbVvoB zk^*_ZJ;~Lac~5#0_uEr9adAJ#nE67Ilgv?vq&VkJc53EpVxY*=^k(x`NJcYU;$n|r zV{W+LL9S&+DH(bB2v6MDMrFiw5wlyU5bmeN(!-Q}Fp>&GSeI*1LPnrC`Kkz}n4KU) zVc;IMZy!q!f_RLMhCvcsyBOlq-{{R-`N=BGi})4QEd~ew3c+p=hM!zr*HbuH z)AGEF{e>H7zsqV4f|JV?8WhV26em}egJ5#gDwYeHx0G`-@H>Dn3jEIIS$H#x_%#z} z7WmD`4~VI~-MO#jCU)oelLsz$^^@~LC{tLCw9F!gcjjwipzbo@b4r(U=R0NX$(0JE z0;xbMkP4&%m!LqdZ%=U*$|*=9j;FG|6&j?Oc(*9oj1 z9}+Wrd&s^rcPemp1@eA-63J)Ylb*``R!|zD;@zg|f@>3ORt$12Q(WQe?0m$P5!?i! zF}oRsaJOU(O^8|d8$m9YLR9PEpoL;a!NKJU4T_};<5Zk#HJc=YX>(@Xe^M+284APB zAe`-?n1kTdP7=Ei3xr{i1lKMGxyI-1IX-{uyllIT;xJ|2LM#lfl%YYfj6iX6=j}l- zxoH*4{pT$#!q83ztAQeajQh`(;6-WhAfm9$BNClp*0zzwp zn-W7R404U{gEe-RhYE6ce2&|X7A{b@C1dE~iS2_m zb+(IlI9sMnlnSH*sX!|5NE8t2Z0~$j7hJm-4m!^PRT0vP)Dlnjc4EUr= zDsZ6+D0TU(o!viu>$dRuIF~GByCg@$jiud-tJ`PZ{-eBwBmVN8nc@$>m{E!K+sD$v zw^n+=q1(bOmli2DY5x&j^Y9C%jvn+A^N0#QwB%TW$Z+HG++yqH@AS4NQF#0=uQLc= z;xaa&*Ab0TzZm8^)AwaRMBOjfSm?%WztQGm`tiNSOQPW9Uxg(+{__0?otLUxu*QTp zEU!Op%}v2GW@k1S3d4-v6ynX4tKTuM>F@xrsgl1Z@{K+8eH%Wr#~XWG@h2?!!yeUz zlWkc1AQ$PtF3G-*{h2lBnxZv+bgP98mj%||HikDX%4+*E(rM4N7JN)s38E*5UR|-q z5oJBEcD*;%Y`7Y7tU<=qm?kw(^yJX&ZB5dsBO<=n`QF@x; z(5|$);M&C?SI*m}l7iKhGBhZb5hzan><~Lf32Wnst!tJoEOY6%^}WMzM!qGKMBz znRUv%EheFm+LbaiD3&hTzY0f)chf4C`_J2UZ#9XK&8-7NA{9slQh`+9kt(pf`Cm@; zGO-k$#?2e#EG%#Jv*^3LGkfbMPlr_CDJhWm+ml?)nfIh8albuv6BqY$jF~SaImsM# zNQ!grWT$4nCI*T;O>Z`Dg=93tB`)>|Hs*%=9pqYOl#-E`kMP8eZB#}~7cskq3gLcQ zEImxw2P3I4gmt+FC1eDOldpmJ^Pcon?ze){2o>)(RTo^FU~goQYnkE-U)@DQ(d&X7UEYId#W7!8rBUxJ5hR3H^d1yX@jAQeaj9=`(lcc9L84G(9_l!;P- zR3H^d1s;h4LY;$~5<@Kwa^?PQQ%%9@N*Njy%Lo)Ff4506xoH&(L59Mx?iVTtm5qZQ z1c!E#*o9ai41*-Nb}`76^R}s^V0EPo4T@z1ic_6wnmuc_OZ0^t(9JI=(cdnrA3NO+J8jXJp6*GqX+%OJfeaREjiX8GTgX4x7d35 zJH4$*6du3J>kPt|xQtEcbwp#-FNV3!^nKY6QTNL=7P@iUZ?w6XetfU-k|;R&S78Z{ zzkL5e=cVcvtTEvY%j-{Db5rn)*_jQ7!Z4#Zg?KaNcAu%j1H7h6{+`G;_R#lj_{<(} z>~Y1Pu;34SR2NRRVex}pqyxJo`#Sb#)}U*O*7(t_7B*ZKSbN(T-n1yI?aN4~J=a?B zFio1^2d z;DXiQ8-{KJwz>({rWCC9Xs{zCnAY2RVU;X?mu47h6oy19a48CuODQDlNCi@XRNz@C zAaofoS2jzGAwdSY&a{7PC2v}&YvyH%B=qE#jG>Eo>0F5QS8H8Xa}b=ux?F=2G6KcP zS4A+z>;xGK!;Ib(V!yIA9Ued!+LcxpT)P#On9fHYCt5_~* z-cn8?6-WhAfm9$BxVp-J7kRc>a5!70Oq2>dKLwU`_Va5zJAS+hNd5ls@%E6FKR*R* z-WrZ$d*`FN;NQg{SMGx~l@zS5l%YYfj6iXE?E7Fs6RtptWz!xfx)|ijdD~P{u)0!) z2E{T0#c6Y4>rZ0@lbcqtEbGd`^YsS-%0Xr0pa;RBog{W4HjxUX0;xbMkP4&%sX!`_ z3Zw$(Rv>>~b~eQiXUlYtMCBf_8JY?_tO7#%!A*&7rR=8+a-C-%Ost@~N3*NUj5zou zV`xInI_LAZR;ZAASMUk`d6%i7aQmtVCO55OA;?e|?zj)u>`fu|D_hgy0feDlX?4N1 zi4V;>$aS81`_c-EbugpY!!H>_6R*rVW!@H(P)O}c85$Hz7wun#BgDID70dnSZM(Oc zM9AjWfgzC!qynixD)2}ZSl;|Er+S%KicaI^4RRKixB6N1UEZ0!b(5zT!OT=cyMPcR(NoI9gW)Z_X^EEM0cNy^MxX96d9BGD2T{zCFdYD5oHaIG)P-R%mc= zQzFu0bAFI3_is0<-98XbxKf4&#WDiLsqS2Dk_e{Fnca4mITXBh2I1@o1gCbA*o9ai z41*-NHo;^Z%49DrV#s;tuGTiP7Xddeo=Tiv6@%2xC`@j7VLB54~eP0J!E5pbCktTEOe6o)#~ zZgL$2)6FwAtzx^c_vpiIgyW?}*-?4Ck!Yvs?7gP3c$tnzCU9Le18G+*Dt0I_U_6ynv z8>qCp&{P-gu7&v3#hxn1zJ_(f@*Y2TXtSGD@L2099MrTN^pNxR))njthFut3%@s>$ zHsF#-1yX@jAQeajQh`+9Q7e#t2kLB>?{KzEnJ5)V1yX@j;E^aG)H%2*G1Sr^SMJ|7 z)fB9*l%YYfj6iYncbf#0n^v(9WGD>lexY(u**NGyaA+rqU5Ew3Fi3)H7lT|mZ<|UA zR#(cS$5>_TiJ6-WhAfm9$BNCi@XrUHu9 zU+wIcFij$ipO141{)YFir2WqeUzmfzT@Ybt;ybg(A0jZL67IK;rG;-zpMt|qC*iDH zuwv;!+J8jX!u^7&qX+%OJfeaREjiX8GED1jO`>G+yTo$Um=lV56UvDu^ov$Y8?G~b zU-rYT7B-*Jv0j9J+^c}0jUV4@yd(;-{#9tRn)Uk+I(4}PYnK;?*PpiLrr;8@a|I2B zVMcEX@ot(F&aUZr4qj6we^2Bad+7T%d}fa~_PF9tSn!8EstYIEu=qhP(t%x)eI5HV zYtS`CYy9X|3mYyAti5dvZ(5Yq_GP5go@*`mn645;PY%7hVvQrpdS2~%Z>rgFHRM=> zjHxkAYM$uHq1oG-q)|sie6RD%UG!cYCk>X9vTez9dw9Yl?j^IZ+%spvXd^{g|^o@V1Df z;<#fE4F&fue%f5>xdm&N6bD<~936KB7pw-~FmxNR)lFS2>W*uF#?^dUZ|gF)QHRw$ z)F{0rQh`fRpj=8JSw||63Zw$hLII)6c)7A!NDT=x$hGV|D4d%l`}NfBObsHqibiI3|+)a=R&N%TI;f!gWwd_i36_w}-6!`6*!Y)^Hr#J0H~r|1Ji(av!Xzq+oTW3=N89 z1d7vR-v<+#a0OB0W zY=))+537KXesEKwTPgb~gIwp?2NNr(?$PWjGb0Xu$rzeYv(EYatraSy-W5zb;2QL3 z>J6sG(a~2$2#?tbG8Be8?t>Y*5Z+D_yATV6VUPsZCO$OlAlL1A`x0U|cK@#-72ice zp2mRHze39rNr?5+Vrk)(8K=zKV)Y1_!@69964FKcSK$cpG5h`JZM!!meSE#vmn~k2 zR3H^d1yX@WsKD~(e>s)Q#8Pw`H*eIlFtogymE3q|_BOHXq)r7cPl3GOp5&U$yeB=0 z`|YWlxVWEV%zPoqN#>|SQk-)qJ2mq)F;L_QdXwXpgN?nNkLrSJ7YM_2DR}yue5;E& zC|~9Mq&k)|BAmdXwYu9eQ%x|#+Fc9rtBXBVj(rX5 zg}TSQl_t9xaj5ka4r*EsT2M32*}pY?gv_f>g@c=Qxdz3n3)5P0@--JsF*`wq!m!q( z98@+AnlN&~p`9dlA@=0pbK@6#yo(eoE1@eA-lFK>sp7d1iw}R3L74J4x7hIcQ zZ)A{bnc@mx-9>R@8-n6(fc`uFEZ03F*Q@6(?U6!4$I- zWGD=G+Xo|5?9oXQyATV6pB?Ljcb?DwCy z@C!pbN$f&wA{9slQh`(;6-WhAf%_})?fof|Ar(jkQh`(;6-WghodQC|gPRgV%?)ym z?}OcE|CWl~9iQX2k{JaLw`2@mOxeFBt1yIhxdtU<1d5ZdieQS_FK8cZpwj9>Q(d&X z7UEYId#W7!8rBUxJ5hR3H^d1yX@jAQeaj9=`(lcc9L84G(9_l!;P-R3H^d1s;h4LY;$~5<@Kwa^?PQ zQ%%9@N*Njy%Lo)Ff4506xoH&(L59Mx?iVTtm5qZQ1c!E#*o9ai41*-Nb}`76^R}s^ zV0EPo4T@z1ic_6wnmuc_OZ0^t(9JI=(cdn zrA3NO+J8jXJp6*GqX+%OJfeaREjiX8GTgX4x7d35JH4$*6du3J>kPt|xQtEcbwp#- zFNV3!^nKY6QTNL=7P@iUZ?w6XetfU-k|;R&S78Z{zkL5e=cVcvtTEvY%j-{Db5rn) z*_jQ7!Z4#Zg?KaNcAu%j1H7h6{+`G;_R#lj_{<(}>~Y1Pu;34SR2NRRVex}pqyxJo z`#Sb#)}U*O*7(t_7B*ZKSbN(T-n1yI?aN4~J=a?BFio1^2d;DXiQ8-{KJwz>({rWCC9Xs{zC znAY2RVU;X?mu47h6oy19a48CuODQDlNCi@XRNz@CAaofoS2jzGAwdSY&a{7PC2v}& zYvyH%B=qE#jG>Eo>0F5QS8H8Xa}b=ux?F=2G6KcPS4A+z>;xGK!;Ib(V!yIA9Ued! z+LcxpT)P#On9fHYCt5_~*-cn8?6-WhAfm9$BxVp-J7kRc> za5!70Oq2>dKLwU`_Va5zJAS+hNd5ls@%E6FKR*R*-WrZ$d*`FN;NQg{SMGx~l@zS5 zl%YYfj6iXE?E7Fs6RtptWz!xfx)|ijdD~P{u)0!)2E{T0#c6Y4>rZ0@lbcqtEbGd` z^YsS-%0Xr0pa;RBog{W4HjxUX0;xbMkP4&%sX!`_3Op$VSX*B_^5v9cB$OsfCUlqX=vlC<}469DcL1p8h2f?A8 zBz9S(xr#m1*xwdJo*}$LLB^+W)~?;y7rU8Ug9z>-X17ow+)az2izha34?@ST9Et@g z4Ta&s<}Jxj41NdjMS)*CJqvGU5x-{Q%mTj|`2jJtw>$U6Yw)6w>)YFxW9Dn(b}r|* zIOpQL*as_AGE%AF$F=Q(8Rkv`C3H7E?VN8Y zW~m#5jaL+IO^8`{X$v9CTZ8c2Eg3@-YSuaP*6I;bhjqCIC8P^eLviv|5lk^VL59MB zfcy5bG-2d|Lpw?ALhQ-G=f*DzFDF*>Y8H3ln$CiKZu}uJwYP_CEOVyqbkXGJ=~ROU!OYA>1t)Lla`w{YH?>r4ZFRIB21mQE+g% zLW5%I!Z;PDTFoYjVA`BnuYzJB$WRz|2H|WE#T*2uc9PhISn7y9bn$rW!ig^4p&;W@ z_TH+haJEdDC>2NrQh`+9ktiV4 z>2A0vmd$nvC%PEq%6+h=l7iKhGBhZb5hzZZ3(LhQoD~K)tzx;9{aY(VsHa_Nb-}fZ zL9U#)O(g}ZD`jXCs>9>^?ft zmE-eqE?LNSNsfjaOS>0Wx6i!&P{+a%fBDW#@rPf`sKom1V`<@AE4|>*ZQ+(nixiu* z|A?-6_yto(5BiCDL9F!V(^T`Tm2>OVuq{W5OGj*PpiLrr;T~GaC$r zVMcEX@n*`^osKmf9^f@q^7ll(v4_5I!)Nw*V~;ETgav=tqq=ai4T~S-A|2Qz+1If@ zvj$yLw8oEawXor`z}nl!@TNssZC^$@?YY*1kLfBw^yJX1E7mxotmoCP_okW+S3{09 z$e0?_q~?j99GbnYNg8!T#P>SC+(qxjanfKpDchDzw}&S@;$AWf%RO@zeV2D;Z{6f4 za^U9wfY1lbefQKk@s8RZFJ82!knIYtEB3)WA};S6By(REE^5z%Si!{WG+gcA;DWcs zkIkZ3ca?>Pf_oP~Z7%iPg0)MEgRO3kj=O>jR)cRCx((RsrlGmE*^v@V>uq2*Tvw1| zDv%1K0;xbMkP4&%kphAtUao8wQW3}I{2Vj(*gIqapn@S2+SIW?! zSVo{Y`LjbXxoH*41Vj(%?57NJoo63RtRR2KhtG2wguyKtLlbJ&IiJ6^dW6(rU9Le1>B7`d zoP1RTQ_N0~p)jl;h*b_M8wX7ox!}-F61xx!gdrxe-<M2G;ZD?XJL7(pGDv0 zo!MJAc{-#5Pf3Bi-=5@Z&b%k({noiee2;linE67IS)G}8yv&^4stCsO3B#S`G_kcxQm$GLWOX*WDH$Q*#{%5Fobov1|?(!ij%L3V2at7 zn{~nKZu?+F3PU?d>_RLMhCvcsyBOpepSLe^-Xi)sPk0_{jI{^F!JW4U!4$J!(7dJ4 zlY!p>d{N-nPS3)dS;ViIIJ3ZSMt(p{?d{He<@)x{(%lFwH=dvT>CD%}K(SMM8_1t7 zsX!`_3Zw$5Kq`<5yqf~KzI`{neDC6)KF!%DvA(r=CdffE@orOf!L^G)uJL`aMrGY% zaBz2gj@wFR6g=FLF?5mpw^xctRpYK=<{&t^nk$yd<+|Vjgu(StEE^I|G{IyXk*ldpgnf((U$_tt&;Sej_| zriA*Ht?8QNcQx|4@r%OCiPgNC#a);F&POH5~JhQi6?zE=@PfCHj-=5@h&b%i*mHVxrG(v55i1r&CLt3&~ zG03${akYrv&PQAs!A%ev@2i+m2zN`y(1e)P*C{TTm@bNW2wq`bu0aXu!a@}%UlqX= zvoDjr;I(c{DF>B}gBFSz1&4N$*o9cq#U8qNymjG37w=GzaVdPYYd1DOe_Ie~ohLkZ zby6%NP@G)N4}vLXC&*A3)_RnK%Emztf_Y4@-SJ5dsX!`_3Zw$5Kq`<5qynix zDv%1K0;xbMkP3_|Ah-^0N(`|Ma-C=YmWt~h&8{*t3LZNH+pGT4NAxe z6enL5!4$J!%08Iw!3|VeU1+L{cGp7u>S9loV_(B{gRt=v5rVsj*)3EEU+XCx)U+J* zkn{G|73>LyT^L-=6-#`*hHod(BvOG?AQeajQh`(;6}VUh^6x;M?b001mMIgZ0;xbM zkP18!1%x^WHzkHz8sy6T+oqa=)s-?dD3%c@PX2C_U~|gVcjoO4k{Z5JqQl% zB(V#zKo|x|aP4A{E9Y%fNx|w$85$JJ2o$F}(>6&2)8@=>dYVJQYiAJ7jzDl~Cy8B% zU0*-P=Q*STk41syzW-Rt%{o(oR3H_2)Cwro`>UPZ5~kbe=i^+mknNHj4L6oQFRpH% zdAqn;IN~qgnJNAdffgYi~F^{O=Lrab| zhzvI_&n>oI{!VXe5{1X_@;Za?B`#wVdL7Xi^^0MyGkst7L)86pjfHO9_8V<3rXSyH zyd(-v{#97Q<1gQT(0Qr41#3)r!}9vm*4z|4V|HePp)kzoO(EV)Ii^YB?3#|};5Ak9 z_e8$2hrVyaXZCnwk1PI!1%KG1x^S`$iy!179oQw=*Remd23=FM#*c2bu;H@6+S|tP zrbSt8Uq(9Zxz>V@=_*0=Sch=82vhn!T+_8g)d( z_d37aMeoIN(qK6$+m=kXhbKJZUNQ^IJ#!X)mv?4w-Q*{7;O75;&zH+^vtQNLAcDJy*)3EEcT2|5 zMZ9z_#I7wU2el3kdJr7Kx?F=2G6Kb^R0$~^= z!L^G)uAH|`B?YT1WoS?=BT$?k`@9`#G<{NmR3H^d1)hTf`R^jnc9joj%an;yfm9$B zNChrl0lD}Jv%pkfuYep+9Y=S=MX_(TOE}TRAXn~#HI)>su9Trcv5Y`*+FaPWXD*oB zw2FlwLt)7M+d#urnEbiJW}Yx-;+ciD2gTvB&)Z&;X-@@Gfm9$BNCi@XR3H^d1yX@i zDv&=fJEfXUu0zv$A;xkcbz~W-z`H9Tgv9$Qo9&V=JNtlxT<6)p6)R}dLfxrkm(3sy zZpj#$P_xeY{H@g^qz>zH4N6ECriS9=t0I_Uc7hCrVcn)w4k{Z5O&Gc0&`uJ&5DSE1 zkObEzJ~Znf*LmjcODm{qx~t5LVh_J$3{AW;>y&w0tWY8Ku3*vu*PusJZ)pE293ebr zzyG`~Mm~@vcA>yTDv%1K0;#|wRbYAZznto2VktU}n>XrNSl;Sq(RX=g_SQ|F4ynLX zQXucQC%Kw4?@4*TbuQ7ex#UceQkZYMyO2&An>bUU}S4MCbF}sBd;cm$ox|p&LMpj`6>v9cB$OsfCUlqX=voAO6 zg4Z4QZ!J;?ZzqXemhg8XAzv5oP>^vce7=UOFfVf6BGM`c&tr|T_MkYpnjZvH%zhE` zmJ&}4eh2VHfnPg43vXr-zh>gh0>2sg0Wr0=JNLys;i9mtMyAvxQ&^3(%p!(&=4)c0 z?lRz$E~!8&kP4&%sX!`_3S5o?xxPKcwJ4_`i8!9h`c`PLz4K9BaP4A{EB9}kN(xq2 z%Fv)#MxZ#k`(Rgs$xW+RE@&T2sHa_Nb-}d>CgUL2dFHKHLH-q5-WqW5OUBTInsv_4 z9ZW(YwJT+4P%K@T8j6#ziePfnDi(qag#iKg?PF=8*_#sTSGJ~WlHb+H=f*DzFDF*> zY8H24;>?15Zu}uJwYP_CEOVy_>v9cB$OsfCUlqX=vtPb+*fQI9sMnlnSH*sX!|5NE8t29Nd%`YH5%w_ivkO3RYLj(4bgGpg8%vO@hfy zt5^s!6oz%bP&ue<9P}VKw3Eax!~$U$B*C?dL9U#)O(g}ZD`jXP*`t5lovi zyXk2T1+Se!I6DHtshuQtA$EQJ9G~Zq3Op7Cmizu=DL3m(1yX@j;881}RPV2Lc1xIU zqo0p+$wIbEax~mn{=B%ledg`rYT<~#d}pTkLj-12V*U29wD7H!UU2BPaLc7dicQ*o zMAtn0f~lhi{lq+?f)6b@)*v$6xIDMmdiguOtw|Ifzsu_k!k4&=P3Uz*W7IE(xz6-` z*$+|o%QY6daocaSxtM-@ukn&7IQds$36H;g|3T-a>K3dq;SI~{Pg`?S@Qm4+4Ti!n zqc??kGv#)lslo%irb_;v$T#-T_igyh9&hY%#hPPSq3gIuHoyCnNM_Gi|h zYl_zR(XAFXTozb++Zf)oD68$uNT)s5TJSMlC5WCJdUeGbN0jxv+V$R4v*BvUu?87a zW17@F(UU{7w>3$lj)?eP=a;+ay*N%9EGK2#lIiyFgh$*E=7TIDTQPmsX!`_3Oox1gf8Rd%4Uf%B*-Awnf7n3{qs?!vhFIyVB}{ zYZrrD%bze&_&Swt=Gtbabc<=Tn^o{|OUBT}rW(QBCQ^Y^AQeajQh~P$Q=Ri5=eX9aBD8jj=Oro=IzHw<#+{%upp__7cdYuqGVjLO%? zz7Hle5$mvN57#aRxpLk%l@zS5l%YYfj6iYPT-drpE|}c3ie*_>7M|z+Eh;2Zfm9$B zNCi@XR3H^d1yX_gE5O?N;*mcuJKH5XoGsHm5|w+zW@sw#unGw2+dCiC1=l9nPZ{Jo z&pwz~LH>@<@>YX`UowU!)U0zp$7m7?sa+{UgJS8z)KHv!RRoipRF@x;(5|$);MxQqsvYDy&%AwU1;sj;QS9NDjG>8FW}Px`i%BS?cBKprilvM8 zufh@H-L#73{`0ooTTLQlbL+s6NCi@XR3H_2qzWu={+Cm|Oe{sGaq|W_3(H&mEc!0* z%-*`m(;*djN($ut_9Rzx<~`|2+;30a#Im_`l8bi|l9?|gIZ1^MNpa4d?9|NH#6XcJ z=uM9M8a4(y4vK}DEj$_S7e}T`u}84Ux4M{v;S9loV_(C1pjMMIv(5HrQ>X2hY^Q#e?Y@Vp5%>zw^t(?>|X>Qp$mS(j^2yt*(o6enL5!4$I- zWGD=4J<364QEKAgBwfBf;_SVat_Eg|WDUkQulU&Z3 z_oSzCzZH~5sCc)jy5QObdn1Ef%M@4m>Mn{K+o+7-Cdd-An^6e&(_(2s%s6EqtQaxm zab0e~N=O$LsyO+o2&R~wAVXoe+ddefVvkOe*o9ai4DCv*3$9)4xc;7nC}BIPLPNoQ z6(&D_yO9HFb{f~$57r(Ohuy^}cJd%LG}!MyZ{Zh)xCOVeIva>hqynixDv%1K0;xbM zaDN59y(fj-Gd{ypfm9$BNCi@XRNx^L5RwjVN(|LE$aS9mTPlwCRm>=OxFuugqV7M% zN%k&XR&x-X!n$085;6kC$uC$i#q0zb3d6chsT@=`4tfwA+DT#;Vu3IWlHl6KAXm=Y zrjmlyl`=FamJujUb*62S2&T=M-Sjkvg4fOTK8VaJEdDC>2NrQh`+9ktiV4Ik+h?)Y2eV?t?Ye6s)e4p+T{XKyiBP`(Q#7 zu0V=q(;g?f805-%+f-7px>AM)#WDiLX>(z@7=^RK;HFh91Q`lL?%$$9A{9slQh`(; z6-WgxQ30hWf3>q)!gTBZJmC7xcsaC7B4oL-#(L3Mb>Z5?cV^vxvSnpAqZ017kEMlg zt@MIJw}o3SEmCaK{v*2P;TKFDJ?JOq5fyxB$*~5JVHL1&uo{$u9y+~kxcn}!GYDVe zGB%;t5sgv580I?D_hmnHuCdUK+kT_X#q{HQjh95h$-fFqc>Lx253U4jSeI*1Lb`bU zX=`o@@i9BI!B7}x^rjGRrd<7waZQH@cukf3J&|whq3_%9nLXavy+X;D_&myu3;uC?G}x=Ii|IrQp^HI69j zd9~}ksb<5~kYf!prp7d>d7>wWW^ZefMja9Hz0NOp(R*>6G+0i`wk6Z;;R%npm(0R) z&zwcy<(=7EH~EPixcNUI^Z|3#nlUP;l?!r_H6FTd;OXaj@0R(Q#LB!D{dgL$?82-83}U zHak*+X}t~121`HG=&{foQh^Impj=2DSwF@x; z(5@7hg3A#4Ts58XDV&{3H*;+>QwZ)NX17ow+$|YH7n^DXcb7}C)H*omL2z)nLW5!% zf#OuF*(4E6n=|WtQ7i-*3d7DIoE?GS)J_t+5SvH^Qh`(;6-Wi%Dv+$JSgdiA zbTKMlANxL-&_t}mrafG{805-%+f-7px>AM)#WDiLX>(!g4!K}*(<+u_U0HaZ`?si& zNCi@XR3H^d1yX@jAQeaj?ymrA>x)PJyzFe3=y0}7_efOk5u2f@z{4saq;KzhR2N*E zU_WJ$>pc5lVg>m-KFeDT4t~iPnozUO`5dE3D5Q3!3=N8<3sXaJ@>LN`Zd%1ckfAW# zaUZPNn?meYwx+`a2t&Kl>Vj(%ADVTL>pb)Jr4>^LYEX14HTxL+KZF2x?fCg18}4vN<%$u73RMsOD~yM+qjYdwX7 z+Z%gUZH14}wEGN$j#na}|52vA->d zJVSVgf{ah$tX;dYFLpDz1`*sv%x79)ylvITQ<08VbXM&0CV682k?4 zivquPdKTWyB7V)pnFW3`@&jUOZ+GsC*Wg7V*SEJX$IREn?Oe`ran9wbR3H^d1yX@j zAQeajE?0qE-=5;ylv9vI98YC^D>T^N`KT_qb}`7ce8;5l#XD8(Gv)qmL4|eSiRZD# zSbI<$e9Z+@%zi=pU;~v_7n*8<$=L2%h+kdosdDUVSTEE)_HU)hZblqxJ%xjsmV*}5 zjC1yHO&=lis#D?MW?im9@#?~~R-Amz1yjsUkfAWF^(Y6Gje{nPTySV7iCu_2Ir!Z8 zMd9VdYF^FaE?m=Du+NP@B&PQEkd0;TR3H^d1yX?l1(t8N20YK?A`|oIH13(}gk_03 zt@a-A%-(vr)1C@EDFyO=dy>mJ^Pcon?ze){2o>)(RTo^FU~goQYnkE-U)@DQ(d&X7UEYId#W7!8rBUxJ5hR3H^d1yX@jAQeaj9=`(l zcc9L84G(9_l!;P-R3H^d1s;h4LY;$~5<@Kwa^?PQQ%%9@N*Njy%Lo)Ff4506xoH&( zL59Mx?iVTtm5qZQ1c!E#*o9ai41*-Nb}`76^R}s^V0EPo4T@z1ic_6wnmuc_OZ0^t(9JI=(cdnrA3NO+J8jXJp6*GqX+%OJfeaR zEjiX8GTgX4x7d35JH4$*6du3J>kPt|xQtEcbwp#-FNV3!^nKY6QTNL=7P@iUZ?w6X zetfU-k|;R&S78Z{zkL5e=cVcvtTEvY%j-{Db5rn)*_jQ7!Z4#Zg?KaNcAu%j1H7h6 z{+`G;_R#lj_{<(}>~Y1Pu;34SR2NRRVex}pqyxJo`#Sb#)}U*O*7(t_7B*ZKSbN(T z-n1yI?aN4~J=a?BFio1^2d;DXiQ8-{KJwz>({rWCC9Xs{zCnAY2RVU;X?mu47h6oy19a48Cu zODQDlNCi@XRNz@CAaofoS2jzGAwdSY&a{7PC2v}&YvyH%B=qE#jG>Eo>0F5QS8H8X za}b=ux?F=2G6KcPS4A+z>;xGK!;Ib(V!yIA9Ued!+LcxpT)P#On9fHYCt5_~*-cn8?6-WhAfm9$BxVp-J7kRc>a5!70Oq2>dKLwU`_Va5zJAS+h zNd5ls@%E6FKR*R*-WrZ$d*`FN;NQg{SMGx~l@zS5l%YYfj6iXE?E7Fs6RtptWz!xf zx)|ijdD~P{u)0!)2E{T0#c6Y4>rZ0@lbcqtEbGd`^YsS-%0Xr0pa;RBog{W4HjxUX z0;xbMkP4&%sX!`_3Zw$(Rv>>~b~eQiXUmj{Qh{fwfY9~erbJgD_EQGA&a)3DR#4re z*;Qsn9Q=|oG@)jl^Z8pVR7kxm_yqsF%hXV~eN_aLn^v(9WGD=G+y`s+rV#s;t?BRp z!qBd?y5QQxhh`n*I?ud)X$8ePm{IKEmyDr_S7x0uZ;MGNq;{nY4T`0U_OHSb;@z~0 z<^J=w-CIo}WOM7lkVpkmfm9$Bc%%v}Z~m84y-X}cr*ZQJISb2M{Ve(}@66u1$|Hs*%= z9pqYOl#(&tsXA_0#+4D=Ma*uYLbzKphAyV;gOODj!n$085;6kC$yY@%#q7(?y5O~L zs454Qje{Nphjx+^Ik+kP4&%0}3pQ z)&b8mxyZykI*ohgI$>F&POH5~JhQi6?zE=@PfCHj-=5@h&b%i*mHVxrG(v55i2VH> zT$^BTWRPo_;tF49=OeC+;3f!-+07_~yCq|2Ld@#x6cdZJ3hy4B?}iQ+>$YLF=hXjtill1Ygjid<86F`yNKB>R0v<|DIC& zsX!`_3Zw$5z~fgS{|?mIuHoTqnKDr-kP4&%slX#qK&W$YQ(~y4L9X1tZK^3)T`5C@ zVi|$r2n45glGugVL@JO9qynixDv%1K0!;-JtH0XWEn%8O z7(XB968sIjB*NJn%byolx6ix{!nKL-%o=})z>G?`-#(TWzO~W|4&4@RxwJ^JN&AoJ znulL7b@ZU0m`7Cbp(V!}M1~ue=N4Npf2X%KiNfP|d7VM{5|^Z9$4P_bq-*kH$YFW2 zJ`vkH)95=#TtYxjyyI0kUcC02qPlc1+rc~rxnv>p*Gar8>)Ph%x;e83EgbO|-WESL zv*NO=EHo6{yZC8yspl4~T~Zuub#rvw6F(k+!*O~Tjt>jG$bFp zTsd!>N(xq2%Fv)#MxZ$PvqLbsX%))_&0ESzqynixDv%1K0#{f0?;_7O3l3+?l!;P- z=cmB3&VGK4XUC6M0jb{~KHeU(^5>_3&0E89Z0~$j7yP>zGfxId7Xv3RYLj(4bgGpg3(VZ2f7BU~0Bh@uNB+F*Y?tV8woLa(RPGU*p{c;b zDj=jE+?426%6`fq*Ln8A#0si=G`q^oh=X4;h9=akb3T7-g$k*61)t!bcbOUrx37v| za?>gnf((V>j{9KE-V|cLvNatZKp5JURu^2G_|U9_T<4j$FRh?h2Q!L2{E{&=@ye`I z=4~+vh19N;p+T{9(f(C9LcE(+vD|;&wtK5dglujd7!s*KDv%1K0*_RI<<0+cs+WnS z=rnHLAZKBDtDi;R<(=7EH+edw0#8YSyx*SWYRVs?TIg<;i6IjC$L^dLC2lf*8IG*_{Q z8vEOV$TNg@D9HE}&f2vb`(ihfYY@R*#OxL-gu7`mbn(RI?Lp|+l|!*0rJ*o9*t{kA ziNWsxz9{f(r)S~KEaKNpoLS&EBR?Rf_IBsKcnw|@a(#RIa?E^9+|K137w24_N(EAZ zR3H^d1yX@j;Bpnn_3bIHO*sWg#PL+tw?c#Mosa5*YZrrD%Xdr)U%XRw{J?4MgCWwo z@5J+1W2`+W4!-7sDQ3T*eXxN_s|!sv!3=A6EyS-b_Eb6cHLMrv9`jb3>}JHF)>AmB zX*p;?%{XWO*7OlFuR0YDZr0@*6tDlEy)(#d%9|W_=g3HMwK#ovH z(GhY49wA4VMGlZv)^m(3=O{lPxx2>gCacP}N^aRCpt8DO@+J9psZ~?fSY0!%6(?VF z0gBlPGAj&gJ<364O`F==Yc`g- zQ-M?<6-WgJ6ksKKD3giR=rrz`>x5;AI<58|@yymWhE=_Q8q~Lmt=V7OaGH z%|aC?Uljq0*$FZ$4A0vKBUJ3sNfNsdiwQ%!(&~b1*9N)9=WTxewjlaCPk0_{4B9ir z!JW4!0gBmQK5yX{hIW$Jh1j%IAQeajQh`(;6-WhAfm9$BNCi@XR3H^d1)igT5Hz?c zF~mQ}HNFq_lKopMc6WTv+e!us9&X71U7NChOIBeB>v91lWW*FFUljq0*$=c2Hc)AG zp{cI5yB6YC7kjE4`x@2_OQv|iZ#|AOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C z%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3J3u%)VuDjUN$f&wS}KqVqynixDv%1K z0!;-J)L-rFmM~2sz~9EX1b?$#65;HF<VZj%BR2NRR&Ef~S zNQdo`?CaQ{S%dB=TH}w8TG((|VC`)Kd}&cu?2kyNJ=a?BIb9`)o}7Ah#TrMH^}O2k z-c+;UYRIt$8B=4L)I8CXQ?s`3RZhG z*pU*O)|zO(FIxThrlz2}8Tm z>Vj+62Dx(HHkA}mSIQ7jkP%ay{MjKuZdwI7(7dIbv{WD!NCi@XRN(F||6kVkjQ2Dx${tf{1cx>AOK zf{d8rbnE+ILKCh)3bJXB6I~nRdN^gX$w$=6{^(Wnw8hjhi>fS+=~@&!X@0&g`w5JRMSj zx1>PcZ!dB+XWo=)7TgthZ@i%}EW&GLcS@vZX@nVj+6 z2D!%f!E*n$Ao{vr%JW!b(4Hv{{_dav#q0;#2OFrgy3kb9n2hbNh4|IQo+`(_hV??d zVE;~dc>nR-6v>dcd4V<%oYx)S8SDgw659@LP#j9(kwc_M!Ex5;AI<58|@yymWhE=_Q8q~Lmt=V7OaGH%|aC?Uljq0 z*$FZ$4A0vKBUJ3sNfNsdiwQ%!(&~b1*9N)9=WTxewjlaCPk0_{4B9ir!JW4!0gBmQ zK5yX{hIW$Jh1j%IAQeajQh`(;6-WhMuE1X}r$~lWAQeajQh`(;6}UMCgo+0@C5Dp2ESJgy&t$dHd)Jb`>)R!O7KJ zLE`I$+G(jkDv%1K0;xbMkP6(s0{J~qSG$I%t7XbWsX!`_3Zw!zqJU86;HJb-OM_gw zf7?`3KwT+AKtV=Kaq@SY1jtRRAOx8ehIPMCIjC$L^h|JQCy8B%#e`vy1lO(&a^<{j zDk-3@lp&xXBc?djnYKwHK$|nW>1hrHuN@$q9WlYFog{W4HZ2uM1yX@jAQeajQh}xd z3hJ+Rc1xHh5#Vp*T!O#ZE{SmV!Sd(B-QzP~gK%xy--gB?A}~-1_uB_)*2~yUt71Em&j12gv7NT60tI zjMQ+h*~DT%^Nx zN%nQ@ಶs_^cM=fl)EU@;r0lu^-EA~gE)1GTB_?)g1L{Cn=x?+tZ%6eYydT*-P za5dyugN&&$O=_O#$*I}fnxs)jMEs)j%U$$E92X6ii?VIWbbENgBkm=$Y`JI7qVMv~ z?5&&pLJrHD^@Z4;OruYZI6^>9yz^B!U%d93qPlc1+sQlzxnv>p*Gar8>)Ph*x;e83 zEgbO=-WGo{SaI1^76JwLu6^HJ>bV7LmlOwE-JBhF1s6~=9~im~*y<)&n^Lgaqrr}p z*tFi(3#(-DyEI^^Q5e!vfukr;j#5b0kqV>&sldBXK;#z=h8evn#C~OKIy^98 zXjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0s~`uOx0I8X3Zw$5Kq`<5+}-8>i@e$_ zI9)AMCQ1d~p90G|`~5YZ9pA13QvZE;yFFy(?@s}nw`S+Dz4K9B@bB6nSMGx~l@w4{ z$`DYH5mTIQeIHC{!WBqCHtlhuYlB=lZ<|UAs4Ha%D9DH@PMZr`e;Xq}ZdwId)|G|l z>n{S7gUZH1&jg2dlGugVv{WD!NCi@XR3H^d1yX@j;7uvO+WPR8zc0JmB|2R#(+d)n z7sO_0D)71r2F~gWpWZ=w!GEPqVMv~?5&$T9a4d}q(I(pFLE_!-jm+M{r1vL9PalRGhaw@ zkvZy+6zAN0~R-sHTmVPml4pdid_;mL5nI5J&|J%UZX)x{hXuT7F&Y=e#9 zuEp#YDul1~6b>G56ml-KDf?h#6Na!Z7f?b*OmXs65ulizAhW`->ZBZ0HV%3wIJA?* zE{imGv4<8Kh8>qCp&{WfyVePJk_|?UpD#yNt^+LU1-b$0* zKpbj4g@c-wgO;g*bM|jdA0hLqQ{muYT`r(_bOeR*N z)3|4@6P6|FwAy>bGkfdhPCONOQwrq$_9B;a<~`}H+;0V?5h~tosxG)TjlGdUu4RfV ze03Majcrs$aMQ>Vvl}Rc`)NU1CI(K~2P;Mld0dxUuoBWW3sszaRRkzzC&;WYJZ~S2 zP_aiRN$f%_CJgOLs|&7O8{`_FxB2(BP)T5dR?8_&(T6_HU`!-SIhZ zD;X$wxFrL0ZOZ;FS%o32%LSB>5mTIeRRkzzKhQqdK&91%rn=VdT8Lj=?5T3>Ygjid znc@Y%^^9_`XvovH#q1U*#IE%e4%Q?*?^@2=M^~_`m^lbeuI367UoX^7O9fJaR3H^d z1yX@j;Pw^B?}577H9TD{Qzl9UQh`(;6}S-vggOT|C5BoW_RLi41*-Nc5RR==WSC-0d=Je0Rq)!Ze8he;el# z{LOYrgtHHpKOgQMpZOYuYt#NVH2x5Qfl9dFK1j>{veF9<-4-6Xv`E3E{Uy5Q;TKFD zJ=34fBP#gRl4A`b!-LE7h^?2u)7zRv;qkk?&mjDW%h-h8M>IzLeVFS?|1SGC>VCP# zLN{*vjW!qPzi%2ZiGq`V6_)V$$3Ne7UaD@v8WTQ1KL661n}TP|&TKF%3^RIDh&NMi z_n9g@u+LP<_lf*z5B=7LU+wY59(R0&1z+q@T{zh`iy!179kxrduVa5^4Z5djjXyqW zVZ&vCwYLrMrA1k>KO&v>Tx-GSbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh z&ED1|jXEOY7oA`3qA%jOXs}$AZA+%x!wVj9FPUY_J#!X)mv?4w-Q*W?Sl+BJ#P(zw zeR9MR0&?P=ufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5_>;kk%dWBz zD7bg+`{q*5Em*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zvs0Yi<# zkd_Lh0;xbMkP4&%?@3F%aSz-+7GstzN{aY(}(?VS{FH0n$C%0sPuEk5|Lae`9 z>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RUz=WY)X?4N1YlB=lZ<|UAs4Ha% zD9DH@PX6o=AUCao9BAHBPFgCE3Zw$5Kq_!|m;W#FYO~;UwM>~P6?lINEbHv|*LZe( z(+cFgz3I#Mo{JZnZ|{6m7hJnG$d&tGO(g}?l`;erWW*GwTi*v0ns5bDkWG7>=-MDx z&fBJv0_sW`0tzx>iqq!8*5AemkegOPmiOnv^Ys@2%0Xr0pl5?Gl}?mMIgZ0`F1*q3gj-iLOBGrwnpkXCF+gpt?u1 ztIR+g{E`8hriRY>{H+x#q}~;Lf&boRYAD>kDgxxDRS<&A3d1w^!J54(#C~OKIy^98 zXjfWYaBbQTh7NLFXWo9af?^#E6npq312pZEp;P8L<_&U|EpPR+=)1f#d+R1ohg9G# zDUkQui(Ji__oO#*zrA!5hxo=w>YBdIWib-92NGGdC8uZjT0>;#z= zhIK<#IjC$L^h|JQCy8B%#e`vy1lO(&a*fa15AiFiTMQ0W(_Li-3LZOP-QNdn+8dj< zC!t$c4h1>TyrrCr8Gi%#P{yB~o@HNV5r1al%rgEm@+;cZ-k#l8u5X_$-GjjL;Q7U$ z&U{S_6g#!If&A%`3Zw$5Kq`<5qynkH(-g?{?bGz~y^DYPGH2h)`c{ymz4K9BaP8V4 z*Z4kI?%x(fU-wIS9%~HRGsVH*9TcFL{XqL*1C>@6nra%8vE8*0zq;5{<=EG-UZ@xB z-%69+Kpbj4g@c-wgO;g*bM|jdA0hLqQ{muYT`r(_bD?zb-yPF@n+ ziPaANe0b(Psd>MBe#yg;m+DQ(2wA+_R9$dw8hayyT+0+!`06f-8{4Ri;HHr!W;aj> z_tS#3Obnc|4_1sA^0+RyU?rq$7OFV;st8cbPLNq)c-}r3p<<6tlGueggRu^2m zHpn$TZ}aoF1<}`e!t+>T(4Hv{?z}w-P|W`Fc?-WVw3Eax#NLL2?}G`hh1}~_kaDo>$?&vo?vBry z%i@Gsw`72>P1(OCt1yIhxquQfVv3WiiV9H7exQA@fl8|jO?9o^wGh9$*i+@$)v(Ue zm;BzL&2FgRvDQ;KSYI4G@7f!iw+%TIEe(BnZ`)PvNKWuyXcQ~{wccOytaHd|Dj=-MFH`2KC~gB3)7xIY(b+`T;J zpg3(VY~3LjpqTv-`(TvV+Q3xcO?#Z^TDxl@es!^@%JC*i)v#Wu-I*FhaMxmX3l+lG zdI|?MEeAcz{o6-ZuqPO}Fu0m4NPN9eJ1rHsO$FpO%g(CbxdOK`Z*Qf}H!z*_hI%;H z+Fnysmu_3Xna3cPEM)6?QaScwKQtCZ3rGCpZ-e4RsDVnXmzMKw`^!o%xO7{1O3m^yl1|D-@c3QcXApkGWo$z4BO0UrKFoEc z{e*v`?w4yUbmO+)Xmf%7`=;@dC^-37VF{0a{PSJsrRo-}G2sK`^DnKrDR{>0%m%Z< zFrznxcr)d8|0c%+`%IO5pU9u~&~I({)gE8$amQC!@Wme0g_CWw_(3kxVY?*zI`(JQ zpnHne_~WA%He421d)okCT9g(0BhqQlwHAC%R|%pgr(RvL#t~&buXepR)oi#La;!nd z)R-nUPxR!}>}^fbs3RhN(fQ>r`XY{t2Fpd+wq&|Jyx&}LA`k_Y8o;jof2T`CLq>d~j6-WhAf%l<+&}O_`*({`n6dB~Y(*CWLylG*xA84S^ zlUp)C*W#sfA=Y25by>|pa0=^k0VQO_6enL50gBlPGAj%-dQ*t~%GPvvV8YO@w7THh zwLz|&w@oDl)Ri&>6lBB{Cx3PbkegOP4m58m=h!C4F7GW>r*Em5>?IXQ1)i=z{=dkl zYxc~D(=+2TEEPxv`U))T?7m}qqyk4#K6{X}r?jbhS(`NK{@Bo1v+| z>nb3mcQ;%VWV2nuiKel+HOO_HeK4_tHZ5$nLk+~iFBza|YUrHL-`;f z8j6#ziU7H36@(zO!mxfIRyn9_95k)jn-W^N+𝔚fd>^AR7`+H0=jN2f3~@Z$DZ= zn-(rOZ$BCLlzCg4*VeK&GzM*2`%mErvF$5p4h660&D(Zw3UPeB)?d5eb$pZKm-tpH z)VI<|_K^y_0|k~h|KoHj6HC!)+`LiGGTwz>(`NSentf&NRN(3g}OX_-X~@66Z4K;31)r{f~$Ee9KWJ0H~r*RCPVX16KcD>6@okC%_| z#Eq4hjhL>*>=r77yJ-Qs7WY<&ecoOXk-`wxqD}4X*?n@Dx*SD9r7UdEo5yx9u-wF-3cRs2Mu3a1C8s7(N>;`v>!NJ|}Id3Z& zD0sLf19a_;?cX-!P_#JEKA2FCd*KvhbJ01`G$!L9*LCKtSV5Z>NL%c2fqAROGH2eJ zgkt2rlp&xXT{ATlCtno-YF|NfD0uPSx^Ew(Y0ci0P`|P@U6cH|AfFpQlzp67&8J!1 zg^4rE>~rIm6GMHS;)03kS}_m7E3C@}l#s4j zsN&?SB0w?wGU*Fm>&BFFP}w+WSus#>XeWtXh{c3qkObGR4RVdo+x+}(LG*Q=@I2NS zv}cM#ooNrbP6G7sOiimGFQ2!t2tzwb>_Y5qnA}F0Z=p(k3r%DXsX!`_3Zw$5Kq`<5 zqynixDv%1K0@qMLNHVx7F;veW*Z4kI{{4i4@YmP}Qz29P3YtT~Ypq8)sJm($^h|JQ zCy8B%#e`vy1lO(&a*fa1#&1Nr#o$mi-Bo6w;IRYvb;6KM`Mm>~g(0lV1(c8xQ=EKN z1Sn=d#Qtre#5RZmr;~Hx)1i{=(h04 zr9}!R?Jv={AmyU)`nm0@x>l@e1!#H>``4f**1$G%v7j_G0BufOwsTs~sF% zQw#ga5Cz?_hd{x-Yu`7QdTznmCB?y3H)qFP!3EUJ2Zn9~wz>({rvGC}wat!{*tFid zv*Db6sL``$4yiyYkP4&%sX!|54iyl3j+ZN&h18HdgIrhIzqOJ#Eo@fE1`0j7B?ELV zUOE?I{nc8R)f@z;ur3!+LPkt+@>LO_n4KWA!Z4#Zh1jobO@{|24DCv*3$9%ovp0pdN~;U5P5Z&nL9Xk}+mBXItb>7K55Hu9 zrhPJW%DgQmp^(~@G6WQ)YwbUUBgDID73Agfw%uDzB4l&x0Jsg4+bHubRH<*FiR>X2 zcqa-hZ~n*WRwkCB)3|wqoMp>f{Ve(}@66u1$|Hs*%=9pqYOl#(&tsbZfgzYo12(!&kR zSYteUrZ~8os{qC9%gwsrwbr8?R5lKJCOEW{#4f~Q!Z1jJYu5(3#^>#a_!ZSH28XKY zt}+7!j~%e??*le%%Kj}Gg(0lV1(c8xQ=D8?P68COA86iE=*5h`0emRq&rZ*>FSCe0 zGjV1ae;N4|ZEA1N?km@~&z9~%V0rNT;!kJ3CI*U~+S@?>bV&scuE762slH|1`lOh2 zP6b|D0eS5LGJh)YR0VQ<`&7j||Kgpz)Va5^z7+&%?|f7jT)Q^NHNFql*jertgM+){ zbKZV5Q1Ea|2I$%w+XrjNp=fc4eXv3$ol48*qMrj=1C!C61WMF~>pJsRytKtmUSQs; zvCNsbCZQO)FJ%ZQNZ0HlC{DYJQ8+6M?JH;w1+QoR-l5r>LhM(zro*Fe+IM;A!RN*g zWgjP2^Jx}$VdBg(``q|z+SJ}&v$4#b3Zw$5Kq@exz_Mr^@Vt_XOw6OxxM!{tSUtX` z&Ft+p`^wy@z||GV`|U*}pLtJuEB9MLX@p|V6?+65979^NSux19OmQV+XXhiXjNqmb z8nYWHgu5jJG))YhvJX~_81lF-w_qitYZj_F`Kky|%ubM5VOTe&l!MB~LCcDPf_RLi41*-Nc5TP?|13latIe%0Ay9B%g~`v~Zsb6kRlp6PAS0$Y?Jh>KlP9sE!A_7_ zVOTGZa!}bg=$YUUw@|rUm%F=jI238Azzr!NH&knunhK->sla+IiB?WTpzUa*0Jhg&j0*QV^>l2sVOx?DgB z88OAlS4Dtgc7n_b!>jFsk-R040&m*mMAzC~3-POqJyni3Nj5W!dC~Zd2x!-0b_*54 z*Ln&E>-FGy*K*!Ix`JKB%t3H+HCK@MdYxy({i_DYv{WD!NCi@XR3H^d1#VP<{2r*Q zUAWWLGG(GvAQeajQh^&$K&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5n5Kxd2Q=IBd+awX7&6(ZwG>3xM z4iL_cnBde-61xzamI~aS0&;sLXT_<&UC!H_1UIaJQoFy}*)3tZZT=Q;{cpS++9eUP zJXrpGxPOH`IP-OJg=^FPHZ=Yafq_c6-#$po{<6{w4&4?WxwJ^Zr2Qqj=HVAi9X->Z z%_A!K)RJQjB7=J|6r^XJ-qs`vkKg5e2H{6s#wPSWqA}|4!(3PTciF#D_scaFx^dfY zw7Ee4ebabJ6rB94u!P4y{`u}sK*PFRKndyE=U-ZLQ;3h*nGI%zVMcEX@n*{HKV^lB z*=MTc`$Yb;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~kYf!prp7d>d7>w$W^Zef zMja9Hi_R~1(HC)CG*~Xmwk6Z;;RTPlm&~%|o;i!Y%R95TZt@E`EN|8qVtX=;J~`qD z0Xgx`SHUjc|8~|C=5oL6;AhiLhPKxyN_=&(=l(j0S7lw>h#lN*&a6QIP2+9xC-YFe zYTA`61Pbn5`@Xru+_jk9LWOX*WPq;4OXouDu3+KphzU+%T`r)6jF{r&t0F)#J3(fJVMcEXv0vGm z4i8Ki+LcxpT)Q^NmGic#q=33ohJb>MnBwHm4gqr0D#(H6E#;)80;xbMkP4&%cX#>! zBCj?JPFKs6iBf_0r@*q#et(T;$2YA&&fA;5eDAqj{v!86=ZpTE<9g< z5uhAYHV%3wIJA?*F2ts#0;xbMkP4&%sX!`_3Zw$5z_k^~-vp0pdN~;U5P5Z&nL9Xk}+mBXItb>7K55Hu9rhPJW%DgQm zp^(~@G6WQ)YwbUUBgDID73Agfw%uDzB4l&x07y#(Qh`(;6}V9amN)<7R4)@t(P`Yg zLC&(}t$r4Lmv?4w-Q?+z3cMu+@_u`ft2y(Yl=oZb60uovD4Y30l3AUWS;X+pd`%40 zT?TxHTm&zg;Sv{n1RHb1{SI<1GfK%A?^K;PEaS=u?pn-lp+dM@GCVy7&+aSN zx6hXDL120C{Nhh%z9t5Wo!Z+#{&YzNQh`(;6-WhAfmGmW3gr6sX?pqI#Xo(Svu|R3 zYx7KygJ$C0rs{%g*9N)9_rV&Kb&J8l-SIi^?-(d}xFrL0E%$Hl6p^aNUB%2naB?+Q zkjmw{+}#zNoO#xi#*kP4&%slb2&%RjaTJg?*;6Z7aa?wRWZR*$b~Gkbf@zA|?zaCHUp zetQwgXWoq6Rt$12Q(Vc|+4+boBe-dV#_R?P;cm$QO%p@+ z8$m9YLR9PEpk>8C!NKJU0R`!raVk!=noSY`+MHSUpA>{3v%;_ggtI*><{&t=lf*8> zQb+8ei^o?NPIT=F1sRvZ=WDnMlb^pWh_uSV^H^iho+%El<|hG)*$FZ$3~N2gL1p8h zXM#gJN$hf-u}dBBq@@D4p@7^*nOS8jkP4&%sX!`_3Zw$5Kq`<5qyleT0inOaO^G2X z2Dz@Ye@n%6k7ieyfr7^lU|V*`rtII6Ss22)TtEpKF~!MOMSx=VW&f$*^=kWI_ql}{ z+LcxpT)Q^NmGic#q=33ohJb>MnBsKP^LC`m^hpI$fm9$BNCi@XRN$}*xViPj`+vl2E`wKF;I#1+Xrdc zUsig-q1(bEmli3Qw7*2xJp6*Gqi6cFc|--DT5_yGWO#6S9EC7lM%^#hSm?%WztQFb{r64dB~ftlufh@@|M=&-&P&xTSYyHm z$md^Lb5rn)*_jPyg<(c-3h`#j)t!zt9UjWVdv zDC>E(>%FOF!_|;u4Kk+2G^u%_C#Pm_Ym!DC5%G)8FL%)waa=T5F3Pqg)9v8}kGPl2 zvgMvRi@wV{v$t;Y3psG}e?`+*%>De-Iq}ZgoiAQ{O;KICVxP=okV_V_b>X5M`>_JV z>oi>L;NTi>i$58npgZ;uD7bg+`{q*5Em*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@k zcBI6n^)@gY?kmVK6}T-0%59aK)usZeKq_$K3JCSb%azSSYN*vgt}E@|TFILhHY;QU zg`V7!0lF41oeQ!4YOTv^4uVrymkTH%Bc?d{st8cbPLNq)n9-X;>{qs?!vhnBcBRz? z*RBn6<-BbwDWI;DA)p{5ra1YtLx9}03UZ)%OF3z&Kq`<5qynkH-Ch2_$g9nQ)73I% zqEsLiNCi@X!z&<%uP_Tt1@;Qa`P6xA?|f93b3ktxPi^`3Nm7f)2+|jkw()e6-WhAfm9$BNCi@XR3H^d1umsP z{=V!|N_KfpU5^7elmpa|C8Pq+Q$PrFH(V5Cvt7c8rm?v-$aS54FtLI*Eo`Q0YCYGYpxOtp={<0NoI9gW)Z_X^EEM0cNy^MxX2klk2JH{A@cWk&H=q) zkZYMy3SDRCBd)Ah%NdW^4HjJ7k^#Er>l7C(UB<=X>=@SN0y@flcJx(=)7Bu%Qta`} zeJ~=0A#TC1Y~6NS!k*9prKbz1E`;+ehmawnb&yeS3petVJ2IrE1t)plM>LuTxwwFA$Wy# zxquSVH49aod{qP}W?v?K!E4=^QVuE`2Q4cG3J&cgu?w-Ji#>Gl`0B!mu05e3<5Ku) z*KTZn{ZBkerZ~Bpp9CmoC&;WYto0}dm5qa*2@dTfu?w;1bmu2Iqynix zDv%1K0;xbMkP4&%sX!`_3Zw$5Kq@eS9loV_(B{gRt=%5rVrG zvsSFk4-xG=byD@c63hHod(q@@C>Kq`<5qynixDsWf@@_V4J zc4AOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3 zJ3u%)VuDjUN$f)G`uaIP&mk4K6$O_2{;iaob*2KTKq_$43Mke4tDW5vrrYRm<6N?k z?UI~<2g{!icaP6}U0f|3@sGa^ia$hPpc3o157M%~tn`9Iw}nS8EmAOPe~GSn_yto( z&-7>WhzdTnFH!GYCK8GB%<25sguQALhE!zsvrOx?irb z(2d)Eqs;~S@0-R;qTu9Tg(W=x@y~aim#SN^#)J=$&%d3EKPrb@m~JE0k1zJP<0~xqVvp*=$+lViAQ$PdU6Oqr`!j3MJw9prs3qGf-1ksaIudZ0*h_aqnyWX2>He3xk)*xeQOp}@? zdU9&^wkB!R5fQ)W{Bjq45ywS?<)Um`GTk0t@Q8cKEL-lGv*^3LGkfbMzmNkr|5r49 z#oW(NofGe@-TC6R*A&&IEB47e2DxM*TNf_Mu^%fyyiUW_4i2vIw)m4F3c6ztfr5M2 zzJIj#QX+Oq3THQ6a-wOrx=|c_t`Hcy4cO{tTg@hk8XBZsTfoD;7z)z0c5iDEg=bS) zz4qM<>5vK>P=Rv5nzE!+AQeaj-mU^dYw>brv&0xuVUTOtc~CevN$NUgUFz&twKa&~ zuEp#YDulZw19UB3Iu~Ns7LKnWQ!#i>@a;S!+DnOzI!Q1IFT!r2iM zoZ3lZ7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PPaa9M;c9^R3H^d1yX_cpg{h=$g5rD z)73I%qEsLiNCi@X!z&<%uP_Tt1@;Qa`P6xIH(V5avt7c8t_^bKK3G#p0d=Je0Rz=s)xoH)IAhW`d`?rCHt1$U{hs``;&crhdv}cOLt!PGHrplLRO|x|a$RTtR;-{+3w5WG zT{bgea7zYgni@Li^S4%ykUFf(1(cAknHq|duZjT0>;#z=hIN}#IjC$LG|k8bhjxL{_=TSjC>$T>_UNQsX!`_3Zw!zs=)H*f1K)NVktU}n>XrNw!GEPqVMv~?5&$T z9a4d}q(I(pFLE_!-jniv>s+E`bICzo>D0^@lFaI<%p!(&=4)c0?lRyrJ!VuQw0!qk;DNepB0u-|^ zH|v7eGxu*TQV4G+iCu`rgkg{b*RBn6jnCT;Id2htohLkxH3sdO;^5BPlK{o+2b#AO zdNJc~03XWuv(vNe%Piv0Oq^N9Uq*gKo7&s6`^xq0v!#0wSROpT_|uuMiGgCL_BN0| zT~dKmAQeajQh`(;6?mEgxxRgxUcPtnPhaNjn^@o4JQL)gnRvIUy5QQiL9X$AutsIw zVsLPGe9qfS1_~Z-$pBr;{o6Z5q^faOF>?@{T+J1va=9*eV8Y;f=-pk}lHl4jCS%0q z6lC5CU#HUe6-98<#L$@CKpgy%0h*?U&Y8C+p^(~@G6WQ)Yo>auf_Oi{_}uuR?Bm30KF#7TOq^L}pBsNoo7&rJHkP?lfm9$B zNCgHISpKm!;CUq%nV3hXanD>QEKAgBwfBf;_SVatcq;Iw6v+GSMK0&ed(vCE-wH}2 zRJ_|%U2tt0+Yf_W%M@4mIy)b6Wdt{k(3ssoA>1t)plM?0ej~``Qiy6D9JH($C^)!W zA)p{#Gfu^+Rot5kfhH{#NCi@XR3H^d1yX^-Dv;j; zb+t=#x>}}8lnSH*sX!`lBMJz04sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-s zVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S z@Y(^w*%1?*+DT#;V%OKt`FRehz^y2--1l##+^jPdNCi@Xn^r)n-e2wPmN4B$e;enL zg>0AP3_Mu=e7Jjj=Ii2W;fR0yZBYCn0t1y;zkQIF{bi*W9J(z$a%qu*N&8E5&BHI4 zI(nu*n@3desU^o6M1}{K=Mh^kf2X%KiNfP|d7nY}5tp$Ey^m;&`ui}~mHu7!Z`A#A zjfHO9_8V<3(0|`FUJ?Z-|0*ou@sEGL>%3Imf;A?5fPDU?H8%y%n4Q^RRv2dVrVwwY z9Mhz5c1_1~>@!vJeIkF_L%+4*S9^T1#~oi`!54c}7f!a#;s?1%hwYN=>)4-JgYGF> z<}&zwcy<(=7EH~EDexcR@L z=_}@be(IcfXYI}xuf3+IE?u!t<}t`63)we!!ZPuHlw&_ufOwsTs~sF%<89H{?-X>$ z9s&jTu6_S#?WIKQk`&Ia%QNMmYqq*koP4ej7`hGE>SkNbCW#svq+MIU!@U>^(zSMP zYZ8TLQ(3+C-3;lF3LH>@a=@Chq*NdkNCn=m0zzx?a%Ho`7*b)7YuR~FI5$b^I%Zw! z>{qolh~Tcp>=r77yCnm3EnYeoV%HXwgIWg%Jrf+lx?DgB88OAFRN(!heWe6z9h$&9DK5s`FO`lXC6-WhAf%l+5 z{=dkpUFFl&GG(GvAQeajQh~!OAcwCo3rq#}3ds4?d2}~i6nwK?!ila8a^*f)Q%M1J zr3?WD88O9ab7AYAxd6Fo6@(zO!jSv7cYN2epoObg{@$UW%{otb?&_o>=IDkVrKn+k3AlG&FZ^a7Qv`}{{*;X;{TGg0vtl z`()shd0VVdA@iO-fy!jue zdYM>?PUGf{dX_D3^|R=^yfb_2CQpY{;4LYT_uGqH&6)S4yx%&PXxUtHkXJf2^Mxd{ zdMdMs;hp)K7^u4p_;g(4jGsrE;Sv{n1RHb1{SI<1GfK%A?^K;Pe&Wgq?pn-lp+dM@ zGC=~?z=7V&2$&Mf0EBfp|e z?d{opaZfmuEvu0!HOUlKBQ3Lt;hp)K7^u4p_@qlJ@QxMuzjxewww?;40;#}370C7N zB`!?41WCm4Cf2t$&x8hPCf;qTF1U7WkZbuDlfvij$$Z`Ti7V?C(`GkR@Ni28=vwaI z-YFv0E7(=c90Vs9TvP>>A?Cz{3#8|1pqycH{G)57L8U?2{D$pB4L zL+8v}lTb+QN*MwQ(lt{y}e>znK~6n1yX@jU_^ms(K_OK9UqyPMyGMlTqi6`)M>T% zh-dcJ%bj>C@TL^V`|U+8=gfQ3Te;r~N+Z-}hiJdSF{CA%6@y&M6jzJr?R>biIfToF|zD{w$#B{Bghu{^~LO_n0=Y_1+R5uN;#-(9JH($ zC^)o}#4f~=F80vH>pbDPtCNC^nBwGWeiERVoglNq zu-2m-R5lKJCOEW{#4hIY2MO^N%6&N#?*o&8&Ct$Q@P$_x}db^zP5LpEjqmdwHs*5v|9$cQOUzA6F~ zvoHHk1+Q1z2fNQL)X=W9y5QQiL9U#)O(g}?l`;erWW*Gw+nu+C^3zg*R3H^d1yX@j zAQiY_1@e2Ku6FTGSId-%Qh`(;6-WhcL;;~rcf&n5Kxd2 zQ=B#zwtiq(fZVhSa+LjBD@CZMU1@c}wQGZ1Id7Xv3aBe(2q?&iDNZ*%Z@N9Da&8&>^?ft?cld@E?LNSNzTB7rQL_S$7jBN zsAJ)XfBbDw{NWb^l~})hke2;rr57B!Ej)5*k%CG4OLWb{FPJ)drazlURPd=K#~MV2 z2bbp&TQ7g7w>62v<9B(VLHH4uu?fA8XpH*%FxQp-UG{I({c??kZrt`8Z7$G%-!xtl z1tC(`=~&a@fqkY*zE9*& zd+4_|{A!Oc_PFCKEcjxN>cYvkS^OXu>9AdreI5HVYtTJKYy9z13mYyAti5f3FD=T7 z{SoQ3=UNLsr>g|flT)v*SmTJYo>#lxn`$;(4LQ~zV`@y3nkRa4YWB7!Y19!Bzv%pO z7kv@OMT6y{Y+Ewj9$xT>d&w+Y?wPabySy`d>n6XD12_LyG=0U~&rh8b@2uVV;19UB3Iu~O7)moR;90aGZE*DTjMoe+?RS}?=oglNq zFrznx*sp9&hX*DM?MkZ)u3a1C%6Z#VQb1iPLqI`BOmXsOhXA>0734tkmU7Zkfm9$B zNCi@XySw~kYkM5#b3kP4&%sX!`_3QSW#U~cbxR2N*kHprFxU`-_j)Ri&> z6lBB{r(53#6Pj=ZQjkr1oaovhSI*m}k^<^V83GD2Vv5t|!q(r$2#}jrL2~~V71C0H zR3H^d1yX@jAQeajQh|4oh$Y8U-~P6?m5l2wk^#KB^0@O=CZ0kn1}8U}6RN zJ3f4#(@YrLk^!2ghR*r?t<@u>4(oCOC8TSnhT`O_B0w=aL1u+v{Xnd8P}w+Wnvn|* z?If`av6wInlHl639}FGjy3V})Xa!YGca<3^_V7yvXxb-3r_9@8g$k*61z+I5CAR+* zPMlUL=;iaa-CIo}WDDB|OiKk)fm9$BxIqP$H~-^QE)z@9Y23U)&a&mLeinU~cV=(h zzK~>APh}P{yfa@D19g`HpCK2)i)OgQ z#U8=N+;G2xT+577GR8Yq=Z&AZGJ?Anvsv#Ymq{DJ4x(9EG7(tB)E2MkZXM2e#m)?=<7V;d8{#L&lCrD-kt;~WlTB9yW?}-Rx(iVa7za0TJGQ8DI!&kyNa2E;N)tqAeGB?xw|VkJ&EKT&>EPGZZ;)q zSCF0afnvzl4a@iyg$nw@8JaqbkXGJ=~%mYCf@A>1t)plM?0ej~``Qiy6D9JH($ zC^)!WA)p{#Gfu^+RnHh5~XMWoDJBKq`<5qynixDv%1K0;xbMkP5tU1%&PJ(^u* z1_~ZKfNj|!o3ejPW?=~Hasee|#1toA6#iqlQc+vW8$(ro&q0>@B5>V5wh^<)*Pz=#4e;*>tAz%dn2 z%JNq`yN^zEJNRv!OBS+Sk~8pNY4_po@tLn5>R349AAcJZfB3~fCDv~rq-B3u=>>;w z3y)k{q+rti5?%A~3#N{q>Cfg76?|&Ru?CUh!R2|x*2~}NZB3%^_+8#-5PrmEY(noN z8l(O`%yp%Im;D=czg%OX8@K&Nn+x>cH;tD>!O6c0OL+X_pYJ*^RkvV`2_GPze`(E4 z!82xOHkcKL8NDgQn<-a!I@WY}V4tay?-Tjc9{Q~fzuM!AJ?{7l3%=N+x^S{>7C*>E zI&7C@U&sE;8gx(58h?D$!iLKNYi}FiON+8%e?&U%xz>Wu=_*0=Sch=82x1n!T+_8g)d(FFL>6MPI~m(O|hK+m=kXhZj8JUNXy;d*&?q zF7M3Vy2&r(z|H>^ONQq7B zZD2OsSCC^WkP4&%sX!`_3Zw#&0s;^(S2hc&h+}hpkn2kOw^rJwh0O}tK%sWGWPq;4 zOXotYzgp|EnuFjJ*5v|9$cQOUzA6F~vlC=i7-saQ5c`#_>F~gWp zN(!heWe6z9h$&9~><}O~t%4kA-cn9lDv%1K0;xbMaCevgFY;=$;B>W2nJ5)V1yX@j zAQeajQh{j-2+ZxBkLrSJ*9N(AAFQdQfVxtKfP##e;&ki#U_ukFKnk*Hj}u)R3g+)U}W15wja8P^|S74r*EsTK37nDf6~iJwoQNE*DTjy4LU3&tlgUuTDjahTI7kP0;xbMkO~}Jfo0KpoGN8vDLRdtH^^DG zyw%U5@AA&v91lWW*FF zUljq0*_WGj!RvYZU_=T-J4x(9EG7(tB)E2MkZXM2e#m)?=<7V;d8{#L&lCrD-kt;~ zWDR*<8;^HE)J?b;yM_&!); zXSrJp4(^W6d0WXq!NV;Xpli8*d#8w0HSQ{A4uX@bxq?(K*X8c6;PfPtb3kigGP>E6 zs9iyJ&IgJiUpFjYuzxGYvrAj-%%+O$AbcR3H^d1yX@jAQeaj zQh`+9?J6L&Hn=Ggl2sVOx?DgB88OAl zS4Dtg_CxH0QDRFV1>UsBiLSM~7UEYId#W67lI(uVkn^@6(lz#P?}SY2-JXqR&xO;r&>u=2$j`+vl z2E`wKF;I#1+XrdcUsig-q1(bEmli3Qw7*2xJp6*Gqi6cFc|--DT5_yGWO#6S9EC7lM%^#hSm?%WztQFb{r64dB~ftlufh@@ z|M=&-&P&xTSYyHm$md^Lb5rn)*_jPyg<(c-3h`#j?LTFOi`i$Y{)E zVvjq%!h$dMs4kpro5c@ukq+A>+1If@vj*K$w8kGFwXor`z}nje_|l@R*dLKjd#<(M zbGk|pJvsI2iZzZX>v^^7y{Try)sSNiGN#5fsd=I&r)Fe9Vz zC-WHOl7-A)C-JJRYn!v{=FA$jaKt}&Tl~pj#bsAn2o&7A_I-1y=N7D8QXFh`b9USn zTtLlyVCXhrtD9hLO2KN620Kz>(|TJktdhm=(tx2xVMt2_j-o(0N+DTCDv%1K0`Ecr zq04x=vRPsb2{OoarTtqgdDB8&GcQXdp(nRwfUd<$=R&N%TI;f!gWwd_3~r3M}jF_t$uKe7g!r{rBPR_K=moKLu>w znw`h?&PR2@ziWeBxewMCh;>%&|AzU*q3=ybJAFGy5g5SyW?!0Rd?q#xXr=vK;p${^Qu_QAvos(UoM$_&K8 zFBza|YUrHL-&&zU>RrJX`0rh&hQjTuB0z3h1tG|+Fg$Y~tl67F>{qs?!vhnBcBRz? z*QWho=pfg1=Iuu-DAvJ1v4>wWK+`@MI%VD#lTb+QN*MwQ(zW)V!V%)#vV~KzRNqaw{G%uNCn=K0(rl^ z$km*APkIyg+eiYjlqtCf-tj%C&T^X z$aE?82sZgv7jsa&Hc57|4K{+i7PDKZ5Wdz^IC#8K$hpv_?1Pa_7{a<-KnWQ!#mQGi zfMRxn%nHM*lX6hmIOv(+&`uJ&EYjS?9%}4g3nI^uJ)t1uQ#fnaZtREMOs+u$cP(bO zP$Aq+3(&PUHg8Wt$F3X-f|O>3;nn6X$uDgD4d6o=e|CD7eVIl4nTa#Y_{+$zXj6N8 zc3->(4`sQ&eSA4)z9t^$a*m60E>EQbsX!`_3Zw$5Kq_!t1#*3RiEC3XK@xGiiS@0` zGoeA6iFcc-3$9%o}F}>6-98<#L$@CKpgy%0h*?U&Y8C+p^(~@G6WQ) zYo>auf_Oi{_}uuR?Bm30KF#7TOq^L} zpBsNoo7&rJHkP?lfm9$BNCgHIU~PIRlZn;nH13(}gk_03t@a-A%-(vr6Hf)+lmdCb zy~yR9c~5#P_gg_}gxc*tDadA1f)h<+`(co4nc@oHCdsajMr8yy4YHWsKq1^M8K7xm z=zb%};9915M)*uc7SlUXT=-@r*@Lq zg;?r{J#_K->cWYxJ)t1uQuurgS7Gw=w*`?_Id~px4B9ir!PWdEKruT(W`$v`M>(i$ z9P~_ZXeWtXh&`t}Kgl5#NCi@XR3H^d1yX@jAQeajQh`(;6-WhAfpG-{*TGGRA=W{z z>+IiBaowZYRc4^zu>;ta9kMC=w`3NEur3!+LPkt+@>LO_nEfdGV73Q0P-%6csjjuV z7UEYId#W7!8m=3JFZsPgo83^sW38ufP}6eIvz)h&u3%3vaA9yYSCGzZz$GmeNCi@X zR3H^d1yX^VRv^Cz>S~wobhS*GC>2NrQh`+9Midb09Nd%`YH5%w_ivkO3aBe(2q?&i zDNg=wlK{DC6@(zO!m#caDhHK~gPsWv?If`av6wInlHl64L9U#)O(g}?l`;erWW*Gw zI@2~u1ZZ<+H$Bav;I#vUvm+)rwUfjy#ICQO^Ya{1fm=~vx$oafxmjl_kP4&%H?4qD zy}#PoEn&Ki{x;4f3)wEo8F;Y#`Ed96%-6-$!V&-Y+o1SE1O_Uxe)}LT`^!o%ICNWh z8{!VXe5{1X_@;-y`BQ9eTdLPjk z_4i?}EB(9d->CcL8VlXH?Kj$7p#Q#Uyd(-v{#97Q;~)Qg*LkVB1#3+B0Qvk&YizO(EV)Ii^YB?3#|}*k`Kb`$Yb;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}k zsb<5~kYf!prp7d>d7>w$W^ZefMja9Hi_R~1(HC)CG*~Xmwk6Z;;RTPlm&~%|o;i!Y z%R95TZt@E`aPxmf(^t&>{M0$|&f1+XUVBYZUAkhQ%wveF+{r?=E?ksjKURQvorbF& z99&Zi`^gXm-LZ#2!M$tWKU#Y!5xXRXvzsnC(KK7#C=NbX2n^i@Y<07(W|Kq>4brYH z;Ne~j1?gJ5w>62vv#G3J`)-DGNCgh4KsjJdSyC#H3Zw#WR{^25c)7A!VhpJ;$hGV| zD4d%lbse)Vb@r>;8bol{Vs;A^!rhVqx)v{;3$bep%0aDzgPsWvVO=hugp8QtRIAx= z3DD-ut_5=_ciotL$zk9slcj$oS&S>!A*%ZviWn6EB9}kD#n+Euvp_J=>jT0>(14NOMo_K zcH3R%Q1IFT!r2iMoZ3lZ7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PPaa9M;c9^R3H^d z1yX@jAQeajQh`(;6}XfF`TMdaZ>s zP(r%a{!=(Ye9ZpxdE4$yNgrP?)J{tUQh`(;6}VvqmN)<7bRZK;(P`YgQO~mFt$r4L zmv?4w-Q?+z3cMu+@_u`ft2y(Yl=oZb5-tBocaT>)HS>ievwAACh~b_2ni#0N4ES_h zv91lWW*FF zUljq0*_WGj!Rwj(w-zacx0A#!OZbyW$k(+e6l7cqpReI6%!iz}h_uSV^H^iho+%El z<|hG)*$**qDe=O_-vB<8@n@%J*_TkXZOWD;ZU}$MyAvxQ&^3( z%p!(&=4)c0?lRz$E~&sfR^b2MaqroBDv%1K0tZzf*SD9rFy#^?5yx9u-wF-3cRs2M zu3a1C%Kh7>k^<^V83GD2Vv3Wy4|XR&ZdwI7&_0+@PrK6Uf@{;5jDuX)nYUsE`B!Lp zYrw%T8K7xu=$zj>n1n)VSIQ7jkgk~;ij%L30J&)ugdnrRfPnktnrWDBg?L{u<%zM&Xx!(#(BNTJ4*dy5B7}Ao> zib1YriYpmAJ0Edn1UHS)nB71j+$|ZPX=3PpBgo}ah-w`iw5%8?IJjIPpdei{PQ|HK zvq>UAn=|YFlY$UrRv31GaJFZ~90aF!lGue*W;5kP4&%sX!`_3Zw!z zr+`rL;HJb-bAw#t`(TZo<)MPy9iQ{Il7$NtZpi>$o3ejPR$&P1asee|#1toA6#SFk4-xG=by zD@bQH;F6XKqynixDv%1K0;#}FE0Es}}8lnSH*sX!`lBMJz04sJ>ewKT|; z`?pOs1=N)?1QcY%6eoYTNr2q63PO-sVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Y zrji2cN*MwQGGdBTooSmS0<<}^o1W%S@Y(^w*%1?*+DT#;V$)KAR3H^d1yX@jAQfmT zprHP0XSalD5&`};&L#Mp?UD#*A1r@9+&w&P5Pth8GeAL2*%K~d}8{kWevSNQkI_B!o}8M!tw|bnM8q#TzuZM%#BtGJxhUI~Ot*&@JmOw5%a(iQEc!0* z%-*`mFXXViSzn0l$u#=ph$95##5-Sw^TlhgDXL5NvYpIhkV_Uaf1SjuvaW5;uA4J! z(83Y_;BE0IgB6!uWg$>-@7njxrJh@`c1dxt)y>&)S8xF}^MRq;fURzVwJ8OwJsRvt ziB0Qmy|79aze@v#8igS(6*!6lF(k+!*Om5ft>jG$ zbTebtgR1k`TMe~U82*~GQA*C zc|mN3rUI|4fRKK0Q=(fb`zeE5*VzXXE2!?#>?$)52ft*1rm3NGK7VV43aNJmU*NxY znHmbWuZjSJqeXwS43b9|=nhp<47}}Lq7hIe6gQ0_5*O|8;t)N&31H~SG z$pB6JWayN6TTDVBwJT)^C`i}Ze+oy4chf4!%ja#ox0*!A=GFm_mI|Z-sX!`lqY5l< z{>Q0aCYGYpxOsz|Wy@RrEc!0*%-*`m(;*djOA6%u_99nv<~`|6+;1=4#NmFAG4q8a z7n!3DNpa3y?9|NH#6Xc3=uOW18a4(y4hq7|7M=|EizCye*dy5FTV2dS@!BNW#WvUo z?pn-lp+fjtPvPM4Mj_`yo3al^Hem?sasee|#1toA6#%i%iO6zDv%1K0s{&x z|JWMvypoGd%%jt|XRZ^LCF-==d&Dz)>*Y>76?jt$8;#v1*H*+Iallv zY;X)|$==8y*D}SGjQ*a?y3x|8jNqn`C1y8J2zN^cXqp(h-w1NK6rx%O2Q4cG3Jxw; z2q;L`j8k!{)ohXo(B{l~6%>RZv%;_ggtI*><{&t=lf*8>Qb+8ei^o?NPIT=F1sRvZ z=WDnMlb^pWh_uSV^H^iho+%El<|hG)*$FZ$3~N2gL1p8hXM#gJN$hf-u}dBBq@@D4 zp@7^*nOS8jkP4&%sX!`_3Zw$5Kq`<5qyleT0inOaO^G2X2Dz@Ye@n%6k7ieyfr7^l zU|V*`rtII6Ss22)TtEpKF~!MOMSx=VW&f$*^=kWI_ql}{+LcxpT)Q^NmGic#q=33o zhJb>MnBsK1^R`faS}KqVqynixDv%1K0ynHceh<{uF5c;CnKDr-kP4&%slbgWAk^t@ zxG2bGyMz;68|2D;u%?m%>Pi^`3Nm7f)8@i*F$!me!A+|mN7=u%QiOWil~xyAyEe#` z^R}s^fVxtKfP##e;&jvVc6t4bG@CxDz%dk%dfz`rJy}I6Frt8rIHgZ2a7+c1vi#M~ z?xPdk4t^Wwl7(!S;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~ zkYf!prp7d>d7>w$W^ZefMja9Hi_R~1(HC)CG*~Xmwk6Z;;RTPlm&~%|o;i!Y%R95T zZt@E`aPxmf(^t&>{M0$|&f1+XUVBYZUAkhQ%wv#C7P58Wq8$6N0>tYyTgMdYE4YA~`M}U^z*aZG+LVIT9u0P+#HRH&FdObG z$T1bTEd|PLm7CS30;xbMaN`OH^~cMV%|dFZ)j_T+?cZ9-n-(@JWCMks+>!yh7B8I( zvHohU%W4jSQ&^V^C?O-JIQgmwP|Qw{Sz(ybn?meYwx+`a6NYxB)dknC4RYnYZ7M0C zu9P95AS0$Y`LjcS+_VaEpm|F31yX@jAQeajQh`(;6-Wgxr9l3^>{3d0c}`uA z12~ie)Q}~l0?$)G2y-`F6lAkq!ilD_xi!djoqaH|f;KH|wnGiX!7mx$|Fd^Cxse<@ z*VbplcW~js#S6pefd?G62L`-^SV}FyOW+c`gdTVS9(ml$@UWNi@kwPyE0ttKNUEqG zH3?LzG7ou3o`}i{MOUkuriRY>{H;kSq;{nY0R`!rsi8RestAyqRzV0dD;%~D#40D1 zjgzJ|ds9Lyms`h1Ex zYyT-6A+|k&=1}l@-Mnr0rVz*Dwf(aTUTLX7Dv%1K0(Ypu`sRN-mCM9ZbQ(8r)U%9t z;cME=-mckK=1v7Ju0Y;z&m#HEds5zSogw0P%$u^AFC>}OX_-X~@66Z4K;31)r{f|E zz8q<0w?pLb?<@hm!ywl>qZGQ%&PQBXua*Um*$oz4+>!yh=Iay}EM3OcVQ~!WaseIX zUL1W@;G^sAz#;CP>^vceCy*}58;Qj<(!HPSMR7~Yw$iGjMyfKR%l0;xbMkP4&%sX!`lI|}6b z_8enT&Os7!yqEQ@(BR;vM5M*;`XJZ(8TRJKG0q z$e~DaL;GMtJ?_9M$ZpWN&@?9FAlGH)tyn?37D!v{v1~uu58=66GCJd_h zb-92N(lt{Thc zdA~i&aL&9Zy_fr~pfp15c8HD}979^NH!{ezPI0w}U{7Y-XlYbNaMQ@*@A$xOCLG+7 z0h%U;`Z{%_Y_b|y>>>7u>v9WLLb_(5ij%L30LARl2tf_b-92NGGdC8uZjT0>^HOzHc)AGp{cI5yB6X%7kjFl`x@2_%Xk}~ z;I75&7Ak~q^%PEOT26YF^Y+;Y_5=eL4zA`35|0;Zr=AOKf{d8rV0;#}VE1*>GuXYYgm~NxLj&sRM zc1W@SPnJI)9-d$My0}_7;vat*6n}`oKqc01AEae}TImIcZVS&`TBKmo{t{jD@C&An zp6O5K5fyxD$*~2I;mPnkW9#Mb^tL5Yc>FFOGYCK8GIpVl5sjn%Hq3RQ-^>1qx?jgw z=*Dfo(dG*M`(5KDQE>7{VGWOe{QX1crRo-}G2s*B^UtlhDR{>0%m%Zo{K7f*vVa#~+`y zu;a48+S?BJ(xR-`?~zVNuC3rDT_uQ~oO*S|8b_4%y4rZ}s@ZWhznnN*j`Md zFOIl{fSh>CC@h25UQ<+;?y#NAV~|T$GJl=KtFo?b7T4XCEokM4fAF^WKZ6yQLuDaQ zaPQiGnoB*mVC|CPV5^(O@lbF9HS>X?+kmZZg0(3Ht34X*NQq7BZ5vo6i{B+kS}JgF z3Y2>*IO|OXQh`+9t`!ifkC!XECB{&rgIpKdzqOKgEz~vhx)v0Aa!Us2TD){F#QLkX zE~_~RPGMaxpoEN=;^eC$KruT(W`)Cy-V|cLvMn7Rm~d!UT3vAM+8|fX+oqBN>Pi^` z3Nm7flRrBI$W5ytH#Bc4CoL671yX@jAQgCc$p064u~~4sSf)&r3Zw$5Kq_$a3dqe@ zm<6T+M+IcLv>e+zAJt_E=p6>Rav!WIWYv7P;e3XV2Uu1m^S$qb2~7;nHC($k$d&W9 zsic6qQigznjF{qd@AGz~(ez0LQh`(;6-WhAfm9$BNCi@Xb19JjUUn`eyF90^M>?be zslXc)5Mtd87X{gEmvEtJY;Fy5U1lFlte{;ByX{Z|aqvq9Xqp;2=kvEFp^(~@G6WQ) zYo>?Q2%1B|>vi+C-J3!jkJt9kE_kJ-0;xbM zkP6(P0_&Uq?NlxkOVMfEyiw0G-i5DeGkd#cUzs} zX1y*?L!>Qj<(!HPSMR7~Yw$iGjMyfKR%l z0;xbMkP4&%sX!`lI|}6b_8enT&Os7!yqEQ@(BR;vM5M*;`XJZ%K3HRCd6zgkse3es z$}B>NIsn5Tn0sgYU=2AGp>ARytWZh2((-jAv||W256cZI%nQmJwocRE*DTjx@Kx9PQEGv6tfd#Rygq9dTbx0X+|zM zw3Eax#P;olZ~T02{HE;V#A-gx;x3HoEVIvzU(=@ccFo2zcPfwyqynkHfC4lBd1@g2 z(M67z&UQu5#`K(M<~m_rqCQV~vGbX|U95>rlnUH}0(rkZ%P7peC%u>Zt)MhQG3Sas zf(?!#E!ln;KnWQ!#mQGifMWKW*axG;mOu);YmWt71zt7e zY1?9UixXnEdI~2sEhjz8dHZYxdxC)r2Ul|iiN_1I*U7Ow%R?%V3Zw$5Kq`<5qyqP; zKz{tK0Lm{o?Q95xLP^lAAcDXe~7?9CDv~rq-B3v=>>;w3(s6yq+rti5?%A~ z3#N{q=}+bn6?|&Ru?3OA9SjBOS*N!xiNfP|`ItfY5tp$GeT--v^|xWJ3;ka9Pt^T7 z#zHr4`;9hN=-=-eFNuPaKMHGj{NwK*9t1S3%LSB>u6_QwH8+L$n4Q^RRyfS)O(EV* zxx-!(9@uB9A((*6V8Hy{l%&)sSNgGN#5fsd=I& zr)F=vSg z`DsC#_Mhfb&n;M+gd1q9o5eA#%Lj&T1Gc&e)}|EPNV_d)&C4H*B^I=4?cTN|3WwjN z0Yi<#kd_MEiUN8og=8J6Kq`<5d=m-?UB*kX-4bI+kU_2s?cZ9-yB2mUWCMks+>!yh z7B8I(vHohU%W4jSQ&^V^C?O-JIQgmwP|Qw{S>Z6FH-*@*Y)gj+CLG$8Ru^2mHprFp zwyC6mx>AOKf{d8riv4b5B1NlOJ%fm9$BNCh4q^8ZC%Y!;j@mMIgZ0^gnj z>pJ_}Ydkx?Uj?N8et5q;m<3iU4xpLk%l@w4{$`DYH5mTIY16%(!Mu6P33bL*%3(vQI2vANc8z(&z z9NI}@7h=;=fm9$BNCi@XR3H^d1yX@j;L-}@zn5K1@zcdJy&+L~Lu`ho0@qbQNI$qK z(XEvIltHe`?1PCFRQG5Ol^KYGUot?`)X+JfzqLYz)Q5sehq-_*Q14)BERMb^LU_zh zkXhmI%6%{+7sA^~Vi#gD;V?*oYt#N~=pff+=Iuu-$R8nOHxmwS$pB6JWayN6TdW=- zby$}RC?Q>I|0x_HK4yRWylwZUq>smId)eZZmI|Z-sX!`lhYGB3{MjF59T!>f; zc_uVSGx2Uyb-}f3gIw!xObVa7C-ZgVC$6kpOq<1yxNCl^KYGUot?`)KFii_=P4-#tpPW7so@vq{Cc5 z7pQkIH5Nx-6(KxkC&;XD;Jx+OK1kDyTyQufX+}*3`P}$T*~f|1e452w7}Hs1pBulX zP3`TPjb-jsAQeajQh@;l)Wk|-XosbTQ7IwsldBZAn&(l z8P1var1x^a6_iG(&gRXKmh6oTa;;NbEuyhgwQT&vl@Z)DLj4^dN;MM>Zpi>m6GM+1 zK`xgT{&!bnM1+r00>umR?IfVMqHp`9JdZU7?U~}R8`%0n6QG!#AhW_@t4BGhY@GB=a5yCCMJ1jJ zqynixDv%1K0;#~eQ6Rqu>S9BAx>%-6lnSH*sX!`lCkhC49yTTXeaWGg2Dx${tf{7e zx>AOKf{d8rRClg+Nd#zj<*?ml4h63RAY2_W!Re4>B=U)m@cclM^Y)2Eji(Am{Ck4M>)g3Zw$>S^=dyf3kwe1!#H>``5~*fxtFcx4NEq-Y&~eAdE_%K~d}JK#%; zvSPnSIvu&Tf|qoaAbN7@)fHFdGX?+kmZZg0(3Ht34X*NQuqp?U6Z;sX!`_3Zw$5Kq`<5yj1~#AYQKQ7E(jG z2DvV@e`_W0TG*}K4HSBEO9tp#ymT(aZp(1xq}IVn&jg3CE*DTjMoe+4)$F(gXm{n% zf;kkt4uEiV!~~~9l99+KKEm?@i9a4s!s4fcTsd!J2@MfYSIQ7jkP%ay?tR{lG@3rC zKq`<5qypc90{Q9N`J4{|`y&LqnQ(9i3ZQHLK6jl4 zTMG(Nt)t6o4uVrymkTH%Bc?djYIa-#w7YU>!5j)+2SB(wVuI5l$w*}SqynixDv%1K z0;xbMkP4&%slb&K$bT=pnDD2IWy(aUz&ELY(Dh+c!r$NN3d9D`AlGH~Z^a7ocYN5! zF%u4M$pB4LLw%jzx({ac2&u!mTtErwnyH~U`Kky|%ubM5;qc0RFe4Yj4@tQA0uy`> zB>s4GY5zOUb(wkl(F*cM=Z@_aBNA3#pCseH;(N;g(F19>~Ej9ac_;9 z6G{bAfm9$Bcqa<1Z~nK_jZ7>>r*ZQ}J zB>s2|(GGHr&)W|OxaZW5C*73-OzaJyp(q4eN$wJPinL zniv|h8;C=#r*Kl!a?&z2aL&9neT2-LPKA@Fb-94z)iqN?aq?9WpqQN?v%+DkM>(l% zoHWhI1&2eDX4GVm&yC-deVka$r&-*EF`Z@hx$$e-)ZVVySmsUzQh`(;6&O%}mFP{G zOsqzyanD>QtV`5swfBf;_SVatcq;I&6v+GSS%!1wJ?XvNZv~|hD&B3XF1R+0y^%q# zb&4x|br;2rZB#~Z)5sFD8z_YPX+c^h22R-rD@F`?T$fw064EsbRh)cP1Sn=F$gFU9 z-98whVvl1IE{>YjA^5f{tuDBBZIEkx-sb0T3!-oHgy*rwpgmI@+*L za7e<%QCsU6bx*%kAQeajQh`(;6-WhAfm9$BNCi@XR3H^d1yDdZ95yBVeMwxqHpn%; z5B8S*TdH+;e3oq`0|gJaWPq;uI?-DpcH6sBPHG*T^h|IF>v91lWW*GwTFs72fOc07 zEto^W>i`H>M@(=!BpHd^d@Q%{{6ON5#}?N7ImngswyC0kx>AOKf{d8rbl3BCq|5Y4 z1yX@jAQeajQh`+9W);Zqfx6hxoGz9r6Qu&FKq`<5+=&80o$iKM znBsKT^LBmxj5M1*sld%Bkn{Fth9V0|1yX@;Kmnykf3Srh5Ft0 z0owNOca4`sA$Ds)IjK@|(zL&S=+xyFtWC}h{QPrkZVGNOJ1v+M4(IL-&KKBcs^t4b z{;-FBZ--y(@x>kwe1!#H>``5~*fxtFcx4NEq-Y&~eAdE_%K~d}JK#%; zvSPnSIvu&Tf|qoaAbN7@)fHFdGKnWQ!#i>@a z;}W3Vl|u{WQ1Chc!qpKIoDNAwBA@sO&krR2csvPKq`<5d6lBB{r`^ETU2Oq!(<%r-W`#rU-v%0P!o1~kJ_ziO5bS2c!5t`o zuKD}ibsB6fC`7f6E~_~RPGMaxpoEN=;#8~IaS71w%Ao~wD0m$J;p&J9PKP8Tk?E5P zqynixDv%1K0;xbMkP4&%S5hGVz3gJbpDvau6Qu&*qyj?MhfN88f2S)D8$g3xm)XA+ zE6CsRVH?LxIJhMPG))clb$aVQnAIbs4(oCOC8TSnhT`O_B0w=aL1u-+EBC>STnIlT z;o=KS@I8?DN_G=Iuu-$RDA%&)ZMN?d$Z$d0WDM6-WhAfmGm~D6qcy-%d9&u@s%g%^USBTi@zu(RX=g_SQ|F4ynLh~)7iWk?st%Dol#2q zc&BRF_=zhcxN9-Hg$m(r$pBrOvJXa9;Skp40!qk;DNepB0u-~aH|v7eEB9|LQV2gJ z;o=KS@I8?D<1s`#$TdE1Kjgec_-&r>Jk}VrXNrS6Z%+agv)|CXrO>k(e*^fYj6XX) z%f8Ga{>;RgW&HW&E85iFUfox&Z(l9llfd%i`PrY&d`%1#JGHlg{OOVkqynixDv%1K z0;#~u6v*}M%k=WSi+}k%SKrI}R*<8;^HE)J?b;yM_&!);XSrJp4(^W6vaMvG;Ng}G z&^3R*Fy6S6F5~L3IEHn(fR1u6j=m~!+8Sh8iaqMSoN`jxIO&<-aA=_y^$Zuz41yxNCl^KYGUot?`)KFiix6WHDR7ia&m~@y6=mPZ)rpDsvt0IKQ z>;#z=4!pM>+XrcykqZuoB+aPFAfFq*Df>9FnoqO13u8LV>~rJSw5h#av$4#b3Zw$5 zKq@exz`AH1@VtLCp-T%FC=S4~Z4A;gU#ED(S$c#U zzBq<;xqxo5y`PJtuZj>Gv)|A@*g&P#g{HdJ?plc7TJ1C=2&1U3SQDxg6PSq zS68fYL|Lz^jrXpa9alq+Ey$P})1>B!o}8lIqJWEBU++%@s|rnEUmqbK))9ErW*^!YQu?Zkoj&$0ZCGn|3mEkV{q~ zf1R|eV{zSG*@9M%_y=!`|1(%|IaC$`1^2G~C(=m>bqiLIuG#8FaXJ)SxN7DDL$?82 z-5dv2Af;gCNz9Iv*o@vDne&(mqynixDv%1K0;#}T6%YvG<;rfUGn8wP>q7gtR`RZe z-P+wip(nRwfUd<$=R)kZ3|CHS9h~$`a0u&i0VQO_6sKCvj!S@cR}L+hL&56+2v5~ei0;xbM@GU5i z|1a`lqkOtprc9IyqynixDv%1K0;#|>1q5by!$m=M+a+A++8|f%gEf^DP*=(jP>>N* zoOT0SceMq`O{*XTnH3JXe;a7H3G@ALa>_&2X~+Vy5{e5*J-e|pb*tMx~%3P zIE8h&fD$rdic_s-$0b0!D~A@$q2P4@gsUSaI31FVM5a$FkP4&%sX!`_3Zw$5Kq`<5 zTuFia_p*x#f4W$vOq2?IlL`o3A2ucY{hh8rYyb^%U1tAQtRR2Khix1);oz1G&@?sF z*XgbMU{;TiI;_hDl#s5O8j6#ziU7sz1ep~MuiOVSav}VXgo`gQ!S_Jok4KmGzvEn& znYSOUAb*73K5su6x3AM1=WPkcmULJ=UXOU=*#1*ELS)ST_IVrk)~Gq5R3H^d1yX@` zqQLs*e>>gC#8Pw`H*eIlY<;VrMc?I}*;_YxI-~;cNrAlIo@F#=-jniv>kQHQOS*$x z>C(&>lFaI<%p!(&=4)c0?lRyr_|%C|s=@mhm*et!ZLt%x)kKe#rn$Q$y#>Ta!UZ?aL7XN=VmC4aLbzcPX*qU0(rkZ%W%%TC%u>Zt)MhQ#k)<_ z1=psr{V>S2PH}~=?xMJ{jmija8d+j?1BGxuElA76z$yD+#fTw~>v9WLLb_(5ij%L3 z0LAPCnH3JN+Xo|5>~T!O#TS_1+pe^_;M%o8uJL)BpT8}LzReS!#~Oq7OmT4M?MZ-Q z_P5Vl_=UqE2^Z5+fm9$BNCi@XR3H^d1yX@jAQeajQh`(;6_}`iz|vlB|y6? zhZfAC;B^3mt0N{j9g>VhKJgKrA4vT1coG&r9puV+8%t=2fVxtKfP##e;&kuxcBIkt zNd;1YR3H^d1yX@j;5HS=?}575xSTGQDHEjvsX!`_3fzeTLY?l0i-PR7OSsUrL9W~f zYbq(Au9P95AS0$Y?FP1PI17-QRzV0dD;#qFHqdYrCjZ@GH&2)|@yr74nc{Ho^S0My z;;BF?kP4&%sX!|54J)AZ?yq*X6=rq8wQ0W^I>;p}(ILqKJXyhgczAy0>n{Z>NBrY2 zgZvL$^nWpoSs#%e3Kw7*2x0%MJ1)<;BtvY%1Grw7F=W=Bfw$?!a5 zd&J{o+*=&uA;QJDj9m*}{O+3mHq6zZHk-I_`n~L*)+ku*Lj7+00B!sCyT(hR5WBUY zoKz_}Y1-dEbn0>o)+Xl$e*U>NHwCwtofgarhjaG^=L_sJRq}lzf7nC6x5F>?_+pO- zzQTep_NXpgY@5Xoa*+-@Bstb`ys`y7QnZdgK5JpeWr4M~9q^?^S+UWVdvDC>2#@!nOl<7&vU1sPLgn$$eelT*}N6mXI2>s|CBxrUO+kk{*+^mSna zQQS*r*?P~MMc?I}*;_aHnH+epxuWS6bH6@yPP|3CW$@5KIOWw)|Mldsgu$a}CqoCh zWFHp-`qWy(aUKq`<5qynixDv%0HQ$S#LH(V5Cw_U=8t_^bKK3G#p0d=Je0RT(R3n(EYra0AVc3c9qyK-p39130sK)5<$g3}?%NM!n?0;xbMkP4&%sX!`_3Zw$5 zz?Brpe=ob3@TZGq%0#KaH>rTo^MjF5LoR|B&2%&?30^~(KQixk2SNx1j|6MPRO{&)=04swmp z+YdQ!5q_H|JdZU7?U~}>&fAj!#q2jUZz=R_#@_(GDdW#h&$2JGh(9xNW*L8e`HD8R zw^#R->)TgL_av}9d4Be%GhY(}#ZK*QAb+}~0ynO}|J`_fSzaoT3Zw$xpaQwRJ;$Jz zbC5(F?`3@}G}zwxs4lp6ZIEkxAFQ#n+${zNcgJVhRx(iVa7za0n!jHdZ`?_jadlW6 z!@68RN4XbAUzIp*4YDl79(7+%IjL-%^h|I#w9t!sh70Eh5`R3Ng&t2CutBcN%v-U7 zs-}m^48*}N8K7xusISvo=dBegq&^f(I?M%hfqDm1V{!CV5yE43g3JmB-dm6DgEY;^ z1&2eDX4GVm&yC-deVka$r&-*EF`Z@hx$$e-)ZVVySmsUzQh`(;6&O%pU9=8(UdTlz z=Fw@~GuH|05_MYbJ>r?Y^>Qbk3S3ozbMLq2{q`)wIrEx4h=t+T8*6-WhAfm9$BNCnQIfWUFsl-TXqRTo^lHpn%;57yXO?iPbX-J>~F zW}x740BC>6e4XMAXBlEOr^PX>%LR0ldvWwtiPP2~%Tnxd)qOBaFVqn0uxk(3t_^bK zylpBepsti5pdcfrIPC_uzR(27O{*XTnH3J#+`lD%S}JgF3gkZ6y^UDbn+l`?slY@9 z@_V2rio2&i?N4v^Y+YyHY<*c+Dv%1K0`Euxp_lf~M|HuqYlB?557tytKwT+AKtV=K zak}??Frf)oAO+dA$Azv9a^<{jDk-3@lp&xXBc?d*1~&I^M|4Y{R3H^d1yX@jAQiY5 z1(ZVl)z0Ccpu0W&I?g34*&)dSJXzX(czAy0>pzaK9Py9842nPeVxSW1w-3^?KdtnF zL$`%zE-g|pX@7~XdH4lWN6+*p^N0#QwdB}>$na!%p0V}v$G!C;pWs%Ca2l7f6X;2# z|5Se)=DN`DW&d=>SZKs;-|z70)qlThyd(;q+fXYfRZ32p_V*8sVIk5jSeu+1`1$A7 z+!Wkmc3Lni9M0VvoTFyBKT{>&C-R3q^m{w}VvjHOc;G86_+pRh!o{{({2&+UutSn# z9mgwM&?80b_~Ww{c3c)%d)onDT9g(0J<{pOwH3Uis|3-LQ?IUA%vtnZ-gESJ?H+iq zxuWS6bH6_IwFm7S6YuriFOf)~JmZP*gre#$^kE5uN7GJ*4syv#bV#xQyE=|nwxE?G z{=wVg{|r`K4wZ#K!M$t$X)g74Eo=pAmlOwE-7JoWf(xjb50Ip5wz>({rWCBi$LvUn z&FJlsIghD8Dv%1K0;xbMkP5t20f8W1uI!dNL%9aIF0_AZCGT3;t=$b2dU8t!=vusV zF2wFuwY82et2qcxVO=hugp8QtRIAx>3DEA!p#^g&cpU)Y>WB$Wha@ABPke;u2NHig zo`l6u2f1?I#u6GLpsti5pdcfrINkfa9ceUuQh`(;6-Wi%r~qq}o45Rbkrx}H)5S8q zEzw=f+qbot(WyWxkP6&{0{l{$mI~aP0yb}jn%xZ-1=($vaG`61T)7X{R8l})DMLU( zMoe+q4Q$=j79cmRf~@zs!t>m}4K&<@dCTW~5ZE7~b!jhnxB~^yHGiMGPJ^ulg{aoi zWi5yb3GJR5kR3H^d1yX@jAQeaj zQh`+9N($t^mt9Qw)5S7nqEz79Q^58zgsu;p68`>9S0FZk2DvV?e=AmyzvII;j+t<9 zO9p6~8tUux)_pLmM@Svk@s*_g0AAT2M}Emz=b$n1q6ZD`f~MNY~nb z3P*@{(<;c@=WW~@{AsB`Dv%1K0=K2Wdfz`=QR|}hYSy{`~%YQ#SL3B(pj#vxwon z^1L})Z?ZMR5HGC$=FM=wI1X^FGfK_->kg3{+h8NOYusT3uLFAsu)Q^8Q})5gEF2C6 zZ*{qV5;9_nldp;Z#q8_Ny5Qwc6Of(>4u>SYsAsrvejxG3<5{SGI>6T1 zSN#!M-x>sGx8!Fm{;981T(I~w$E%BDSeFavk;T8VIJ&Bwgov2^hUP7Wp3V3hz&B<5 z+38vKWft*gCeAG5&o5umruO#gzSf)AtK-iexZc&z&I_STVKve+ix}RSuZe-W%YaY1 zqynixDv%1K0;xbMa61a*`t}@SQO-dUalDK5t<5u`L7M4o-VFCU$hH2)q@;KEWa0G&OWkY+S$A!Aa9hLczh6G6WQ)Yo>FsYe7CYepB{wVl|&;aTg}eEVIvzU(=@ccFo2zcPfwyqynkHfCB5Hb-?pN zE;2EXPUD`rPFRir_JV?pPvNXxyRrHC+k!~j zJmI;klY)$x;^b<65}=r!AhW_@t4BGhY@GB=aA+rqU6vWU)&WmiDsUeP$bFQVRi*-| zKq_$e3Y5F=ARG7=6_9VS>FhZbNCi@Xt0^F49^8}|DsqtPGW)kwT=!@Wl^G~_900au zhiuCJEt!QwSeFYZAtR5G#=10~Kp?X5@5czNAY9Qh`+gNhMN& zyH#MBz3zsK($j9cgbQ68j+G<+@s~mIhhGd-V*U0(TK1=vUU2BP@XVz} z3MTC@(KQdhVCv|Z{$w6e!KaoSTM!wZ49_#RUj9yRTM~uG@A5H&@FOl`7y1~{IO=c1 zTo?Mi?4PLnb&Q2>-1Zx7uF${VHC_@0Cw~;y@c75yKXhKIZowK8K0!YJ+?tz$XUxuQ zFe@Bp^rjH+rd-|W*wU@pA3jqh-zV~iJ@k7!{9=zU_IThcEcjxN>cYjgS^OXu>99kR zV;#pUThJp#>-gid7Is_~SbN(6Us{wE`#sX>$h8%`q^ktclT)v*SmTJYURN9MT{Szd zh8$avF*T-1%@aL2HGA8VH0pSK)kO5KyuRK=Ul~0iOeSz{l)61R`%msAvuwR*&Z6(~ z&g`w5{7eqq{I6(w#oVt?{jEtaoS?vHd zjJL)A8Ls#o*h8S;-nIWUmwIl&+9k!oRyT{|q2K~)<^w~w0bAV!Yf}nVdo;#z=4l{aFi2cg8ba-IGp zN(!heWe6z9h$&9~><}O~t%BUpyrrD9R3H^d1yX@j;NcN@*c6=dF2;8hB&zaPFTHiJ@ut0*8#*5%mV`KT^SK<_ZfmHS{#A*<%Q4d*j_ zJixLlneTTWOep_hIS$UXC7^d0oWUbVg>D5*lmXz zh=X4;K-1LFIiJ5Z35C?Ilp&xXT{ATlCtno-a?>gZL1u-+_JLUCq_T0+v}SKgXytP2 zSfzOoTwMnYYCx6eIVg3;_k{TKiAo2=VO^ zG>3xM>*j5{H-$JJug8CODLAF20;xbMkP6(R0_&Uq?UXJPOVMfEyiw0G-i5DeGkd#c zUzs}#6aC;z-P!s@S>UB z4w1jVgKO6Yxz-t_@O5@R;>xv91d1aNNH9$Y!gX2sTyK@IZGK&zR4#49F=72i(&i26?awtmN#6DP| zl95UUKgPCeOh$JSD4~Z5WY>J47*aO~-!gB-cn)ccU0m4hhw$7j8K7xu=$v_L^$4lM zx?DgB>6)pbIQgmwP|Qw{S>eEY>#=>1rWv{5&`uJ&5PNpxbK^H5ndQymYoJdN!u#L^Ib3>k{>O%8Q-P?CoMr zWTI5y78J<)?O8@)<~`}X+;0V?5sEoi>=A5m3~9;U$RO7`#g&Zyp3Jt<(x{B!rjaFP zH&6(7O9p6~7<$|Ya=8?uS_daBD+US{RBNY{*0ajMnqk_gc5$~FoLLXcVEZ~%m> zJuBuQIJJ|+F2rKOVUPsZt_^aH&)fX`Z9(*Hp71=@7_?`KgFA0e0u-~qecr+^9NI}@ zmo*%Uv{c}Z6p%ZrHA_teQh`(;6-WhAfm9$BNCi@XRN(z8Ahb5PDKVtNAlLXl*jx5* zso34|S+A2I3aecr*Kl!a?-P$x6ekfCm6VJa5Yzuc)U=1 zogB-vJfs4tKq`<5qynixDsZ0)AOKf{d8r8Q+vcz1T(XiKk}SZJ<`gTZ#&>ii?U+BM>-w3wt|;*l^}X@>eUr%98uQm zYU91DX2;c#V+%5-#x$vUq9>U1Gc&e)}|D! z_GqvpB{r?MZD5rwewPLeH3~yoDsU?blv^nz>qrGsfmGm|P(bK1Uastx7(;>#a$RWu z)=J*BP}j`s5=rREEg7I|@zS{v>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6%I3cQ;7Y_ zwsd%4!l7Mhb-}f3gIqapn@S3(D`f~M$cQOU{_GGSH?4x)(7dIbv{WD!NCi@XRN&zu z|6kPi^`3Nm7f)4lJ52~D^HDafupE_7{>E9Y%fNda}G3;_ihF~w;&u=QVK1jtRR zAnUra@O=A+0Oh2zanduvp`9dlAvP@)NCi@XR3H^d1yX@jAQgC53b3}mdCPwVj+2{%hzU*JbAIM=L1S!9cNx zUot?`J{dY?-WHQkNbO1)0t(W#_MgHL;@z|g^7eV#?yV*fvbl8tq@@C>Kq`<5+^GWV zoB!=pFB41AY23U)&a(BbeinU~cV=(h)z4pq}bWd;f!2VmRZ2W;9qo3|&STUQPRxuJPWIcGEe2JlT8e|CD7 zeVIl4nTa#Y_{+#ww5h$ly02W{zFN8`f#u2bvp=2rniwc{YHtJi(E(MD|MGdRzL)i_AV+)Wqq^YQwLz}&eX!iWEr`DDm-0N;7_?`KgTFf{ zKr#DG?1L3*d=L&%rDfk9u1#YyMqG|m=B@Dg8rFe&!~U%_*$u?OFBza|YUrH(Ta!>o z?MfK}3eq*xT5<9<7a%vSf)Hd@IBdhCoK!YWn%3-139Vdi9Zm8IQrruS&yC-deVka$ zr&-*E0h?v^x$$e-)ZVVySmsUzQh`(;6&O%p{bg&w^Fl5%F^^8;p1Dp~m#EWf?-9@J zt(QCTRN!4HkoViO4Cl;y(tEkz3Q8kXyxUY=aBUjf4})Cm6j%5cW(uxC1^0yn3CQjx#f@&0YP zUH`A+T(UA9k}SZJrQL^z=U2Y|tNF?i|M<(G_`@#-DzSe1AT9gTN-sEcTX^QuA_bH7 zm*|>@Uods_On)+usNhpejxC4`Plo3iTQ7g7w=Idn<9GR(LHH4uu?u~SXdLyoVXh1P zUiMGa{W``%H*WilHdpB1?;0WGNnbbY;xzKP?k!E#o%J(=!L&v?eYWR|V>%vtnZ-kH61lb^|9 zeX~9j+ly)R#Symh_Jnuk>2MieMFQb(4N3Zw$5z_+1*(B^La5HB%?6dB~Y(EhEJ+*}I^J-HZPV8WqYX?4N1YlB=l zZ<|UAs4Ha%D9DH@PX6o=AUCao+|azGoU~LR6-WhAfmGn(A^%_G#b&|jVwo~gD)8+o zu&%Sey~eZS`&B^d?}zu>LstInDPZ%~Y&o`fKB^1;T^r=eeXypI0_sW`0tzx>iqpOC zg9%Nz0x8I@JuY-@kn8EZ<-3jrVmJ2qX&S|Mc#X4bfwYBi_OZ=`gP#_pXZi0A4~j-r z|iG1Q(jdF4G{_(|xdAlHE?#^8c9*SCk?M>YA8G%x)kKe#rn$ zQ$y$MgPDXvYFEk-P>`;f8j6#ziU7H36@(zO!h!edWBVXYYxbsu`ju_zHge%fyVB}{ zYt#N~=pfhgdHWG!H})<2x3I=K7%27#(t@>I7#8Pw`H*b)$Y<;VrMc?I} z*;_YxI-~;cNrAlIo@F#=-jm+N{r22V+}yuo%zPoqS>~uiQk-jNyEOAPF;L_gdXr^e z!;Zm@gMu)#g(t)P;>dI<_6RolHWzbHymmrtE`} zO*n*gxquQfVv3WmiU7sz1ep~Mn@-9}W#go0fv+T<( z;?GQ+S;k*RzM@U-?bUtp8oVjX_3iWFnE9G`p36Be&b7Rh3Zw#6f&Z(|@<}R?3Zw$5 zz>O%7>)Ue-L^%gZ#PKfHw>Hm&25BbVZK^J~c5RSr{f$ZCi+8HnXKGXi+O?S7LWOX* zWPq-{vwg6J9Euh;|0+O=B_+a$RQLiWRhLVfX4Z5C^|xfTpRTbLOo{ zD5Q3!3;_k{nyH~U`Kkzzn^r*xGAkT-Z#}jT(zIr8N~mAimaa+uT9D6;-;{lvSk0$d z+=YoV%lI2BSG1|UU9qoBoeHD^sX!_)qQJUn9dW&kk4#LX)3|4@6V@f_wAy>bGkfdh zPCONOR|@3)_AJ9W^Pcox?ze){2({ZGI&N?bX~|~AAlEv@)gpR3A8};_H;vGEU&TNn z+$|ZPX=13aQ(Q1HT`T4xc!hPjfD+O*3sszaRRkzzUnhORYulJoPAVHGEh`2J4(%kd z3$dh&J#_W>>cWMty`UiDQuu1uZft)3wjk0rPk8R?q#z@vIJugi1Sn=F$gFVK>QPQA z8z(&z9NI}@7h;!m%ac5$0;xbMkP4&%sX!|5S_R~_ff<(yqynixDv%1K0(Y!{Q2XGf z#88`qT$kCurQ*6rbEwQf!Q%k1EjwgW_HW579KyO>KnWQ!#mQGifMWJr*$1;dxPeNm z3r%&c-L(+Ex!6J>1 z2Wi=#R(ipq+rl%K7AcstzeLwO{DP^YXZn+QL2@S z48o7Nj9utsMB}Kx4Rc-S_p*PY?$Vsk#Mg zO!x%({Bvt=3Z5}Lv%#!zn9-X;yqj`Nlfu<49nZ1PRLS>={9zCM-VVRm4zBUG_&-Aw^uQhh1^2G~=h@mzi8v%FT-|lag{Il+Mse`DLSX1NV5^&b zHM=BgXpnYm0S|XD6r^kI-nJwP&#tmM_T3EWkP6(O0_6s4%92unR3H_2zX}Mg#mkl5 z5@Se(L9TV@LE+pbsq2_+sdHS_)*ynr7PDKZ5bl-?(6xB!T!`ITP)=$cob*g^2>N*oOT0S_sj*zO{*XTnH3JXe;a7H36uZsu$w2$ znRsS__DpfO_j%iEGVxR(6-WhAfm9$BNCi@XR3H^Nrvmx!W#?40$#rU?Hv*O$sUyos z1zxUz5EAdJ?6ym~?Cb*$a$RQsR;-|13w5WGT{bh};Fb)~G&OY2=WneZA$3@n3n(F7 zGc^<^Uljq0*$FZ$9JXyr<)pH4(ljF%9NI}@7h*BtFi3)H)BbDdAlGH)?MEx9YI>;5 zK(U8kGC~Ej9#mEPe#4Z$=mI|Z-sX!`l zrwXiZ{v91lWW*FFUljq0+1HzO!RwX#w-zacx0A#!Yxs*u$k(+O z6l7cqpReI2%!iz}h_uPU^H^iho+%El<|hG)*>7UrQsSA7zX5zx#-E*@WnX3ye`ey$ zGX6616>Vy7ukMR`!cEz_8ktg)Okp+BGK(1AnXid~y32r1x}*ZBKq`<5qynixDsVdr z$ z%l+F2MWi}{UB%2naB?+Qkjmw{;DHGT*F!;eBwT13Gi;FSGV@lfpj`{Q*MNaI_$320 zO%0thZ%slWwJT)^C`i{#4aLbWk| z-XosbTQ7IwsldBZAn&(l8P1var1x^a6_iG(-44-lgJVcbHY*0X)+w$Q(cAfmDHtH)OtE_Ce$1sRvZSG#s&^Yga_k+yllb5|z?88OAl)%+wtF*`wK zg~L{la#Gni>6zfrP7=EiyQEv5~FW}x740N9otvMKwwWEKu#T`r)6jF{r&t0F)#`>pJQ*&f_L zrPYO|y4LPmh~Hf7sdDaXxNQ(N{zZh~uEp#YDui$K6i#YdPI{K}_Sp#b1OpciuI367 zkJs?+1e&x|AQeajQh`(;6-WhcR)PE;sEZBF>0+5OQ7VuMqynkHohTsGIk+h?)Y2eV z?%y`m6i`>n5Kxd2Q=I(WCINEODhNSlg~PUAsGL+bPI@Ldw3Eax#A3o>kObGR4RYnY zZ7M0Cu9P95AS0$Y)tRwW)T z%FQ}cfm9$BxN8NJ>iyNuVF}Z1^w)7NS;-Db7U0S9=flJED_<8^D@Xj}FN5L_5g4e% z`t5_X>`yDb;LvU1nM;clOxjm{GHymBnpq; zB@sGcM=)6?j zf;A?5f_(nDH8%y%n4Q^RRyfS)O(EV*Ii^YB>Xwe@*k`Kb`$Yb*hkkE|U+nS49uItl z1z+q@UAWjbiy!179d<}^tmAlP3woqz9e;e*!j8)VYi~Q?ON+8%zehS9xwe9rbd?}_ za_ZF;YaCJ5>uTe@t7ga5kYfunrp7d>d7>w$W^Y@PMja9Ho35{S(Km6NHCWEdwkOm5 z=^4+sm&~&Do;i!Y%R95TZt^oZaPz;S=@oOoK6OsKMZ0D2qBVu=S8!diPv#MEdA}f; z`?hdVdmh9J5U2vO4zN4C#;x+@J#G25ZWaQh`(;6?nf2 z2(87-mE96!NQFVJb>~6h+$E{&m~E+ZT-DYfg1Z*8Tc{B3mJHCfct{OmJ!^iCu`rgu@^Su3a1C%6Z#VQb1iP zLqI`BOmVvRc{|c*`lJG>Kq`<5d$SMGx~l@w4{$`DYH5mTIY16%jZ1;|aSAOx8e4!M6DXt)WJ z|L(AxC(N06W`Xuhak%$++iNoMR3H^d1yX@jAQeajQh`(;6*#8?`R`@tRI|x-YN9s+ zmK&)f%SZ)Yu7D5{@2l*#OSy6enL50gBlPGAkUmZA#^&vT@QhBNrUnNn#gbG2sxC*k4Zh>Vj+2{%dH= zRh!#f%v<3*0EMfYFmKtv{n%tTQ0(EC4A8VshEAEc#q<$UZ#orDsvHrZcy+D)r*MRL zH?4v&P-cb0R*!O0N05`2wR=-SE0oW6Jte{;ByVrn$IQS(4G))biGjB~oA+;-I2q;L`Obx}!S4Du_ zveEY>#=>1rZsz0LjB6NbWQTtf_!fLrtIUyYCg^4E=-(R#(xC3qD}4XihX73 zR3H^d1yX?#1=dCDi0fs1WMUef#yxYLur5)j)!rkX*;_An;;F#9QXucQXBp0!_oVl7 zzZH~5sND|Haf4$>OExP8xz;JJ7SY@Jh$|zwX@ti6Dh3MSZpi>m6GMHS;)03kS}_m7 zE3C@}l#s4jsN&?SB0w?wI_V2u+s2e~QrS3ZSus#>XeWtXh$UU@p{vJN7cO+|1qB(G z!dJU?WApR31(CLS!gE(A1sO5L$<_QMKruT(W`)C6k8)DkIO&<-&`uJ&5WA#Xp5!4F zNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfpG-{*TGGRA=W{z%k1A$aowXiRA!*yaRAts z9kMC=w`3L$VO=hugp8Qt%R3H^d1yX@jAQeajZdQT( z9;k~A&FNy9GEpj!3Zw$5z?~={)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY(<%r- zW`)DHU#Of^HcombIJA?*F2rKOVUPsZt_^bKylpBepsti5pdcfrIMtc9OCmtKD~IW6 z4h63RAY2_W!Ks}jb|H3q{VdP(kP6(30_%PMUdqinQ-M?<6}W2!lc1 zE?LPANfzMA^5?_D^DAE$S1U*S<1d5a4-pus#QN=nwCqnSz2MMo;h9T|6inJ*qH7+0 z!PL<+{mDF{f=?|uwjeS*8J=fsz5Jctwj>IV-{oTl;YVD?F7z>?an#?2xi0j3**{VD z>lh2&xa~LET%muzYrG^1PW~vY;qi~Zf9Ska-GVhHe1d%bxivQh&zPOrU{*NH=uIKs zO*y7X;p&!-=h$beA((*6V8Hy{l%&)sSNgGN#5f zsd=I&r)Fe3baWFCWDvXX5J7vV!~mN1lO(&a^<{jDk-3@lp&xXBc?dr`@9`#G<{NmR3H^d1-=CZ^8ZC% zY?MzI%an;yfm9$BNCj?Q0lE1Kv%pl~sDLb&mZQ7jqTsvj5-xOYkSq7Wno0_&D`f~M z$cQOUyMe8H<^trVRS<&A3Wwak4K&<@$$xj)%@gKKJhMQ1ra0XDyzMoacq)(zqynix zDv%1K0;xbMkP4hrf&BNfbE?_oIyKP*Q-M_AjS2|y@xID#yQG_neZWDk%k1BZ6|`%i z?o_hNW+oimk^!2ghR*r?t<@u>4(oCOC8TSnhT`O_B0w=aL1u-+woR#=R5nhUX5@lH zJ4x(9EG8TVNpNl2e+?bvy3D-&Xa!YG50x1x_V7yvXxb-3r_9@8g$k(;1(ObQ0bQWp zq5Y?Dgz%XC?en%6`9PA`g#y!3fm9$BNCoaxf%VP*cB+?&rRX$n-l%8U`c^-SzRNqa zw{G%uNCn=L0(rkZ%V^HLC*}Rt8KQM_$w97kY32(_X7yBN5yLz4H8D_k8Soi$5xi)I zAujd^Hs*%=9pqYPl#(&tsaiIE;>rl_TFh>tLbzKpK-Z@1gOOD@gmt-q5;9_nldp;Z z#q8_Ny5RN7{acF^!rMt=7h*BtFi3)H*9N)9=k14_w}`&Y6Q0K!gZ4~uaOdqwfMWI= znzs~sHsfyq-<0uZr)SxhS;U{2IJ1nujC@6#+S{x9%JuE5rF#-so;*MM)0wY{fnulj zHjqDEQh`(;6-WhAfm9$Bc$osZzI~ZqzIX92pXchkSl`+_6Xc+oc(WEM>`9h@)`7|B zW>caL1=%$pD29C9u#8_(xHU}-J)|v!tZxm%bGKxGrm3NG=B?Euqz>zH0VSksriS9= zt0F)#J3(fJ0|Fk~2Wgs-3l8lhu?w+%TSvF=_~^ms#&60#PORqBEbhXX&NBPl_%&^6 zZ`W)rbEg8SKq`<53@EVvvNhm&As3mLN2hVmTqmqc)M>T%h-dcJ%bj>C@U9fd`|VkV zbLKtiz1(jFr4cILZK^J~HjV9vL9TU*D}4T*%(l_esEpvIktJp~PzZNR256cXdfW(d zxfG&W2PZ8n1_}-?R|qIb*Njtfs@3e02+;1zHVO(tkXhky0EDYOE9M|LwUfjy#8OA> zp{vJN7cO+|1qB(G!slza36r0{Er_(q!Sh&S(4Hv{uI48JirEP=D;&0Zl#|NFNzVj_ zc9Pg-nXzjf@T8>z_o0B?N10h=Dv%1K0^gJZe|}TlX0xe4Dv%1K0;xbM@Th?BV{lXA zF`{!Eiqrkh+d}zisX!`_3Zw$5Kq`<5+_3`rJx~`L zywk-pWujCd6-WhAfjdz^sMFnWQIOqs2^YFH$d&tGO(g}?l`;erWW*Gw-M}&!g{#8B zO{*ZcvVUu(2=%lptuDBBZICPHZBt1Bb)^ge1sO5L>8|JP`uZ7ZHhofo+fYF2eg8J< z$tqHT5d~z#DSc9b+f+a)%U|srK049u;MZ|3S;-Db7U0R!?!&|LD_=j|3l7~Dp1HJ0!KD2qy5`{*OdUPbpUfjF_|%eP3nIgl;d#c^%irm3 zOQP`jT|Q>q5Vm{S$S+j3J zht5mYEm&j1C&=fYTXR$JjMm z9{36izSyI>aItL`KgdNo?2zPG$MMP*^hnV<{`jng9hU{x-gdy37G=eLk90b6Z3Qps zDnaz*)T=AjIHIiA)y8{Q&5o-f#};HvjcHQzL{Co5-nJx-IwImXU0?5_Z{j#>u$+}` zPp13RGoEoTnPuxea~6GYR9scFW+k*A&&IEB47ehPcXu ztYq85MLG9l1&G&axZ1(NHMOw+8KR&E_7EtzckMsTrJh@`c1dxt)y?8~D7b){`M}U^ zz*aZG+LVIT9u0P+#HRH&FdH5#$YUyy3Zw$5Kq`<5qymuw0uV1(b_=P9V|RU!>q7gt zR@$zG-3r-2p?0@qfUd<$=R&N%TI;f!gWwd_>N*oN6_@Bm%U%vdtF-A;_$7H~_-c5fhx+Nn#gb(^7#{AQeajQh~1uS4I zr^)Pi^`3Nm7f({5nv4!HoiX%!^*Z&4vF6-WhAfm9$BNCi@XR3H`j z1{KJEFT2>FpDvau6Qu&*qyj?M?VXS6f@{;*PZ{L8%s!Y{LH>>pAHy>f4sOW+O;bbX ze2&rT5mJYBxquSVHB&=z@>LO_n4KWA!r_&lV>EIhyqzR=Ar=!3gCw{%jStlha$RQL zezb!85qkT){bbxz=4~+v#mIdrLqI{g*8WpCLVSA!&7t7+x_R5~O(BlQ3$@cyfm9$B zNCoa#f%VP*b~=!WrRX$n-l%8UxFSCe0GjV1ae;N6T zHnq1`_m%70S4;OKusnHw_NOyn69dIg?QI}`x}*X(uE77@czs!3Dv%1K0^gtlxxPKe zpqF!yL>%v8eQWbfXpm;&-KOe-Yu5(3#`nP*m3523!QJs$wv`MNJlv82x|aL54~j@t zv=m^ib{J~w_%o7&qo8_V3OKq`<5qyhs9tc%tG&kMQ8#5_8Ud*(V}U7}8_ zy+=H=w_fhVQ-OD-K;Cc9GMqE-N$=%;D=3XnyB(tA2FH+=Y*q|%ty5erqPO!AS4MEt z2#xnu3>3oMk^!0~hWa|i1ryV?VjhB5SeFYZAziaj#mQGifMWJ_(ign8jVa}%vT@R~ zVxZvAP7=EiOS;%YSC6kQTh3NkK*uXgRm=I3td3SI|5xH@8jQ#(oQLhSbXS)S)16}T4#*8Bdw zl$&*?0;xbMaMubb)%&ZR!xE<3=&$2kvXUK=EWnfH&xeQSSH3Q;R*v|`Uk1e=A}~;i z_1gz&*`HQ=!J*s2GnW=An6$q{*F5}!siSB5lX*l1pIUNkL1cI`JkQvA`8&OBNfaKx z%f}4DkGPCo=wn3VsJ{(!UFi3+f1>W!F&4UU+i$eFLjQi(cu5qT{83oL;~#(j(0Qr4 z1#3+B1o`}PYiEI_!|-SjX|o7W7EbI{x^qg&mg#*4}o&mlkEkevfoIa%}}K=_*0= z*SIv&AA;%VEOpR$$^F&Wh&EB>ojXEOYH(g)vqHp3jYp|S^ZBM5A z(=(oNFPUZQJ#!X)mv?4w-Q;I-;O2it(<|nFed?Tei+0Q4wbvBYr7QNyJO;UBCEFG* z%K86i?~RchIevf7e$qBwUHksY+DnPpB`KWUbjgXP+3H4d@VP=@=r&-hn{73lBx-1oc5ML<_hKkW z*V?_UNfe$WR+$5>% zn02YMU)9zig1Z*8Tc{B3mJHCfcWHfMG% zm_xy92MA|JOmJ!^iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0aEyd7yYeNur`AQeaj zz6J&I|3zNyDxa>FDHEjvsX!`_3LIVmIedj#U@EXzK+dPmqr2gv;G69dPIPUMEBC>g zN(!heWe6z9h$&8+3tRWh1;|aSAOx8ehTOjmG+c$r-#cvP33Dc%S)e^r9PWMI_L@vQ z6-WhAfm9$BNCi@XR3H^d1um&T{=V#zYBsq}P4pmOIY=E@Mk?@p1%!}zUuCmh(q(5K zaFFXd`?q2RZCa>1mF%*a34>cQK-1LFIiJ6^dW6(rT`r)6bj{RIoP1RTC}t?;zJQqm+#CPSttiC$5a(uEp#YDulZw19WZ5J{Vbr zA*{;7o-DSW<$t1$0!-XhW} z2hU@TL3^e+xSF2?C}uyzyrsko8-D}%P{yB~o@JkA5r1Uj%rgEm@(pcjZ!hkPd%~e? zS&dAoNv5zGX_-X~@66Z4K;31)CtXs3R3H^d1yX@jAQd={0=d4u#I-1wAc;8M#QN6e znb083#Jf$^1=p?(axFhGDSYmp%$JRyxUz0BZFWNi54U81uI2vigCbJBf?dVTL2z<4 zSCGo(y5NBcgX^In8xl@5jTtt`b)9)DR?wz}&1=9w9Q=|2nx=-%nYSjPklK|p1Qeue zriS9=t0F*dS_L7~rI{ zw5h$_va!sa3Zw$5Kq@exz_Mr^@Vt_XOw6OxxM!{tmL=-6+Iz$^d+X&+JQa9T3grFv zBA0XKJ?X97Zv~|hYO_PM-{2V1lFf=iu4Rg=MLh5`uJFW-ZB#}~)5sFt6Df|Zc2S*YUVt0F)#`!eYZUhBq`a!}bgXjw5(aA+rqU5F)J z?4gUt7Z*-+?HL6bm%>-Oc4PDNw*`^bdBSs7Cj}WX#mUwDBtS7cL1u+vtw%YiY#j7V zaA+rqU5GuWJ3q-G6-WhAfm9$BNCi@XR3H^d1yX@jAQeajQh{*=1lPe$i6PcOuE+bg zbr;2rea-$Y702ub3Lbu1ke*H1za^Q0{J~qSGzQ)t7XbW zsX!`_3Zw#eqJU7RyWyfBo9z-#bZw9;_raP<3aBe(2q?&iDNdUUTR$)?KyF$EIm-U6 zl_J#BuC%(~+O0%ZEA^j`*Ly42nPeVxSW1w-3^?KdtnF zL$`$|E-g|pX@7~XdH4lWN6++U^N0#Qwd7cX$nfa$JYnnQ@AS4NQF#0=KW7mBiObl8 zevW92`ui}~m3}V!C+dE=#zHr4`;9gi=-+P|FNuPae-)PS_@BSO>%3Imf;A?5gnay^ zH8%y%n4Q^RRv2dVrVwwYT;1td)8T=Aq)NU|twgEo1C@c0yq|=^jE%=E-FSc8nIF->Zo=*g+s+nS_NM@0Op^UGcIRU8)$mW#4&$#i>o!6WV^vuwF% z&Z6(~&g`w5{6Y@g{BLM_!`v@VofGe@-TC6R*A&&IEB47e2DxM*`^J__KiPBT*pC$; zUZ>$|2M5=9Ta4K)L<#fLf;8>>=2FisSet|^XsesEV_26D4BZB7brY;jDY%jL@d|TV zZ)=i99ad#pDsXoSl)I}q3r+=6fmGn06%dM#mn)lv)KH&;Tvyt^wURe2Y*xqy3O%_c z19UB3Iu~O7)moR;90aGZE*DTjMoe+?RS}?=oglNqFrznx*sp9&hX*DM?MkZ)u3a1C z%6Z#VQb1iPLqI`BOmXsOhXA>0734tkmU7Zkfm9$BNCi@Xhll)skyo1qr>kYkM5#b3 zkP4&%hgU!jUtt!Q3hWh-^QrUL-ub95=YZZY$d&tGO(Co1n+<2Si?LYa&v<)O;CtT( z6Pk#1*tCah*9N(A-ZqsKP*=(jP>>N*oHiG>zBmNPO{*Zee~SufsX!`_3Zw$5Kq`<5 zqynkHSExY#zU*oj{dBcVuSis05u2f@z-<)}(zka$stc}7V?Sk(>pJ^jVg>m-K75|j zOc>mf0h*?U&iVYU)gz=1>v91lq-&;z;^eC$KruT(W`$w>K&*05**IvLkqZv(B(V#z zm@o{I;M%kw3?1aU&b)nZ1yxOVl^H1Z@Jj}0+6P0Y%-dpx3aNJmU*Pu+?LUPRr&S7i z{k(1WR+9+X!uA2vQh`(;6-Wi{P=V#m|2UP)#8Pw`H*b)$Y=8}Wl=+w*?lFaI<%p!(&=4)c0?lR!hagj5A9%+V4T8@iDv91lWW*FFUljq0*_WGj z!Rv+lw-zacx0A#!OZc-$$k(-J6l7cqpReI6%)6Yoh_uSV^H^iho+%El<|hG)*$**q zDe=O_-vB<8@n@%J*{4~=ADK9_jK7S0Lz~*$i~Hi9a41_=BU5UUDXd0XW)Z_X^EEM0 zcNy?WmsB7XNCi@XR3H^d1&*UYu5T}KEy^WGB91q)zO{KKG)Ob?Zc}x^wQGZ1%TG)S zpSvgXW#cEVtXoW*-B7{9Eg7I|xqthhh*Ym&S21%CoLtQnq;k0~cwoZddML<-gcD6; zh7EFEXWohxv}s}U8ZZzCzhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q z+Xrb{vo|HwuWU`%B!4N$=f)3Z?V^XN40nd^jQi8`(J9`VfHdbtx%1>TebdB45L<(zp>dMo!^L1~2A>=5lY zIEJ)jvtp2Inc`{@y`7J^GJ=~%XuPjtpb+ks4A3+&)YmC4n3%2=^ANnkx?DgB>6(Qq zPQEGv6tgdrzTmZPOeqJIjf0jI0|ke6lGue<(#0OSczki;MAx2CkZ~z|wQDyvKYv>g zX`LrLcXd*b5mTI8%})XpvlC=i7}k1}gUZH1&jg2dlGugVbGq}B98!T)AQeajQh`(; z6-WhAfm9$BNCi@XR3H@?S3qzb+>{t%9pt*s{w)>PJ(^u*1_~ZKfNj|!o3ejPW?=~H zasee|#1toA6#Q0{J~qSGzQ)t7XbW zsX!`_3Zw#eqJU86;HJb-OM_gwf7?`3KwT+AKtV=Kaq@SY1jtRRAOx8ehIPMCIjC$L z^h|JQCy8B%#e`vy1lO(&a^<{jDk-3@lp&xXBc?djnYKwHK$|nW>1hrHuN@$q9WlYF zog{W4c76SvpXZPY+=~Lseg9s{%{o(oR3H_&YXy|*{ngHH3Da%#w{b36$aYE2z@z2Q zyN9P|zAUa5j`*Ly42nNQV4xD~w-3^?KdtnFL$`$|E-g|pX@7~XdH4lWN6++U^N0#Q zwd7cX$nfa$JYnnQ@AS4NQF#0=KW7mBiObl8evW92`ui}~m3}V!C+dE=#zHr4`;9gi z=-+P|FNuPae-)PS_@BSO>%3Imf;A?5gnay^H8%y%n4Q^RRv2dVrVwwY9Mhz5c1_1~ z>?2k3eIoy{hkk3rulD$4j|aZOf-m-{E}U$e#Se0k4%;Q!*Remd27RVzjXyqVVZ&vC zwYLrMsYO|_KO&v>Tx-GSbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh&ED1| zjXEOYSDjz(qOan(Xs}$AZA+%x!wVj9FPUY_J#!X)mv?4w-Q*W?;O2ir(;Mb~dFq^a zXYI}xuf3+IE?u!t<}t`63)#AGQI7ps0pfKUu6A&6jkm?03{lV>dk7TVyY~H)wU-jH zOHw$y>5>yov(=5_;B$q*&~3n0H`{79Nz~9F?b-q!?!{1$uC;qxlPEl!%IdZ6W=Mxr z;D8F01J;x!r2?ryD)4p{5L%0uE1M<8kP3rb%g%$sxk*yjG3!!izpAZ41a~cFw@@M6 zEg7I|@zS{vySAVl)H*omncxuCu3a1C%6Z#VQb1iPLqI`BOmVvRc{|c*`lJG>Kq`<5d<_cZ|BJlZRX$xUQzl9U zQh`(;6*#;Ca`+0fz*JzbfSgaAM|ZMINbZZ?KPQrDv%1K0;xbMkP4&%sX!`_ z3S3fw{C(La)ogN|n&?5ma*#T*j8x$H3J4+bzRG61q|44e;2_s^_HV@s+O$x2D%oW- z69%_rfTpRTb3T7-^$4lMx?DgB>6)pbIQgmwP|Qw{Sz%bWDV2lD#zE7JTySV7iCu`r zgkg{b*QWho=pfg1=IwhcsA{^a%s{b+Uot?`J{US>-WDrVNWCkVbeIe18R`w~KZPTN z$Lz14x5dZ@lEf|)n3f8p0;xbMaHk3^Z~n)rUM7~J)3|w~o@L8h{Ve(}@66u1$APh}P{yfa@D19g`HpN@;1@$*PCT;gJn zU}J8$-$AZrMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{LLs*v!C?O-JIQgmwP|UvE ztP5T*+`qL*A-tU=c3HxoMMA!=J)n5Kxd2Q=IBd+awX7&6(Zw zG>3xM4iL_cnBde-61xzamI|Z-sX!`_3Zw$5KvMw)^;bK)B}|hD@V9X;!QX6`L^%6s z`Sb4K>6tGHf%}v2GW@k2-6^0qTDa4y8xBE;L9@s~! z^b^yJj5E7mxotmoCP_okW+S3{09$e0?_q~?j9oSMC@Ng8!T z#IHKP+(lo-anWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx-nz*zoy=p9OBOPJoy4oMu5He)n=@io3rDt-~wvq14Fj~Tipa}QwmmlG}w_6o7UTUVU;X?mj(M znBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf^DPl08f{q;4T9pA44 zQhz?Y-yX8^uTKG+w`S+Dz4K9B@bB6nSMGx~l@w4{$`DYH5mTJ*eIHC{!WBqCHtlhu zYlB=lZ<|UAs4Ha%D9DH@PMZr`e;Xq}ZdwId)|G|l>n{S7gUZH1&jg2dlGugVv{WD! zNCi@XR3H^d1yX@j;7uvO+WPR8zc0JmB|2R#Qzl9UzDfmzt_L?Ix&pDEGRSqEeK4_t z>K@ImG6Qk&O9p6~8an6mw^pc-dROoT{(G0Hp>X@E2#}jrK?pJ{3@_XVYxbrP`<1Qf z@W6zjU1@c}wP`;XI>>dMdHdc9ighqh?BSOT(6kSRPMNpGBotD+QigznbgliTaD;d_ zt%AIM-nM(INrY@}9RO*mKq`<5qyl%U!1CsQoa$v_DLRdtH^^DGyw%U5@AA&up?)MloUr2J1IqHxU=iJ3k&3sJ^6nUB6Y~BjVXogE% z>=A6t4fi|9wah3bBQGD}i5uIfjF_&)>=r77`)NUXHf0}-q{0x^+>%lOO4H?*m}y|}Mj-@aJ7M}g(h z^NT;7`I;Cgc4}_}`O_s8IJg4;Ea zb-}f3gIweLV7Y%=5PjV*<$0_zXwMV}e|J!TV)g^=gAG(#U1+LlOvZNCLj3AtPnBa| z!+N1!v41N~b^~#!^%M?jS`J#K2F}^PHGPE4t4@W3$91`Y;?*_NT5<9<7oeD(AhW`- z)}tI$HV&F*}tuDBBZIEkx-sb0T3!<;{gy*rwpgmI@+(#XeWtXh)qicQh`(;6-WhAfm9$BNCi@XR3H^d1yX@j;3WzOL4%tTL;QnW z@6n(A7+ zYaxDhv8T$huVLM=WQrI3)-%e%q9IS)7PDKN5WCh>I9QYLylXjcpIpJNV&)(?xtc3T ze7#UREfq)wQh`(;6-WhAf%{h=zX$4S*YI?;OqnPZNCi@XRNzh&5b7M&4393)6SiLdPH$@xg~#vma|Yp`xQtEc=ZMCr zzYlX=>F2V4qVAV#EOg_x-)M7z{{5!$k|;R&S78Z{|M~m7&P&xTSYyIR$j4t=b5rn) z*_jPyg<(c-3h`#j?LJe52lkOF`96{V*h9ay;a7Wnvd05oVZj%BR2NRR&Ef~SNQdo` z?CaQ{S%W@Pw8kHww6Ni_z}nje_|&4T*dLKjd#<(MbGk|pJvsI2iZzZX>v^^7y{Try z)sSNiGN#5fsd=I&r)Fe9VzC-WHOl7-A)C-JJRYn!v{=FA$j zaK!)cw)m65ip#FD5Gc5J?fd3Z&n;NHq&V2>=IppDxPY4Zz|d{LRyV=gl!DbB4R)l& zruDX7SS5?!r2#{Y!jP5<97Tb0ltQwOR3H^d1-=Regf8Rd%4Uf%B*-AwmG*C~{qs?!vhnB zcBRz?*RBn6<-BbwDWI;DA)p{5ra1YtLx9}03UZ)%OF3z&Kq`<5qynkH!$bbR$g9nQ z)73I%qEz7PQ(#$Ve|?Q-$M>s%)SnOUw}-6!>r=qyt=V~O?|f7j{JS>DmHS{#B?Z)# zG6WQ4#1yA{-v<+#a0OD3O?#Z^+8|fX+oqBN>Pi^`3Nm7f)8@j~-^K`#n^r-Vb!FlC z`ilVNpt5n$Gr^&qBz7S-Efq)wQh`(;6-WhAfm9$BcvA|nwm!V&@5`=siB4C`^om5~ z6|otb3fxu!A^qT{M7L7*QwF)NvkxX#P~D^1Rc0U#e#rn$Q$y!`{?-Z=Qtt}Bz<=*D zH56`N6#;V7DhNSlh2e$!V9nkXV!yIA9Uho4v@5MHxHjzvLkGF8GjHEpL9q@7iaq?2 z0h;!~&?)n_n1n)VSIQ7jkgm1=6pj$@rd5#F&)aryHHnbTtpgw}6-WhAfmGm56*kPLn`o=6v+GSMXu(|d(xY@-(I?j!~Gs(<_k$K zGDjVf;+(tKshO{dfg&%^o1FJGYz%fB6oi>AJQ?m6N2W`$N3hAax|oCFwMnvzZLksC zwV2&Ph48hW!okyxLe7OYWgm=e!VuQw0!qk;DNepB0u-|oWL6kfos@&h#zD^nhjx=^|apTb$Yc4Ob|W^xT8xN9-Hg$m(rT7a&-v3YwEI(Fqy5TrCK z3^$v%B)_onH-Hai{MqSQ_GuRJM<&iJ<1ZuM(5CkG;=Xtd9?Eik`}A_md`&#fXF`KC6Yn-v7hJnG$hG{$r0~T% zRp$?!#+7x8X|o$Dc(^44bnT7pgEiz(v^c~*SfP@UN(DczZP%D#?j%q`chl3(`9LwG zZV)zJQMfft4Be$Ige-3j!gIG|fTpRTbLOqpBcu-NasefzYo>pbGw(@n<$fzDjZnaJfQ2 zLAqv~ic_s-lSF_vXV$BrAOx8eh8-ZB?O8Dg!Ks}jb|IEJVh>$BzPNCrYtJaixD-BL z!&R94{B1#`RSurV8iV#sad0(12~f;VkXd0^>roCW8wWiT9NI}@m-CEW>VPLL6}S%t z z6lBB{r~93^h4Rxu03d^hpJdp@7u;{xRywDpG+F1!Tl2eNusADxj3*uXc7H zo#=M(+c=jjWV zuJm)+KT-F~H5R&Y+i$eFK>vQzcu5qT{Hw5p$N&8OUFW6h7OXMhBjn>Rt+^?9#_Y@n zv%)Z=H-&gJwBAxbJYr*Gql^}X@>eUr%98uQuYS(*H&4#NX z#~NfzjcHQzL{Co5-qs|IIwIm%onP*vuj069uw0aFOQzey3m$PVnPtm8a~6GYR9I?amjky{4!xU9nH*F~}tg*}8C1j{R5x;&mFXc5rZwx5b|f zQP3TG2o&7A_I-1y=N7D8QXFh`b9USnTtLlyVCXhrtD9hLO2KN620Kz>(|Q}24WBE> zF%`Hk1iqpN%+mS}oClyEqQh`(;6-WhAfm9$BNChsXK>oh$Qc8AtPF;@! zIFtj_kR_x7FH=AWb2nTRWV2nuiKel+HOO_HeK4_tHZ5$nLk+~iFBza|YUrHL-`;f8j6#ziU7H36@(zO!mxfIRyn9_95k)jn-W^N+𝔚fd>^AR7`+H0=jN z2f3~@Z{J%%n-(rOZ$B9KlzCg4*VeK&GzM*2`%mErvF$5p4h655&D(Zw3UPeB)?d5e zm6i&m0;xbMaEA&kZ~n)rTqc&H)3|w~o@KlX-_mCGcFVppcPemo1@eA-5y@xXlk$G+ zTq1tPJe19RA<3*x%PeAeXTBx|>MjF59Tz#{=aFVMJ4F8e&N-kr400_qN}=oQe8iRY zYB}REyTO8sTQWe`e4XNgrOUWDoE^iuTtG*;&yKz-aoQSWS&BVgxDQ68FvKnRm95)u zOZc-$$k(-J6l7cqU%S$G@iZW~Ycacp3gK?a09|`y^Y$ck?8>1aNNH9W)`_GXRE;_4 znc&b)61xz4apP|QAIkW%)3fZ;EaHz`(^V@ftjoEvu0!HOUlKBQ3Lt z;hp)K7^u4p_@qlJkP4&%sX!`_3Zw$ZQ6Sg1m$(+?5+o7Fn^@o4JQEtEnRvIUy5QQi zL9XQ|CWWu=qPVe*%DTn0*$ovu{InoFdt>`x4LKAk4zv#@)Z<<_1=&m~PBe|lILLLK zc`H`XriIO`(?A^jk^!2ghR&I{CZUkpl`;erq-&;z;^eC$KyF$EA;_#S@ZP#_AEar` z-jqa^N>#4~&A zib1YrimOHRc0S_D2yPmo@xF?ILbzKpK-0ufU#GZWV!Bq$L+}dgasefzYZj_F`Kky| z%)U(eg4en+r5sc?4q8?W6dc+~Vi#gb7klX9@x_G`U3*4B#-;GpuHD%D{B1#`b)N9t z)k#4{OmT8GKM7FGPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@jAQeaj zQh`(;6-WhAfmC2z0l{@}Q(}m9kn1}8w^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV1(c8x zQ=EKN1Sn=d%08Iw!3|VeU1+Ln?XHFR)y1AF$G(Q^24UkjA_R9WX17owe66Q&P}6eI zvz)h2u3%3vaA9yYSCII64c|_nNlOJ%fm9$BNCi@XRN$}*MnBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugV z_4RXpor4ewfmGnG6;P`8S3A2UOt;bB#<^r6+a);zkCs309-f~0 zvbb6};(z`!DE<(Efl933K1j>{w9*R>-4>p>v`E3E{Uy5Q;TKFDJ=34fBP#gRl4A`b z!=uadgsqpq)7zRv;qkltoI&^}E@Kn=IifM@@55YI`nl|%sQcv_3*ETwH`-jFf4^zG zBnnRcRanB~fByci^HOyS)|l`S^6{6}+!Q=xc4mWFVVKdILcE!BOq0UdH672fk5tL` ziTuYN`mGJW+T)Ww9{36izSyI>aI$R{KgdNoY?owT$NtP3^qHbH{`jPY4VMMh-ZsFe z7G=f$h;-U>tp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGM6 zb$+>vzKY|b!E#ZyEtzf)FL=bgWR@-W%vtnZ-kH61lV8YzoBs_>Z)@bgfS$5>_RLi41*-N zc5RR==WSC-0d=Je0R;WS7lM7~GNp znx=-%`TVWbBcu-NasefzYo>s_CvW1H~SG$pB6JVCa;2TdYta^{!yjVJ@I&s5i9#6pj!cv%h}c z79$@>61z}fS}KqVqynkHohq=r`5&ixnOKTW1t)pleh1!N@8MVO=hugp8QtMjF5 z>5>Yh0;xbMkP4&%slag*$o1_du0^>7NyPCc*0(m#ga&CQ-fgNbxOQ!jYx#*u;dA$7 zzHI!&m3510vl}XSxFrL0E%$F96p`u`>?&psf|IMcf>bWo1rJOZTo2_Z+YJe>O=E^d zTuwpet?+G<>}F}>6-98<#L$@CKpgy%0h*?U&Y8C+p^(~@G6WQ)Yo>auf_Oi}_}uuR?ES=QKFs1SOq^L}pBulWP3`TLjb-js zAQeajQh@;lSeqWoWMVZsjeF)gVOgS1tG!1&v$tOE#8ZJcr9j?qFLF6&-jm+S{Z>#K zp?3RE3bNUh;6&5dei-ChrnthlNwTY>Q5nHagDhq@PzZNR256cXy59(LxfG&W2L~-H z1_}-?R|qIb*Njtfs?}_g2+-!ty8omg1eq0v9Uz?TSuqE}shuQtA(lE~4_!RIxNxFt z&nU>a6h2?WRhazzZ9$|}4xYyvgZ4~ua5X;(P|Qw{Sz%b~Q4T5_2R#!U+DT#;V$bQ$ zPjW~FQh`(;6-WhAfmGn73jF!fz>G@;Qh`(;6-WhAfjd?}sC{r#VyMkQuIudIQgPj* z*;Qts;IRYPmL0Mw`?q8khOjOdP(nsbaq?9WpqTwA`(Re&K&92i-22w zYq)L@zUKE1ZFWNikF}n{K~2j+&vM>Axq>~xz=grpTtPas0hhE?AQeajQh`(;6-Wi{ zT7mo?sHP*`t5unYP z-Sjkvg4YfZ&W@Pi)J_t+5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+v)aW28%Y?nkh z`)K*|?&0Z~FG09A?Jq;)4-pusg!}D-wCqnSz2MMo;fYI&6inJ*qH7+0!PL<+{nXMDV$x? z@f`a|m3*Jbf9#>(+VHDAKH1}eudv{YJ*o>Q+h*~DT%^NxN%nQ@஍O%%?Pg>Y; zSzzsL1AJ;xR_u>Rr#;tN@Ht&2h@PB!b;TM-l=ZyY_1;vo;cCdS1{qUhn$$eelT)*| zHA$n6i1=0Km%HezI4&A27iHU$>Gtq~N8C$h*>caEMc?I}*;_aHg&er~-_Z1ixnG_- zC*E1R^TlhgDXL3X?2~y6a>+urE?ksjKURQvorbF&99-jV@h3wRbjKb71^2Ff|77i@ zMC_6j&ThKoMAK|_qd53nAux0su+`1BnoSZlG)TL)fQNfA6r^kI-qs`v&!)0^?F|@e z6o#}^;3x`|qZE>LqynixD)3b(AaofoS2jzGAwdSYmYoNMbCaa5W7eh4epOq82<}?U zZlOZBTQWe`;-zyTc5Oj9sC97AGr=LO%LSB>5mTIMH5)Dg+ML<7U=9VZ9UzMnBsKr^LC`s^hpI$fm9$B_!<<*{}*|+t9-gz zrc9Iye0>VAI8RFj?n(jq{6pnk)ttqq0yb~W&ZE2GqQIN&5>9k&kSq7Wno0_&D`f~M z$cQOUn+sd_%mv6zt02q!bK!aJ-v%14!sPEAHuHoz6VEKro+%FZK5u(XCY}nU0;xbM zkP4&%sX!`_3fzqX{OEId%iot>?Gl}?mgyCV$}3_sG!?k50z&$DUuCmh(yf$zz(KC- z?B9wNv}vL4R4#8dIQS(4G))bi^Z8qoP)O}c83GE@HB&=z@>LNaH?4vYWL6l~ZA#^! zvT@L~W^YPp<#Ov-$%QAbhk|TKIMK8p3?1aU&b)nZ1#Mcm;Jp1{+*9UlX3Vbw`Fs2X$7Gr^&qBz7V8;>OFl`4sJ?BT5Qe_axFhGDY11I#f{DV+k!~zz7x+~ofKrm z6enME0gBlVv=25=X?3BgrZE}YT?_H6i#=72eGTh{dd2>&G}#Trq1ID4sA)N9nHo4} z|JL*oGOs!n4j$L#0*Y7HOl!r-*Ia;Nc7n_b!&;AWP}w+Wnvn|*?If`au@^T!H-0F4 zKe3t*v$zY_be7rY#&2m;d%I<0nL8Co1yX@jU_gQ8hphq6E4j$TJUWeg<~m_nqE4&5 zM?AB)Uhc$Gfj6Z<-fu5*IcMIJ-pc(}P#U4)-KOe-Ytz^p8RS}~xWZR=QQX)@Wdt{k zEHS%*Lb#t6q-A2@lzp&b#E{2zxdkgBU9(Wd$yY^yVs?Ve3d76x!3Y(5bdtm_#A3qG zuC%(~+OynS*7yNa2E;N)tqAo2A=?X*-N6-WhAfm9$BNCoa+f&3n* zt6js>)iPzGR3H^d1yX@KQ9!73a8qKar9rOTzip~1psti5pdcfrIQhFx0_3Ju5Q5AK z!@6In98@+AdL}rulf*8>V!|*;f@{|XxpLk%l@w4{$`DYH5mTJ%Oxq+8pv{@x^fZTp z*A5WQj+o%oP7=Eio0bZs0;xbMkP4&%sX$W!1@%`uyCqDM2=KRYF2Ubymqa-GX!-N* z;pv$#LAW;UFGJ%G5g4e1`|X3Y>`yDb;LvU1iA#$VOxjNfaKx%g-5vf8sJWp`Rlfqy9e3b)}!n{)xI@uCdUK+kT_X1^V}! z#!I5$aA4w_uG4A0Z!qY0XW+GiGNtm=%T@y(z?-DYyGf6&~0}s^t4b z{$mgQ)`nm0@yQ+!e1!#H>``4f**1$G(%K~d}8{kum zvSNQkI_B!o}8M!tw|bnM8vN; zzuZM%#c|PKxhUI~Ot*&@JmOw5%a(iQEc!0*%-*`mFXXViSzn0l*);m>h$95##5-Sw z^TlhgDXL5NvYpIhkV_Uaf1SjuvaW5;uA4J!(83Y_!`tFd1}iSR%0i&v-nH+WOFg$> z?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdo0#biI zyx$(O@~=+;o401?vAy$AUGVSPAXn~#HI)=lSIQ7jkP%ay?tLFjXu=gpK{oAiqHBX( zId7Xv3aBe(2q?&iDNdUUTYnoPKyF$ES=N<>=j$&5l!MB~LC*w-c9PhI*tAq26-WhA zfm9$BNCi@XR3H_&wgUP4va2b6x>}}JBr30n&Cpcfwh9R82R9|Um9n2Q$aS54FtLK_ z9?h;Y199+6256cZI_LAZR;ZAASMUY?dzY!9aQmtVkegOP2r?@SFWd)f_NEZ~m96RU zz=WY)X?4N1X+Ib`$aS50``!wQbuduu;g<~1v=4?(nYYCx6jHlVhJb=}t^KEPgm^ct zg1mm-wtK5dglujd0BNZ}Dv%1K0(Yvw^5%b>>SbanI*pq*$XT|$)z6~u^3Lq7n>-y- zfw!bU-fu5*HD}(F@_y@FA~q`yWiww$GON=vix}RSuZe-W%YaYEMb7wnq!})8u}82m zH{9=r77yCnm3ZOT3vS%o32%LSB>5mTIeRRkzzUvAa~ zuXRIJIjC$L^h|JQCy8AaX&zz^HTEwBk!Q%BQIPQ|oV9B=_Fc|fL|W$w&t07qWW*FF zSM!qq#q0!`6^6AQ<)E^0&@;iIog{W4_Tt9h06vuQXQyY`r&+`wxu&y>zl?lCo7&rp z`{FftC|gz|Q)-eatVUX95yLz4H8D_k8SqJ$R3H^d1yX@jAQeajj-x=XZ!d8z$|Xo5 zjyJKswRt8qNHg(nQ+2_$YlB?NPfQA5-9>R@80!A>l;Rn2dv5*O|9s1#Mc`ygCiU!7mx0X=>=4d2131sa+{U zKtZ}@YA8;=DgxxDRS<&A3Ip%0`}RSa*6d9Q^($M`HOXHJ^11Ot+53sre3->um^ib{ zJ~w_#o7&qg8_V3OKq`<5qyhs9EQ{6w&nvme#5_8Ud*(V}S)xv>y+=H=w_fhVQ-L?7 zK;CaJaye(-litexR!|zDHakT74UQo#*{m4kTBf*KL~rLKu8iQO5gPBS7$}6hB?B}~ z4E1%23nr#(#XJPBur3!+Lb_(5ij%L30LARfq%U}_8&k?bW#gb_#X!NKog{W4mUOX) zE*@W8IMKCd6l7cqU+vnB&ClN!L|W$w&t07qWW*FFSM!qq#q0!`6^6AQ<)E^0&@;iI zog{W4_MGngB!^TW6-WhAfm9$BNCi@XR3H^d1yX@jAQeaj#uX4;2R9{#SO>YTvwus) zb&qCOnSp}G4q#h$$foSyl35tSx?DgB88OAlS4Dtg_M_~B*&f_LrPYO|y4LPmh+kdo zsdDUVxNZ1vrWQ7VuMqynkHohTsGIk+h?)Y2eV?%y`m6i`>n5Kxd2 zQ=I(WCINEODhNSlg<;(`yDb;LvU1 ziA#$VOxjNfaKx%g-5vf8sJWp`Rlf zqy9e3b)}!n{)xI@uCdUK+kT_X1^V}!#!I5$aA4w_uG4A0Z!qY0XW+ zGiGNtm=%T@y(z?-DaSM^oL$rL9Q#O>e4ogF?4jS<@T)yO+2et)u;7b5stYIEX7Phu zq{DVe_I2#ftU;eCTH}vTTG((|VC`)Kd}>iv?2kyNJ=a?BIb9`)o}7Ah#TrMH^}O2k z-c+;UYRIt$8B=4L)I8CXQ?s`F{HvE*Ru1V zaBh;+bV!|*;f@{|XxpLk%l@w4{$`DYH5mTJ*ecp~Vnm(yO zDv%1K0$+my`TruXc9l<8%an;yfm9$BNCghBfE>QUEHD+=DzAb($WNi~~XrzV-tmo&8&}f;KJGol17u%!I)$8K7xu=$y~rT0KJQur3!+Lb_&ZC{DgA0u-|oWL6l~ zZA#^!vT@KfBNrUnNn#gbF<}@a!L?~W7&^#xoq7A-3aXm!Dl<^*;g<~1v=4?(nYYCX z6;kgCCLQJidWL#K`%mEr;W7K`=WQ|afh4gD1*W9}sX!`_3f!pz%bWjks+WnS=rnHL zsAt*oRzHir%R95TZt`?U1>TYZdB45L)tq@x%KNQziI&YJ2f5LynJ*-n)l->84DZa> z#6aC;z-P!s@S+(maj{3RF*n@rAlEXZl#KCC)p_G5u8iQW#q1U;gu5jJbZyE$7+HlO ztjh(IkP%ayd{qP}W?ydB1+N$G-&&*)-cAy`5Q_=JAPKHr8{`_Fx9@V^BKkT{cphsE z+B3z$owp|eirEh|Zz=R*#@_%wl<{Y$XW6G=YBvy8utd_$Ys+l%|k_3ewLdlXn6 zJ-_(VnXid~VyE^tkUw2gfm9$BNCi@XR3H_2o&vePeV$&vckxeO=IonT-`YGAO5S(1i6{K>xE)Nd{ zr)QCz16l)<(aokr?FzDUK2Qw#vSAs&qHt@P7`jVa2wC15gy(L_08LXv=geEHM@Svk zIFxC_^Gmf7dV zZ)sC|yJcgUI~7O;Qh`)pK!N3ltpU$xxX3enpSr##_9@rXV|{w!V#hPr3Cj}IuXSEa zHQ&tMYLa}C3VaO;Ou65_MmTv*a3@wf_{-s$_oU|i_T?oHM_#HoAtPk*Zc}x^wQ1~) z400_~T;cQgWY&$AMr8yyjVv*{fkL=jGC|u`M1VGD)~lc(1eq0v9Uz?TSuqE}shuQtA(lE~4_!RIxNxFt&nU>a6h2?WRhazz zZ9$|}4xYyvgZ4~ua5X;(P|Qw{Sz%b~Q4T5_2R#!U+DT%U^Nd~Ufaka-$1UxxRi^jQ zklaI&Sz{`Y3Zw$5Kq`<5qynixDv%1K0&iXcp~JyVi6JotxyJWzCEi&cDyZ(!>?*Tx zfno=+EjwgW_HW573}Ia^poEN=;^eBL0u-|^`%eY0o9%;r&Mnl?uC%(~+OUFvLSODikb-PBkvP${L9W~fYbq(Au9P95AS0$YZ7yux zAr~Mwt%4k4AB;jC9)zJ?X?4N1YlB=lZ<|UAs4Ha%D9DH@PIoO3g{g*d#=@A?d(1}(QWHD^BClkg>0AP3_M!ey?c0i=F5jV z7LNFzzYK~$L|~v2>z54DvOlf#fUhR5ss@ZTg=e4VH_tZOL?dc)=s?C9`a~XU?MU^3Lq7oBTo!-2887dc)i=Pn{F*tljzIwbvBY zr7QNyJO;UBAzK$N%CQ$K4+6yNG+gcA;F?<4PlhPyjy(hl?p^!7xzuwD)-EXywz@ex z?g}oTWs9NQq7Btvee&=g%<}NCi@XR3H^d1yX@n0Rf7aE1QK> z%<>2s;#z= zh8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0s~`uOx0G{ilVg|n zma5aY)J*o03Zw$hS0MjiVj+62Dx${tf{1cx>AOKf{d8rbnp9MLKCh)3bJXB6I~nR%6Z#VQb1iPLqI`BOmW&= z*!tTT0dmtSNbcXFLRu=23Zw$5z@Ze7Lsj#pi_tgTT{f2rqyk+9^7mz3i7w@Ex)f3J zqynjcr+{#>z4K9BaBUjL%7AsUpy({EFPh;J7kdO7bHn`(axF7T$r$fcvGJ4N zhh7kA-7n>NtTAZM6bDyx6`+`Xxmg#y)_RnK%Em#@1c!E#*o9b37zRmj?b;yM_`H1= zzoNRu;7~Q)Rc4^zu>;n9QoyE7*}o;DFobovfD$rdij%9#Nq}PZ1I=3sy_oSgfDdK- z+38vKX%_KECeAG5FC*X3ruO#YzH)v0V(A_QmPgMo{&ePRVxZWmy$$3~msB7XNCi@X zR3H^d1)irsu5X{Gm+xKt)0a8>Cf2t$&jdMWCf;qTF1U7WkZXJ&tWjCF7#!RkpY!&k zfr5uyGCOt?Sl#RxED?z9?JHj=4d2131sa+{UKtZ}@YA8;=DgxxDRS<&A3Ip%0`}RSa*6d9Q^($M` zHOVW8_cM&ojUUS1Ppsy{EbhX@nPv95@mt!|-fr1g=1v7tfm9$B7*JsOVQawiN-i=n zk51#BxlUM?sDAD9TB`YG_EwYRlT_eqP$2KO7rDwa?@7)3?M13@lU%AdAq`~lZc}x^ zwP|cW400_~T;c2Ne8iOz+%!UCb_0cQw`72(iJ|+AAeT!as&#PCvSOg%;Btk4f^^L| z6{lLwCW!!T&aC@S3PO-sVb}q}*`5`15S-dcVi#hmBlgh6D)gB-cIw^f_I zt#-1TR3H^d1yX@)De&jD5@nuLAQeajQh`(;6*!OrLM?-v5<_JVa*glb=HE{!2*2(- z@jTWTv}cM#-MMv91lWW*FFUljq0*^e@BsWL4UNCi@XR3H^d1yX^#RUp3y>S~wn zbhS*cP4qurOE5oP=BLOEpB2y)A{9slW-1_%w|73O3$9%o!Wv ztFCTAf0;-8Ap!#x3cqBKmi=j^7aY1RJaK7}f=T;Jbj`yrm^ylgvbB<{axp!>K3dq;UnbZFRi&Lc*g9^2D8F2qc??kGv(?}jB7ePu#Z&9_lf++ z9{Q~fzuM!IJs$W93%=N+x^S{>7C*>EI&7C@U&sE;8uXc>HU9Xdg$?h8$~da{<*9SxowYk(y!M)+ zx^%@pna3cPEM(t22+PF(rW|{*Vh(1!PQ%p>4z8(%8T*5R?$|@1;NG?Gn@c^nVC|CP zV5^(65BrO%VHwDVQ6`b{^0;xbM zaMub5)yK<~%@Sj%(Lt^&?cZ9-n-(@JWCMks+>!yh7B8I(vHohU%W4jSQ&^V^C?O-J zIQgmwP|Qw{Sz(ybn?meYwx+`a6NYxB)dknC4RYnYZ7M0Cu9P95AS0$Y`LjcS+_VaE zpm|F<$2K{3d2gvYeM`+`FR4H(@O%aG|3yAuvlm93UKp2QsX!`_3Zw#WPyxA?Z`Hw<#+K3G%8s`+Na`2rsgu&hevd*25Wni!mGxOQ!j zE9Y%fNda}G3;_ihF~#ZL=j}+N>5~ei0;xbMa2W+kpJu?NPx3Mkd0ALSJzoKRen>{7 z0;#}TQXqd{cD1W{x>}}JBr30n&Cpcfwh9R8-3=E7*=(0^qG@by4RT#)A55&EO$(dt zPy=!BO9p6~8an6mwxt1BFWNhzzz=tya?DQ=AG>iBn6K9t3myvI1Q+s=HU)&H6 zWx2k6dO2pkCZ6VUj*D|HPo)B>Kvm!lzIwXWnom-JR3H`jN)*WT?IkXBxdchX@fOy% z|7G(`Xpm;&-KOe-Yu5(3#`nP*m3523!QJsW@2MFmc(^44bnT7pgEiz(v^dZ{m{5;< z;S^+Z(K*pHCgUL2b>^*DL7NsfuTBGT@Jj}0ni@K1-kO9$YFEk-P>`;f8j6#ziU7H3 z6@(zO!oYj$zI~9UHG5M+{mRyKP4bt5d~WHi^mriPIT=V1sRvZ zSG#s&^Yga_k=A*_b5|z?88OAl)%+wtF*`wKg<-8nIjC$L^h|JQCy8B%J*PWA$srX; z1yX@jAQeajQh`(;6-WhAfm9$BNCi@XaRmg|!A*%F)ot5kfhH{#NCi@XR3H^d1yX^-Dv;j; zb+t=#x>}}8lnSH*sX!`lCkhC44sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-s zVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S z@Y(^w*%1?*+DT#;V%OKt`FRehz`ZE2-1qOL+^jPdNCi@XyH-G{-e2wPmN4B$e;enL zg>0AP3_M!?ynA?h=F8%0;fVkF%b@r}1O_Uxe)}LT`_oDV} zYbcYvkS^OXu>9AdreI5HVYtUzk z*7)O-7B*ZKSbN(5pIVd^`y9)a-3d(x@XMe%1NqF8V5tiw4U@*|uc5J-px%_mWw*+%spHF@!D&O>e3baWFCWDvXHF{7vo(T?NT`r)6jF{q7tJ!b~(B{mp1#>8P?EvBI zhzU;ZB(V#zm@o{I;M%o8uAH|`B?Z)#G6WQ4#1yA{pSL58rcWx63Zw$5z}KKa{=dkp zUFFl&GG(GvAQeajQh~!OAcwCo3rq#}3ds4?d2}~i6nwK?!ila8a^*f)Q%M1Jr3?WD z88O9ab7AYAxd6Fo6@(zO!jSv7frhIv`Fn@WJYmknGYhn5io?Cn+g_82rvj-!Dv%1K z0;xbMkP4&%slX)_$lsS;Qq3mUsfi|-3Zw$BR6vN2_f4Fx~t4Uv4>wWK+`@LI%VD#D^y6mE0}bc3+Nf@ z4edXLBZSB7ub;QY$On?dE);0COrOsOIi>=sKq`<5+=&8*yL-z-;dB}|Z`8AFd8?mA z-{qazTQ_++qyleAfxO>d%<8GkB8GS7Yhs}8GT<}h zB6!gZm$=v?*q9sccaUqDQA);mr|P`%6IVuX*J5@H6~f(;0lGG2AB?QR5Z2`aO2~*Q zPQEGv6tgck>w?z{_irsy2yZ8eU5LemVUPsZt_^aH&)au7ZxMZ+Cp?ce2JM;R;Lh8V z0LAPFnzs~sG2?FlAIkW%)3fZ;EaHz$oLRV<@)x;(me_+kDg!r>CD%} zK(SMM8_1t7sX!`_3Zw$5Kq`<5JWqjK-#$+--@EvyFLU-ytZ!|e33AX(yxUY=aP8V4 z*Z4kIqq1%>IJi4L=WQhe1rN7mfUf2K?Smpx)wrveIS5X!<_c1|To*hrVQ@VZWJAJ< zrZE`@xvn#B#R}TAuz7VFh=X4;K-1LFIrG*e6jHlVhJb=}&D2nwd{qRo2R(p?lW^cXRiKhZ@N`buJUgUDlyeGYt`>mifLTz@4 z_8S~STC!O&$hAyywTRx%M_d`fO(QhkS20iscS{Cnni%Tq6cpoDbI zLKP=p6#a6u#QE8=IfM zEr_(v6P~*|DaeQ^POj!B0gBlPGAj&gJ<364>N*oc!G;0dmtS2tj6r zVcjoO4k{Z5Jrf+-Nn#gbF<}@a!L@6HTsd!>N(!heWe6z9h$&8Wrfrf4(B{l;dYVJQ zYX=BtM@(>PCy8B%U0*-v=Q*ST_oBdZ-@lh~v(8i?6-Wi{S^=ecf3>q)!gL$`ZJbLM zvR#rh@M!t-?&0Z~FN>>%BmUHf%}v2GW@k2-6^0qTDa4y8 z$22LNUDNR#`$(01pU8jgq2Jo@t35v1<}&zwcy<(=7EH~EDexcT4E z^oF@#o;oMqS-bPai`EonyMpVAeKL=T%liSz+}DMR+Vdb*fOwsTs~sF%<8ARLLlksZ zSqK!|yY~H)wU-jHOHw$y>5>yov(=5_;B$q*&~3n0H`{79Nz~9F?b-q!?!{1$uC;qx zlPEl!%IdZ6W=Mxr;D8F01J;x!r2?ryD)4p{5L%0uE1M<8kP3rb%g%$sxk*yjG3!!i zzpAZ41a~cFw@@M6Eg7I|@zS{vySAVl)H*omncxuCu3a1C%6Z#VQb1iPLqI`BOmVvRc{|c*`lJG>Kq`<5d<_cZ z|BJlZRX$xUQzl9UQh`(;6*#;Ca`+0fz*JzbfSgaAM|ZMINbZZ?KPQrDv%1K z0;xbMkP4&%sX!`_3S3fw{C(La)ogN|n&?5ma*#T*j8x$H3J4+bzRG61q|44e;2_s^ z_HV@s+O$x2D%oW-69%_rfTpRTb3T7-^$4lMx?DgB>6)pbIQgmwP|Qw{Sz%bWDV2lD z#zE7JTySV7iCu`rgkg{b*QWho=pfg1=IwhcsA{^a%s{b+Uot?`J{US>-WDrVNWCkV zbeIe18R`w~KZPTN$Lz14x5dZ@lEf|)n3f8p0;xbMaHk3^Z~n)rUM7~J)3|w~o@L8h z{Ve(}@66u1$APh}P{yfa@D19g`H zpN@;1@$*PCT;gJnU}J8$-$AZrMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{LLs*v! zC?O-JIQgmwP|UvEtP5T*+`qL*A-tU=c3HxoMMA!=J)JDHYA*A8Z&H=>pJsRte{N`o7aGWIQS(4G))biGjB~oA+;-I2q;L`Obx}! zS4Du_vBWn)0(|0p?+m+x+eKcK|VKrD0@G#nh&$M3lnFS+2_V@X;XW< zWn-B;6-WhAfmC2Xfo0J;;CUq%nV3hXanD>QEKAgBwfBfG)LZ^>f}YTczkLPretVH? ze4%@iTgw}{-#!T4A=GAvXurWRq$QgbgIvoLSBvQFe8iOz+%!VteH8=Ykg2xVETXx8%?B9}E7{a<-KnWQ!#mQGifMWJ#|Eb`0!+o&-vfM%q?MkZ)u3a1C z%6Z#VQb1iPLqI`BOmVvBc{|c%`lJG>Kq`<5qynixDsWr{@}rhlyEdn*WqNI*oD{EB zFym8!R3H@?R6y`^H(V5Cvt7c8t_^bKK3G#p0d=Je0Rj#Df$W5yt2id=+ z6k%vrT3vAM+8|fX+oqBN>Pi^`3Nm7f(_PQo<@GbtZ2F`EhfyHs?O`rO7Lp320$+gw zN{{|(XZO*Gt{lIObIC%sOL7JtE$!YtJU#Q}Lmdl8{Lfzo#UFk#P>J>12Wi=#R(ipq z+rkr<7AcstzeLwO{DP^YXZo{wLIzLeVFS?KbQRzb-!F=p&PgTMw<)t?>CK?M8V0w3QKtW&)?s5UaD@v8WTQ3KK|00 zn}TP|&TKF%3^RIDh&NNN?sTl_@W4J&CEq9VAA9JxHvDRjPxg4=D=he8kLtq7wpsij z7wNEFl6@WfGi%Uiiq`n!lNL5y7Fc`R0H0cv75gL7Y0tG5d`?#hq9>ijzM(1jtRRAP1Val#`YUqynixDv%01Jmi0YyV@)`T`f~4N(EAZ zR3H^NyaICg3bVjeV6T9jPo2m1&PR1Q2lR$PuG|M}3RyMZY&c)w;{ldc$$anoU_ujv za}C$74RYnYZ7M0Cu9P95AS0$Y-TS;9X*7LOfm9$BNCi@XR3H^d1yX@j;8F_Y@5?Tw zWS8gE^*De-IY13rLMrew1%xnn!$m6)pbIQgmwkegOP2r?@S>jz?$gUZH1)0(|0p_R+6V0! zA>l;RelT>9>pJuHy%n@+;ezw_gKXrN#=Gz>ZDwz`>??Dp0#{cc@3$9` zeC9nV@3+n+;%Cf5*~}M`%<8nvB8GS7Yhs}8GT_s3ku!cCX=bxSw-=&KT^twENh*yDx!U_=T- z+=5@(y6v`vKZ}HXU3*4B#-;GJD}5JF1A@C2vsU|*W&CC28`{*~UfdV2!9&@y8ktg) zOkp+BGK(1AnXid~y32r1x}*YcSb_h0UADa89<#MKsK9@}@q5P`lr&qKt-v?`Z@Jv6 z^m0rWYCG4rPldekJ*qsd=OxGgW%KM*>?cf`iFca>?%{q1xt1BFaMxWFH?~n3!Ci~l zEmR2i(}MKujqQUq|&icU43xQUF1~Zn$9x&-1sf+V!hdSb^gy=Hj=qh zftM)o^ZJhYlHiO<1&*YEzOa~O(fY#Z31KpUbEVYv$;JPjxlUM?s28t!lyGKm^{1B6 zV$v@axV8fSOD~r(@3$Axa^^kht=w<_umAP!H=~;p-HXEB2%wPlGVi=6fd+C zo~%IOVBKhGu;JD;3W?bb6vF+qAT1LE_ZvYjm%>x);Gkv2K*7P~3IPS_nsF*lwVF*5 z0ot5duY!UQWL6k(i$9Q5q}XYcKKB*~UEz3A$X>Dz3OwRd_NB{V>r zOM+Vsuram75LjvlOa6cXK?1}GL<|L9wZUItI|5fD8Y7Xo6iR3U1f`Z*PH}%iizQH` zup%)_g0v^n{Zx9mosZ1)6JKeD3Qu=`&0aHm{e;KKlTi_ZLp@2^Ww$eoba#Jmo3K#e zS`?6}&_7&D!BJ-@@K6En_+g>I4Jsfv*i*E0`wHmow-_B>l>%pepT$*a6~$hT0zxUB zixOQ`cXCaCqEI;VDvBHXob}r}yP<-IpBAKLL)LFeDr|~%xquSVV~Ufnxd4^f2{KD; z_^oK&K1j<1hkBB-3$eU!eC4tC3nEXKJ)$7vQ#gGM=Q?8CCPd0|*nU}KJX@wX_?in) znf)ThZKB459EY9QgaV;JC=dz^Rp4B|m+`U}779F7f!Bwb&QqgL5f|SBbqbXaIrb;Z z^z1}m?Eb}PZ=cN)1fjrb75L=;IQ+g|NE)x^1!3a!nHBi!zx^kbm5)yr%Ozc<)>lyk zj@iX_mE%Q{)q8hi9V{VItb-kvi;)6*_x|Yf6J!=hffqxH6HS{3Wx}(}6@PcADk-3@ zltn;6dQ5RzT-bcC5Fj_Lf)Heu*!Y(gq-BCbJxSSx*h3xvc-V_gC~zeT$YI=met61@CKe z%t2(B1xy^wfO62XMsEu)zsvg!@~IV3E@Khes%fV`)LL3_o#^+nUmMq0=*exr+3fB& z`;02{Qao3^CC>U+0i=E9tc6nhQRp%LJvJeXFjr#wE&pUhQHos#$PV?z0FA~by$^VuXn${--&G~ za9s-U;#!5HY0jdi2cguba-I@-T!N4)+-hK zaOtv6t{AsfH3ig_vIr7ZecD8AUfRL186$&kFTy?!@M4#KTz{uP>j85P2d z#f=$KDL2z<4SCI1cLhWqt|8ST0V~qV;_qd3eKth*8*&Y%vd?1-3k9xDfxrIW{)zMX z34d;VD7`EeB{)$v7G)o?z+v7B-y+H49kPd&kJXtRL~zx_&@#J$IQS(4R80*Xvkqnw z3aMQwi-3YO&D2nwd{qR`fiaq?20jl=i&>`bCnS?@WSIQ!wAWf@(6pj$@rd1H6G)rur zHg4;^Da7ULwOGH!H7pdkG6h&o;8SYCLV*{n!1UsOIsMDPQgj$MFVv%KdZ`~p-{jq@ zx4)R?Qq6<|9k%LaV2MF}c?#%eB@MaXK8I8`Yi&)W>r)$@8TmZEmHX}P-|Tj8H`4p? zJLXngoA5km%(n`Vmcvu=^whwfxRK9^j{KYT_U_;Ot1t7)$KR0IU*PEO7e|ImvPXq$ z8c~W@edXiJG8@57E3;du5bl-?(6k}zU}P0G#kyQT3F$G#$yY^y%Iwq4I^pFH6Ofh( z4)r8u7hVP zBZ6#XdX>cmIi*b4>Dq`>NCn~n=v zc^o^$aVT)z3S8U}kNNHKfVzeG?eA=y360TAd9^9K;M%lKu4zOmeD0de$AzDAWzAyh z?1l;+Zpi>mi}l-`B2vDBO~uSXaB?+Qkj&+}>~;yKN0A%?Y8ytFn@x#Y6=dgpAQ`gB zxE14BrA>D7z-~W;eYa$Qs;Qx4#;w&Oq%PLw0!m2JObx}!S4Duz>;#!5HoUg3+Xtzd zkqZv>BxM(3H#goleo^*zU^VYYaVM_nC_D3iCLG$^D9wU56bJ=Efly#01*TuNHe%a= zMmFFmQD_Nx_W6>8g+FtWF&))aA}z=;]i#?zfw0Ir5%#EB9MLX@p|T zC3_SWIJ>S>&%6oeqN#AXEuXIqxcL2#-kDZ3C$9c2%lJU+T`qG^vP z$hZ_fU&C3LH|1v(!A&c(Tc{B3mJHCeA>)>;!lqc43n(EyrZ~B(>;#@4|qawvLS#5$PO@oM*4sK>o<3bMH9oM>7n zSB%@Lk^<^VSp*cM#}ucp+G1Q3WNgJra*iT z)XA>c{$!aVQ7G^d75M#2Y&v?reg%XU>MI}F1=pr^a>Y7WRY?JLr7Qvp(qoF#^{#{6 zwR+O39)7{p(K7wUJW2)cYjVs%WNdwAp9tou?W47sBHCzZmtvkUiNFL`{^1B-MH;n+MJ-@>}^T5s~j$L%D?9LR{{U3 z{qp%va46R00!m2J?!K)REX0@D>C!B*8PS_UT$d6L?2an=K9L{op?p*CclP*Tj~!oO z!54dE7f#mA;ybxWhpm#V>sX(egYGG6+pmvWSa4Zj?ri~ls8MF@T}h`k*Ie)+T_z~) z>|1q}H7-%+^J>?7QO$y@BF7wLOqF4h^OSb>)!ybLl{!kq&pJQdMW4m7X|Qa{wj|T# z;f6=tOGepr&m2YH^tGx+Y>%eVM@L*jKn%RYRXAL{`kW%WG%wrUJUY2# zBJ<}-JS+1w@!+~RGY3r^5BrFsN1ww&PAQT7%LV>aZ0#u%^ET$M`mIp{D z*NN6|t*}K4dCok|1%=Apk^!1lo;oLD{n=WR)f@z;VqGqvg!Gu=aQVNtI3?u0RU1Xpa+3>*R`YTUAm(T`7xzg7lc;w79VOuQ3ATrd5zwzeR#l z@8>iVHg3rPRZ~O9y#Lnf5mFcHasefzX{LtaP#_ct1ww%l2JXSQN-|$d`@)KojQEFTm&zg;Swi% z6c*;X`|aeKMwF7Vyi#>o_$gOLaMQ}{7Al0hB?B~V$T}EVg-x+87f?caOmXs65uh^r zbhA!)J#qckB8BjJlClf2n6T+2!L@0fT>azrO^jPapT`ONWsN~wrZ~9cb}v9>_6r)f z6uO!58Ne51eC+fn`!I_5j)61E_+;cW+R)yf+*izRpDf*j!1Q2$^WBlpiH>52_STU< zTtb0RAQT7%LV-{q6nLBhF~5DBUcPtoPj7SfCg!&`&ICDVro7seU2tt$Cs+SESf#RN zF*vv@K8Ix`0|gJaWPql{`t42;DQnzR%p3$KS91l)T&@cqn6Pm@6l6idiK;OeJGo9X zZp8{(w6J(~8i<2mGCqraf~d#3Ora1t)plV{MuT!~TVw#rBL+~orLO_ zGW#^>6JGPelyZ>SIH*}NP;jUxDZ3C$y0V8(9v@ve(X>YtWLyeg?%Iuw_unQ&n#T$I zu1*TlV~Ufj`Cfp^>;#!5Hgi47L1yEiWr9OJN!f+iL%PFGY(jxhAQT7%LV-{q6bJ=E zflwe62n9lcP#_fOS3q#>T$Jcy?c_Sm`YjdbHJVjr1_~Z4fMwY(8?t^&W?@sT%LSB> z9#forRRpNaekto0!>&b5DJ6>p+G1Q3WNd|t3Z4Y)X6T*{$!aVQ78}! zgaVnBA_5Wra1YlO#6Pa1?e%xDUY;85&>GASq)EfNO-LP;cSlyPW2>Z z7h>n<&tX3{p}@5$Fx~gBrQE196bJ=EfvZ+PsotOMtfnx{M!)apl8J1U>Q@Bwo7ZEb7{o@I7MgIQuTqBn(jG2|E~iL-M$_OUyv zkq%oWS=X^XGY8#M)V5zAwXoo_z}(vc z_)w$F*t?QWYp%KAL%K{*+S#}2Dr;P#%;(jv_oA8wS4ECF$e1d_B7F@?zR5eXw`TH<9Ju+P(e#YDpPo7f-a)&= z#jDRLvP)C!y?JzU$wW3!T$E!!R)Bb(7H2y+xW>!kYeN)t#a;vw?oIpRXzry%tdb90o~|sW7+orKa!o4_3g;q8p2y5no%O6X2NB$~GP{Ke;cm$Q zO)F2G6R~p(%0aG!gO&*n#kyQT3F$G#DOa=L5}?JIRSV{j@LB=F*&Y*|>PgBj#A3px zlLXhMb#leHttu&?u9QVUL3&Jay7qC~(`fjF0--=C5DL5m1>*ljp6n{`PnIbXg#w{K zC=d!$e3BXJO*+4vTTZm?@7e(3U9<*FJ7rO$HtcgaV;JC=d#S0--=C5DJ6> zTPhHLFWXYhD%ZY>UI>^jq>d;f6nMM>LP&XCWwBh+WM>_)lj}6=w_*h?TF5Jvtg@L2 z8@FVDs;Qx4-hXTL2&s#8xquSVG*d%y@>LO_GCM(LiOsxBsT^cB4ytD4fk_{ro|-(In$|;Pb3-DQyN7K@5tvwN8PEzr{N+8{II1NE^)F) zVPUSj-%hS+L@61|D^-VupK@gcH?7QWp+dM@GCtN*c9t>0VSlz6enL50V=ak zH|vDg6W4DoQV6dnDZ5PJk0K#o(;iWfaVdPhhO;nlV%#FqEC>5#jX_(cIJlbc1*pt^ z5#yE;H#R;4_@a!DogQT$MiJjJa7G!QjC@8L+S`-+;+}9(HqAzc)FeY#jWmrShIiz1 zqNDEA;S(;QKqwFjgaV;JC=d!D^}2=g~fBgKpgy%0jj2kjv2Qmp^(~@vIrVdJdukG%%j7&N6r(bDeAD=Tf`%KYvm3+6u2n`;(oiy$nSkcKf4RN)I*2+h@GJ>l{Xn9@5Kq1^M8K7!nsIODGU}Bn<%tP=h*5v|9 zNYgA-aq?9WpfdY3=o4P^!jy85**K_KGEi`+Cn>uSOS-a$P97g!IMK966l7cqU+&tC zjrZRsM4HD5`>sw3(qoE~tNC7l%IpN0B{p+C%0Xu1pk;zXJxSSx*h9L*PHaMfP#_ct z1ww&PAQT7%LV-{q6bJ=Eflwe6=vP2+?Oc@TV(sKQ&H61B=QWyDWd;f!D}ZI$E*r9b zOJ-qHtjh(IkRDT}xnL5LW&oLU7Z{ z>=r77&-Ek@a#{{r7UTBl3bq6T7dEcu3R1pa-M15H!a{*iAQT7%LV-{q6u4Lgw*9X} z7u)6~6t=&FBBF*+AQT7%LV-(CKq#hjQKGBvPOezLt*R-Yu9QVUL3&Ja@>iP#$W5yt z1eql^^Ln9jkl8qBncz@QQg$I06E>YBxHhemE5>bANda}GECLGBV~SHAX^SKRv^cXG zp5~D7S^>h@9uu7ENy;w7&d;C2er!U4Yf)gj?_W!~QD-O+3WNezt$bHRsnnV__@Z`D=SxI~%Ht6lF!H4Cna9CMH{ zRfb8L?LE>-=;VeHO>2!Lljal1!I}8y;~l8D-Nwa}<4(cVutP_&0$xr#v7ZNO$X%W4)$)X+lOxdlAji=iM*tM@i1 zQFs=WK@CgM%flwe6cnJ!` z|BF1?Ro+ncYH#aJOWDrVUvKBdf3} z*5v|9NRKH_zA6G#W}j}>39l!v-&&*)UQbeXnZh4MLcXRwq9Ef^_M0@I|m!}CNgGBA%0;~qIrn5L-1YHtyb?5&kM@KE5) z3T*qK8gakfVC8TKV?^6C%yygnd^h1?e%x$<=%>KxKA<%o3Zq9_1jj zanLfsp`N7dLhK>kVJ9}BKqwFjgaV;JC=d#S0--=C5DJ6>p+G1Q3iK-=xOOf|bg_1F zoo4-(it`%Hsxkuwj}^eOY?lpLza_JPQEGvRA#@BbufG1`0I{JvkOf% zt=_c|KfBnja_nn3FA!G#B0_M}%Ip>@gwORP4su!!S{CE>=nA$30~a=~<_c22UJq_3 z(`^s`uuvcr2n9lcP#_ct1+GnjZQrtXZQY-=-u+3lMY2#J6bJ=Efh$lzsIhZVqN|-w zu2{dVswtqZltn;6dQ5ThSDOULO{*XTnI$&!dZBWV**IvK;80Ieb|DrMHk~B6Hm#E@ z#%)ze0d=J;0t(V&ic=nGizEWHII|j_=8*7O0m9iH6P)Tv$}Yst&!5A7Y(jx+QDD07 zUrV`BXDAQ~gaTKsfKt6b+gVLvnvH(n&m|MtD#-zOF#UP6J3jMqay4<3fBbAv`Aq}{ zDzSe1AT|5RN>4a6TR3v5k%CG6OLWb{FPJ)7rr(%Hso;H0jyZ@74=&FUTPuH~w>gQz z<9B(VLHJQFV-b2EQQ7Jb-CQU7z3kUg_tP~Nx^dgDv^hb)+1rwAS2%&K@7^vEwT& z_+pRj!pXW>d?y#_uvL=E9tc6nhQRp%LJvJ zeXFjr#wE&pUhR4>s#$PVh+O$rt7`Ig=1=N+Y2q;L8DNg?A5Fj_Lf?UwJrJS%(AQT7%LV-|V zw~PN5d9qQkKUteLqKcO$rbBhRUxzH ziv{Nm-X36CmCV<^4kk3wIo5D(S|?YG+p3ZR>PlGz6r{%#r)wX#J&lG>C=d#S0--=C z5DJ6>p+G1Q3T&l7{Jm@|C7V3^rpE<1qzkAaN(cp>rhpLUF1RSjV!4D9Rbz3hlj}6= zU}6O=T39TH8i<2mGCfPWC7)aCGtJLRHpRMJ zKndwF#mQGifXeLC%{t-rv~@5dg-tz4*<}icM0-id*R)3zWLyfLui-4rn;5r;%2@drnWfx*6+ip3R&j7wC<71~s*@scYcU;p^ z#wR16(T4W+>!|obt-mB8dPk&a9TZ z%pu{m0)(?YCOFlTlwF9$giR+2u2o|&c5tL0Y<*tI<6`#ZUj)e;pZpi>m8?t^& zR$)`D%LSB>9#forRRpNaenIPC9hGJmnrd3TYaxDiv0dfZ*DxbT7mc;sFPj3{mC*#qEH|d z2n9lcD^WnGvvX0RtEEn^Sih~RDWI;DMLmMfIB1#R zP)|~JAr=!hog}z6t&=OpZBp+G25RX{=g+0JSTQzZiYzMo6*H(MnU&OVs_yxAR}`51(2)qXa# z{3Zeem2kg(kedBur6(MkEgZSjNWrB3CA#L}7fc;3({Ie9RPeqg#~eh42bbrFt(Cvg z+nhw<@w>dwAp9tou?W47sBHCzZmtvkUiNFL`{^1B-MH;n+MJ-@UR9nF2`B$5OyT7p zzkJ?!s=5VhneYK}_ib%#3Z7+lMuS;mGom+zcroNw@2SEAyQ50JPvl2?=%=>%&K@7^ zvEwT&_+pRj!pXW>d?y#_uvL=E9tc6nhQRp z%LJvJeXFjr#wE&pUhR4>s#$PVS!?a?&)=!i=Qh=F&w3WtkVpHpO)=4IQP zM<$g_&qJ=zXp5}r=Pj1NoO)F2G6S4kmt;uQ*f>W_B7f?caOmXs65uh?V zL1u}~h~5-pzp^p+G3G+r|HjJlQDNpDa@(3I#%eP#_ezcm?F*D~tj|fwcm1ICa?8S3a`KA)vMC zf}1jI+$2Nixw8kp$6jMmkdxfHFV7TZ%slWwJT*2P>`mX8j6#ziU7H36@(zO#Abd& zta6aqIH+2+HzhQ4xpmCs!j9{qAPW*sRP8H6JGo9XZr@r#ixxH%0YiR2^iNjGu7-MR^W$Gj*T`9zXYou*O5@Q!>=bkvpQqMt&?jSQ3@YVA7RIhtyD%#)5`1?DunxKL0abP)R3}83NCDl zb-92N(qoE~uZjSb*$FaBY@W6bMx?N*Cn>uSiwT=f5?q_s$<;q@-;{?zvltxwD>S_{ z2p(?908P8Gal02fcI8lz3mUhSvzhT3z!znF?DQ!6FpBt&fiueZWaKm2(B7WhSIlpp zEZu{^^k9GU-I33Uj$()Q){#G4LV-{q6bJ=Eflwe6c$@+;zkQruzIXCZZ*%rm=C^_z z^_7q8f@{+{x%$_^V*NHD`n+DsepzGCmMISY>YxCX*)M1vtfSKGLQ_>^FxI;k;%67z zRgQfP^M!iG`mI#i4a6bWlQ_s}IjET$IA;CU^bs=8IwcMs*5v|%-%PCP8-_WIU9@Ip+G1Q z3WNe33QWIjb$Fi0MF!^4Vca9<3DXpHSnVz1k-fEY2ObLClmc#K zp~|aG*#+0Cu{P4lH4SlvFR!Awv6adQt{Pd&>;?+qep-;4iGf4b!IBX}Uare6SP5yG zg(^hS|?ZkxQ+MUCPbgd3HxP@L0hIc zxZ`#&KxOvlk6ZYKO+883h1jrAAQT7%CI!9-B|?EvAQT7%LV-{q6bJ=Eflwe6c#Hyq zbLXN&7jGw5|2o)n)^DlUUGX_AD;X$wxFrKL&0W70dP~I4Ygfuau7iV?2@b`&TtErw zF~uoYv)~e-#hFzL=8*7O0m9iH6P)Tv$}YrW!lsi1*QRxH-SD`rJkg{n-{f#`bfv_> z1r(&m6sKz+w>^!9Pbd%ygaV;JC=d#S0+*>kd=J#guFL*pnIcgr5DJ6>p}>_WAk}JBoy-)y6bN}v8DOj3}lf%KWSeFZEFZaRGSEZab2U(V6k8_V(N(c)DLV-{q6bJ=E zfl$CzKsoErcIFvocEPo3-y7P=B@@vq$pLsUgL|_(KJ#&MHF1=G{A^Hp5^A6l>z52t zv!AT=ghR81BbOQ}nAE>S*F5}!siS53jd_#`-q+-qgUImU@*J_X@;7>$lPElXm-iWj zALTL@q4yD$t^Ux>b)xlzUrXIj*I4MrZNJjy1pW4^@{~w8`Bz~IFaP-E^Tt!vEm+Hh z50JZWYhzRJEVDBj%o3Xsy(z?tA(vM==5%;qcT~yuiTr2}{nR$!+2eyfc6@~eU+j@x zI9WG~@8lvKwo0bHRsnnV__@Z`D=S zxI~%Ht6lF!H4Cna9CMH{Rfb8L?LE>-=;VeHO>2!Lljal1!I}8y;~l z8D-Nwa}<4(cVutP!lg-Uvm3=}RdC|0nGcYpX*Rp56s*LT z*^v@+FNPkV)~4RuLMOitOVHJ5tB}A$flwe62n9lcP~cV-5E?5_R~A!@E)6=lPPBe& zB`;c7%#aNfdU8t!Xj*ydoQU;jYfV;j5S)s2xquSVV~UfniU5__2{KD;M)al-`<2b< z@W6ykz0&N0YtuTpV%%1h6i`>nBA_5Wra1YdLx9}03UWc?mU6;Eflwe62n9lc-7fxL z>!|oUVNxOlZOtNI@3uaiVFRTrqB|N(!heWf4%29#fna7dHPjMu6P33NpPuC-&z* z1SkiYjf0j64)r8u7h=Ogflwe62n9lcP#_ct1ww(FQh>Sj#asNn>|~c{f3i%^NR*xt z8=;}Vc@+@ScP>gaD`h>Ulj}6=U}6R3HJVjr2IAnC3{W*SbjrP&47s(oc>C)a7l?OQ9Ttb>7K55Hu9 zs=YUK$hb`=p^(~@vIrX0Po+-9dnJ|{Yg+@Lo(tZUe|u;QQ~jBH`2yI&j`F3BE+MZVd^92Bobl2vSljo_x0 z*)3EEpX*5+94{1du4qHn!N?|Tigmew64GOeldp;ZmDve0OKfJHl!MI1LCXY(dXlos zB+ahup~n8PAo6tCBMLG;g)?{U#=cpN1aNNJYX zoNe5ad}HG?fG^7U*y&OBVHEKl180=+$;fB4p}jr1FP?)JWih`!z8oW;6UVunp+G1Q3S6!NF~8m7+N3Q=N*uQ`zZDv+uY6<|T$|R(HT}k<@Re7p4sSS( zbudJl*PYleYYf^l#lhEHfXeI_v<}u$X?CHhsxiXqT?_HEi|s1MzJ~ciJ!9NTmEAxb zay^NIoR)){sexnGZ%rQ|^Q=?i;9*@Zpm;USv{sya%>}5;PLNq*GuNXWWHt_}X5@lH zJxSSx*v*aijbD_#9azo#QQV1ZI?C*QK`aDk9FKZ0iGR46iw|fC9vp;{_!Y^#p+G3`6a|E!&P9nX{!Xs`b+G5G-%_!=;&WJ5 zGEnevO9p7#ko8-#3Y%hGE}(?;nBwHCB0y#K3t9*3s5HCKRMYBR3-Pmy?JCE|9UcU{1n*(_-8nUBRYe<{&t^nkz{8dZG3-6b^f_2?aud zP#_ct1ww&P;5rqE?}0kmb=#jTQzQxnLV-{q6u1%vggQGHCAwPb;%2@drnWfx*GVbe*1YtuTpV%%1h6i`>nBA_5W zra0x1wn!pCi!-a?X$}dm6(F4LF~O;xr0ha$SSWCP3dr@992JKGyBN1I2(DNGrFMU| zvzo#*+x)(tOD3{ak^}Hy`t#=g8TR1J$H~>iQU39>LFG3Q7^uYh?Ss_pCo4VS&}`wz zrA7)S^)Jyi55HjQXqkRv9;Jf!H96)WGPoB*L0Z=6ZBC-__+8#-5Pp=)ScKk3RJQs< zH`j@NFZ;FB{dA3mZrt`OZBEc{uPRT8gp+?2rttEQUq0UnXt6FAP(qq^_ib%#3h`xj zMuS;mGom+zcroNw@2SEAyQ50JPvl2?=%=>%&K@7^vEwT&_+pRj!pXW>d?y#_uvL=E9tc6nhQRp%LJvJeXFjr#wE&pUhR4>s#$PV zS!?a?&)=!i=Qh=F&w3WtkVpHpO)=4IQPM<p+G3`5)}}dE>Bk$Q;aTsI=N1?erqK!TF7(eX^JHD zB=uIK^E1T2dfeD*>rP&47 zrgd_~xUDKFpstifKtXy;aq>rp0J&)uUV zQxQ;*rkNUwldp;Z)mt!!gx6e;a*)|Ls9Lo*B{XxnbWiy09AW$=z37PTnbUHgM*qS;}9HNt|FiyO{;$tju7vrRS;S* zOKhGtZtJ}%#91e|4m`p_flwe62n9~2!1QvxQNC^2HYiFq;3!dO33&E-ULZ%=^in^H zzR5eXw`THi2nB9QfwBdlVKpy8G?qnnsk8v9a<|u8iQOmDw#+2zN^cXxflfbw@WxZisTSb+b|g2 zY)aIsAUo#+$&il=md{wf72{c@O?GmFajV8MX55;DV&uM*ML zp+JWM(=S^co+omVfq8To_sDqyv&VDV$llJ`SL6-_POd=QZ#R*Ap+G1Q3WNfo zKqwFjgaWs#fY4g!qC}Spom~CvV3n2Su7cbZpTn|}g$opJ$pB3ovVKceVN<^5DJ6>SE7JWXMN=(yWrZi zPOezLttu&?u9QVUL3&Ja^4A^($W5yt7qkv0)KjlCyWrZiPOccYRV4+~m9hvZNRKH_ z*FJ808V#RNAQT7%LV-{q6nLQuD1H009q(_`b(OyF=aPwOmE-_CnA*MB9iRF5SM!OZ z{NrbX%5Q!#P>J>12dUXlR(isr*}{=ajTB7kU!rRse!2@`48o6c8H>>Sh{{%f=;k`n?`6N1x}UDG(2d)ErOgTY?N#L|k#O>_ z!W3Tq@yq9pr>a}9mI)sqci+~=rr=p-XEc~4HY0jdh!;a{{Vpq9%UB~*&9CS}n+kSo2!h*{Jb8idaLyavsGUNXw2d*&$mChy4Jn#nhEm|mkZ^C>7uBhrTd+1saj@CV z!Ese^0yXo2uG@gkZVGc#3RZhm*pU(&*4unxl`MXjyWQ`)8igS&6bJ=Eflwe62nAlE z0z%W}>B?e?(WOr(*NN6|t>i@udCojdk%XSyk^!1lo;oLD{n=WR)f@z;VqGqvg!Gu= zaQVNW6i}pCtv`(%Y z9=9Lg5Hv5AE2?EFn-ZFX;N(hK1Qevl6sKIxB8dPk&a7H6hlJM(5YG0P;8agib|E$_ z6bJ=Eflwe62n9lcP#_ct1x~F%{JrdCitkUBDH4SOFH!-a>&``qra&xib#k3%9Zaks zf5nGo95Z3#mJCoeHFRE_y(=e~T|U-PWd*c)Wcs;YQ!4@r(ljenaqJT zdEz=)wKs*>uWU|-2PSOlm1Y-QtM-+lom{6Gw{NYWvJM7{J^YdZs`lQ{^`Pu%zshQ0 zG7iDX21#5%KTQ4gJ#OBP1yz4rgd`luY*-8YZik; ztb-lNq-9kEsD1^_A>nmP>$eQXde=hBvy1I2$K{cASfVRGqX@2=7+PjG5Qkh(;$XfW z>{m?<9rJew(?>`>>y$WnSeFYZUQIJK6enL50V=Z-WR}>>^(Y6Kjf1Kgx!_PwQg$JB zbK`yE7iDh;R`Y%ocjB6kvQ7W4|M((@_V)O4A|ezB1ww&P;G_ynzigc}XEm8r(dU8s zyzol&$a%svMXh8X3yth;Y~{fo3S5{1alhT_UDgV_=Qb9 zN!f+iuuvcr2n8kurn^$;5ei(D0=%M!g#w{KC=d#S0--=C5DJ`00U>YaqC{7Tom~Cv zV9!~$hYTHpRMJKndwF#mQGifXeI_v<}u$X?CHhrq#O^ z;%67zRgQfP^MWNqJmEK=Q4S^z*{xfd-QtAUxt_$qoP_bUxD}@sFPj8{mC*#qEH|d2n9lcD^WnGvvX0RtEEn^Sih~R zDWI;DMLmMfIB1#RP)|~JAr=!hog}z6t&=OpZB

p+G25RX{=g z+0JSTQzZiYzMo6*H(MnU&OVs_yxAR}`51(2)qXa#{3Zeem2kg(kedBur6(MYT1b_G z75|n={Y!K$+%K3qTBhHa$5Fw%x!`?GjyZ@74=&FUTPuH~w>gQz<9B(VLHJQFV-b2E zQQ7Jb-CQU7z3kUg_tP~Nx^dgDv^hb)y{bGV5>EbAn8M3He))VJNX~z=Cd@5Z%Y+Y* zyKie_Q}8UaGaAein-RS!#ET)fdQTM|*d0~!eIh^FLqE06clP*Tj~!oO!54dE7f#mA z;ybxWhpm#V>sX(egYGG6+pmvWSa4Zj?ri~ls8MF@T}h`k*Ie)+T_z~)>|1q}H7-%+ z^J>?7QO$y@BF7wLOqF4h^OSb>)!ybLl{!kq&pJQdMW4m7X|Qa{wj|T#;f6=tOGepr z&m2YH^tGx+Y>%eVM@L*jKn%RYRXAL{`kW%WG%wrUJUY2#BJ<}-JS+3u z=HR+GGY3r^B?e?(IrSH z*NN6|t>i@udCojdk%XSyk^!1lo;oLD{n=WR)f@z;VqGqvg!Gu=aQVN?Xl**VVjZk1WY&DK;Jm@x11zhO`P$dPgeE%28m>+27*DT{!D z^qAsw?c=tm(eMccLV-{q6bJ<_Q33vz9u^9O0-?b5DRBC~mtCJm8&$mDh$m=5fl$Cz zKsf6zxG2bCxr7r{V{xmK>on_NVg)T)SS*Jch=X4;K-JXHG4H=M35C?Iltn;6nr3P! zPQEGvQTn4@HuT{Z|CeQa)$yZS0L`Un@B$Lo)q_6 z=MwQd=0(}aCz6cnG>syLcjR-Tqwdt<)8!&~(ad6n$Y0;VwP~GP(}+^|8Y>^=%9_R0 z*$ovu+>!yB=Ic~0SelHJ!@;pwmkVew_rcNEyqq=%S(apvC$57LDQwCu_?6AeZp323 zrjrEMrgd`lkJ~rpVbClFhq4X^3Lb9B08P8Gal02fcI8kIq%=!x=7FRfWQ{p!ncz@Q zQg$JBbK^6BFUt7X=~4D!6!9I`bd>SQ$Y->ny*;@vo`V-<(_MW?O)`YlNYf}{ct<`b zI_gdxKH(AygaV;JC=d#S0-?a=C=m18Ev`k{f~3T8EAv~S!OlgAk`{~eom~CvV3n2S zMdH#yUZYu6W)VWv3g~{r+>Nb+Rpd~Fx`=hKL?u0y3VvMMrZE`ZL7;@L29TZefn-Qt zAbifa72{c@O?L9YZa;*5w`72-si9-Wt<@u>F4pA&N=Va84aLbM0we!C z)scSfBI{F|ozcyhj)_Lj6Q(KZamte&kL>MaO+=zl;1U#w`|T!IVdOpOR_?cg(g?+v zOZF%%aCB+O+DIqYG{lvR{+i6Z&{C<4;Hr_O%x<6%?v@NtH8FI(5ae_UDgV_=Qb9N!euzhaxN#xFQAQifWBgLxE5r6bJ=E zflwe62n9lcP#_ezT?K^JIu|9nROsaDUk7{6`Yjc^D?W#1B?AQyw`72(4Ozb>tFS57 zD@c(X@KkLj3GvyUOt*2?IKDHZNGN&M5GtA-i=e zvs;`HJJ*vq$Z0ufS&ZAGE7%eYT-dmpD@gfzq4qR54tuc)1ww&PAQT7%LV-}=Iu(fT zfjZfB+n+2`BnkyWflwe6xDo|~Iy)C7x?1YwiuK#7ngZ%dSp*cM#}p@jwMl^7vl3g+*XwoP*=(#pddY_IOUPHNFqRsGppfg z4hgRnAe`+n!Kt34>_Ti z-1aMNPS9_!Do=@olYbSa@bZseKHmvwu`U--LYj8>ZEb7{@nv>KgIQuTqBn(jG2~Y7 zslo%hqe{L{?$+}s5Cl~3kRg!fb>oarEJw9ppW3qGXF1f`vQtFE%fCCYqW?Rqb&S#VY4n1hU|GE8!w($2ox z+nl6QM~V1Z=cl{qvp6;lmQC4~WV$@u@Q8cKD4Xt?qv)HwBYSHm-^gKlvEGR7(KPz# zh)W2Ffp@qHhl^LAQ)HLsW!sxaCzniQ{yd3iWuDs{To-5NpoydWgO|nE1}iSB%8Ee3 zy=h-mr+RL|+9bunW;X}NRly0=%m=z|12(%U%uOj+?NMPzN^Dqf^MzHi_+9RHzw2rg zhOkf|6bJ=Eflwe6c!>%KO_!%Diz!BzKAl`ATEDfD7cJyD^E5>gdU8t!Xj*ydoQU;j zYfV;j5S)s2xquSVV~UfniU5__2{KD;M)al-`<2b<@W6ykz0&N0YtuTpV%%1h6i`>n zBA_5Wra1YdLx9}03UWc?mU6;Eflwe62n9lc-7fxL>!|oUVNxOlZOtNI@3uaiVFR zTrqB|N(!heWf4%29#fna7dHPjMu6P33NpPuC-&z*1SkiYjf0j64)r8u7h=Ogflwe6 z2n9lcP#_ct1ww(FQh>Sj#asNn>|~c{f3i%^NR*xt8=;}Vc@+@ScP>gaD`h>Ulj}6= zU}6R3HJVjr2IAnC3{W*SbjrP&47s(oc>C)a7l?OQ9Ttb>7K55Hu9s=YUK$hb`=p^(~@vIrMyRwHG`^SRF z(`An+$oLe_+_f9~W;K#?5W!6=vsdxaZB=zjn4qS zDC1+NN7;u_#CHswQN|}DpV5Z)_T;{J4qlYS{Py^AjC@WU=W>pdb1qJW0--=C5DJ6> zp+G2bxeCPmc8hD1wje2S+{*k`Xt2KWkzH_YS|``^8;2&;E3#Lq6as~r0p<_q|3yt13EZb=wi zp}=qjUhiHpYvUJ|uu$O26i8QAZu?GIge0Ad5?%Fla`mr+ zRhE^y3gQ=h!E;qv5jecvJ3(fN&4}I`>B1be~jDeC=t*{43mWa z@wnw&*ft9M(P+Muzubl_SVDnQDv)+(erxtAn~hvIpujZylpAOzT6n$!SMxnkuMfXc z=x5W^{rR1roMC_RjFIsC3cNb}LiTzm=PxBH2nG5T_y?8}{xC0A^~Z(h;}sA>Iu|9n z*gLsyaUJaMY+3Qs|2Eda8skL3A2Cc4{>STJ!pTk&T$|R(b%W#fpZ(FqjrH5+2oXFU zF-#Kv$K#fBVVfxM*&pp{XyS^5g#uTlz`LueHi``e?*F%yZT}EjoI(L5N&USH*#+0C zeQ#(dmrO+S#6>ywV&Cip$ej=YRPAR&`2}C`TCo>_gnP?=veFYy%@&SaTEtTS5?u>l zb&}wuj+W^+=20qmU(hMRItQ`uUJM0kS);c(iNfP|d7nY}Q7&TJQyqC;GkY z*HZV>H5R&Y+pn}aLBG9no)Y|#m);Ui{#5{}+Ap8)1czc>E}(=o?e5#!*c9T+?2HDp z#AZZq3h`pdt)#>QyQ50JPvl2?=%=>%&K@7^v9teGz2l2LvI{5cX7Qa|q{CK8)^)7U z%t7}Qwe8nOEiAY!F!#0qKGY~P_U=e0{lrxMIyI%t1f`vQtFE%fCCYqW?RwAht(#w; zS&ar`stl8yr?j)L_ExSe*HI#V*7@l!`Yeu3gJn~;C7CV{4@dL8%7Gqn3YJke-7`ng zH+e_))=a*U1Ftnrd)@SSs)If?uoQO~6XWW=Pa6a8po6ll&ndDCu2tI`+Q}sokv~tG z?^_8kVY4_h2LV(~EsTMrc&#cc0txq~eX*MASzZ63g$bcY&1~4HbC3`xnM0hXK1GZBSjO0`qhHDyo0G+x;as z!>~}`Y80^dgYEwBKaXL1iVG$rDNk1x6RECxI=QBm2ZeKy1R!yCp6XPVLvd?bncYH# zaJOWDrY)wF0 ztX=duhgT?YNeW21q-vs;vnepmD9)C@J8_=uc1K*AGN;PvjcTvfqQY$)&#yZ5#d@X1yEq2yYxnozjA;G!Ulx>}^(18%V#Bso;E(nc`5U+ z2q;L?Obx}!S4Du_vE;B&PQB9Xf@{^jGPINHG~@QI z6;#&2K(U8kGC`$PTC&cuI=&>W8 z7-x6fbolX{4KLKAY$;7+ zC&m5NxilB|8_CEgk{k>0>K_j43(tPzcseHD@_6KPqNC2udJ~e-43{|Bqp&d7-ESw? zG@_J@+yjIiH?~q4F-|Qsn_^uqpoH|8;^eC$ zKxOvnW}Wcj9<^v+INM`_Q$0!9g;-43bduoOv`()6ar>q`44TE@;9sHXr9tp;O9p7# z;==L%+rM|Y6rx;5lhqsqCzq=TC`gYfPPv+gN%jKt@XUI6nnS|t%;T04HZwj?_@a!D zogQT$R>XhYea1C^uH9`xQYwN^Mn0nr?d{2ZO*gS8$8R1u-PJeeg;0)JAKt=`vBw;z z$2dRMcjR-Tqn4q)b>t71wF0c)sy)OWVWB`M5DJ6>!xZ?v{jPFx6QyC@-W2i0UAB|X zZ}ss0+xr#8=UmqGoZwdMt;}zQMro$J+LT>zZCWSSG@=wfcTFZQv{Wi<7E@<8RPb<1 z258!19ZVRv#w86Yo2=#_I2G%10VSlz6enME0V=Z-WR}=)k6O0R*&Y*|>PgBj#A3px zlLXhQaltydPBU)B3i7Ye^wNNXUot?|)X>F+d;cfP)zN;H70@_j`ni7676AomnyH~U z`Kky|y#;efcs+64R(n&3{mSNacx-OGZ~UU{?Z9f@ueksC+1={g6HX)s&M4#iEYD~| zdpl!aSDu>tZ&)Z03WNeDP+*$0o*+|a3hDHFikq&3HtK)mJb~HcIc;Qb=j`jMbDw)q zT(x*-EPkW=&2}Bgc2p}SJw9XWx9RxYla5E;lg|Cr+Nw7}S;!c3$sUCTj;?$xR&;Vr zLtM$&SotVdMsU>#EwdXagu5jJR80(Btb@tl?bPQ|h;khq)GQe&I27x00VSkq7OFVq zY8G4qv^c{hU36*3$sUDa0#dVNpx{tXQg$I06E>YBxHhemtAE^H)%$OIq-;uP4uX>_ zWf4%29#fp$al02FH?4vYWR}>h2DEUt#{{Q(lClf2VcRP3$5;m2R?uKOg#z4x!a{*- zQ{evd6Rxf5sQ0BSVBZ1t(j|!guTKHH-F`Oz=5l@2N5wB!f$9CXFIRvQb}r=VT$Jc4 ztCOpL9jvml+*Odf;&WKWv2cOHEg7I`ip+GgtI*+IMtJsU5LemO(zMiP3z>k#c}(mue+pdN@xy(lPhHrP>>!| zoUVP`_B0wkeG1s;--d+(*QS8Ia>jzfwOzERcV`O<8Zv|elLA-sJy7=fxBRkl#kRlV z+N1QRDiGhx`&8v3?yL&L`t9lD7gD(kE()?(F5yJeI=OCf9c=pigvx@mH07Hd4vwyr zIJkg<^qAtbxUgJ|#93ieW+%ujv0+NISiTm{_L$&QPf~Uv785p|B)B%Mlj|18?Vs#w zcC_D=&>RFOm#YXUNRKH_*FJ808V#R51^)QWVW{;vhgT>N3WNfoz*7~7KRP^Bxu?V_ zLH=xKHT7=tf8Wm~6WJ=s0eCRAd()WgO+5aOpY8Pew}+YCKqby^AEahKS?LLfW(!9y zHBvCCe~GSn_yto(%anJwmkQq3%&K@7^vEwT&_+pRj!pXW>d?y#_uvLMCnoqRi(ha+Yu1{QAsl zG#FE5nB+XAoqe^pa%H)W67jRnPj}I0acmkao3bs*ba}Yp5l?_oHr+Ev(KmTV_SQ_k zkpnmXGn#Il`wv?adVDeP4yq{I`kW%W;99l4p`BbZ5zP}9<=BrEAfBhi*$xh_@v`{Z z5CvVa7lDL()9eii38x1we6mh*>_SAtY<8o#tO`z?HS+=LXqwG#9u|DWrNo!nkrHz+ zh902Srrz5FDNA*<5(NH81?G$T$gpq^1$LzHmNH-?9SnIik0Gb#J$~SNFe7-EM=?*-a|& zD%NjLcU^^4or@A(RdsUR;5yh}+qnI_qx~Kk1t*uQ2q;L8DNfhE4kk3=3Zx*5_BheB zPOcjqx9|QJQ>&x>riA7oIJsO!KtXy;aavs1{MQ%(a?>gZL1u|ftly%-P1t|G``0(o zM>G)%gaV<>>zu|jqAUk zUUK`V?OjM$U-`%`xK@qzluoYxb+FfYm1ec{QY{8h?BTEYz-1!yRriL!we=C3W zXP?0JdAVSzGR~lJ92|>vxq!~$)t{!u!O>Smh%K`dWR}>hE{1TnX+|zM)svK6h{c3W zCkd`q`^wNxuKsbm{@;*F5tD}OmhG)U{uO%uxP5Qj%W+E`9qr>*vt%5CgUeL}6r^eO zkHQh+-Lwkw{Bc|FEhiDOxpe@9g#w|#C(~JMe6p!PU$GJbs zTOZ~97-wD}M_JeOYE*LL9ogH!vTvI@{>Q_0Uf-8~zx{HXyU8{z{t$}$t)t8IOS*%c z>D0(4k{tJ>`q?L242yJ3yyfx8=R`-HoAo9nqZux7vPWTIuDjn(u4zOm8M)ZPjvHI4 zjF_gC*)3EE_tS#3Y_SgZe)pg6K5@Aeo?J(Z6kOQ2Ttz@ZdQ5T3)hv<-(BjN$nbsT< zUMoO2+hc-LJxSSxSWMV-lHl63POkoO`(^nV)hq@F{|X_ynXqw7258!H+{&N*4z5qi z1xu50ayU2^>v93@YMXID95a}ny^W}bWFVE@yO>yN1a1^>&PE2o&x{T zVN&8DaV``H1ww&PAQT7%UiSQUtLvY(BJy}{WqvC(M>CDZo9=!)xu)M>l=SYJOkQZI zR7P;q%Ip>@gu5jJG;OgCCb+v?3X<#Kpk;!C%T)vvq{kGeT+Jeh04>gND_V4E$H^Y~ z@+b$Hjf0j64)r8u7h*AC(@BDB)fkMOT&EegVg+SQSCtuvgI_X0)zr|%6&2i9N!Bj7 zRn0gA2bZe|C`i*x4aLbOr~*1z6a_$1^!s| z{^M@!g#w{KC=d#S0w^GC>MI}F1=pr^a>Y7WRY?JLr7Qvp(qoF#wXcH-O}GLn$f7+? zG_8{>#%)ze0d=J;0t(V&iqqo4#`4Su zbIC-uN^$@mOzqz6j?aAjPk9qZ`Nz)&mEZhgpc3o14^p$Atn`FKvxOs<8Y!66zeLwO z{DP^YWy;rDmI~h2IEfYRK?!K*!O~JFw&S)@8Y)15^ z5HE&Y{*7@?hX-~?m3*JbkM__{ZS$QyKGOs_1+db`E}f9 zZ>0%56u2-2^up?iazcSnAQZT11%&R()0M>(qf3ZRt`n`_TFHwR7Bgf6g`V7!0h(5x zIwxZN*;O*NE8Z$0--=C z5DJ6>p};T&1m^n6M|Q!rX`NiL4pvoCKwT+|fP(ax;&konU_ukFKnk*Gj}uMn7*DT{!D^qAtbxUl)JF#_bKRghS}MTM|XAQT7%LV-{q6bJ=Efl%NDDiD7!JK06w zpDa@(3I$%I0z%jIm5=O#Yt>j!>Et@iI+$2N{)!Lp=QI;GZpi>uQ$xqR|JLdeQWxuT z0VSkqriS9=t0F*Uc7n_joB0i~%0Xu1plU`gIMkDrU5LemO(zMiRr|`&POj68+qYIw z)^t^wfnpE8WPqx@H+0CjO;)IodR6cS|1GipQ8?waN($Q9Y$m#PE)MPIT0rI()iZ1TUK55+{2U7UsJ9?c|z9l#;Q$QgvAPDOW~t z)5`1?DulZw12k>OIv81nO|dQ)P(pf4aq?9WpfdY(vrc$DasAdJh46ZkvJ0`8u<0bh zwP~GP{p0pcj9WyX#|isojX_(cIJo0>FF;b8kJFngpqn!W zVJHv^gaV;JC=d#)6gcy{S621JiBKRE2nBi+i1*d>sI-aC`%PGaBoqh*LV-{q6bJ=E zfl%PK6iBg}a9bBNx(Nj~Q{eUd>5!it{sXe{evZ66oi$^F@`EnElx1=lMr zaIFC0;~a?nlK+2w&o;d;j5CMI2zrzqT3B#d_@ez~+FtTpP39tU!R5Qu5@gNuWx@L( z#}t-fDRZ9Xp2Q`-(-vaSF^l$> zX=j(#&*ccyPhRi7l-*|wuBA(h5Ik!>UzS7AG;9w0GmxJWhqJw#toefLaBzRA6Z=R1 zKYP~P@9qAB7Y-CXn+HSjK@0f?ISsk{Cy#TdqK1Rbn#{$09ICm@o^mqR{YSaTeavFa z9LjviH4n@TEU)uCR1YEk1%1d?+Ry6bC!~2U+FzzE@f@FD^tSs%es}lk&-bquTuYZ@ z0`E9$K3|rDQ+}4&(F`m{pvUE&n>9axGuPqZ{wgT@-0U9O^jz_KTMhGvk?Z~G#=79* zdQ30(^H8wn4f#XgO?Xs_g-|5p$3WCMP0&j;n?xAypN?D4`* z{6GKSpLMqXg^9yAtnBTf+(kB2Om;v02a7s5@vnC2fBJ5#EMM;a&wu@w$64~lezp70 z{s%lhdEC|S4A~)F$-dMNfAbd)!QbuP+Tp)rk2@QmpZo&$A2asnQ#(7AICb#)46pxx zbPxhN0+)@roQlQuScC*QRy<&WdkEDmkT0=<10YcY5Wxc?n1Psz;e~1zE^p%td$dTy z;%$hK11M^NMIe%ma3N5!%Ls&^_=c(i3!$b76jdN~Xl6l#K&n8dIe^RphL;1#SR9~% zfrBp;S$+agVFOTridi0>_lBLJD1{^_>yN-{1(;TdtX43R6pl0rY*b)Y-@wZM0M;@R zp53Tkl7jOoYI%!A2w%xScG-=$2*zh4w2TCo44~jOz!}Cc31FQf(6GXg*g_1YenJg< veC{GOB*3miH6E$hggb%(=z6#+^m