[LSD] pratica01 part4 finished (untested)
This commit is contained in:
parent
ab264ce917
commit
f884503b3f
|
@ -0,0 +1,435 @@
|
|||
/*<simulation_settings>
|
||||
<ftestbench_cmd>quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht"</ftestbench_cmd>
|
||||
<ttestbench_cmd>quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht"</ttestbench_cmd>
|
||||
<fnetlist_cmd>quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo</fnetlist_cmd>
|
||||
<tnetlist_cmd>quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo</tnetlist_cmd>
|
||||
<modelsim_script>onerror {exit -code 1}
|
||||
vlib work
|
||||
vcom -work work EqCmpDemo.vho
|
||||
vcom -work work EqCmp4.vwf.vht
|
||||
vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst
|
||||
vcd file -direction EqCmpDemo.msim.vcd
|
||||
vcd add -internal EqCmpDemo_vhd_vec_tst/*
|
||||
vcd add -internal EqCmpDemo_vhd_vec_tst/i1/*
|
||||
proc simTimestamp {} {
|
||||
echo "Simulation time: $::now ps"
|
||||
if { [string equal running [runStatus]] } {
|
||||
after 2500 simTimestamp
|
||||
}
|
||||
}
|
||||
after 2500 simTimestamp
|
||||
run -all
|
||||
quit -f
|
||||
</modelsim_script>
|
||||
<modelsim_script_timing>onerror {exit -code 1}
|
||||
vlib work
|
||||
vcom -work work EqCmpDemo.vho
|
||||
vcom -work work EqCmp4.vwf.vht
|
||||
vsim -novopt -c -t 1ps -sdfmax EqCmpDemo_vhd_vec_tst/i1=EqCmpDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst
|
||||
vcd file -direction EqCmpDemo.msim.vcd
|
||||
vcd add -internal EqCmpDemo_vhd_vec_tst/*
|
||||
vcd add -internal EqCmpDemo_vhd_vec_tst/i1/*
|
||||
proc simTimestamp {} {
|
||||
echo "Simulation time: $::now ps"
|
||||
if { [string equal running [runStatus]] } {
|
||||
after 2500 simTimestamp
|
||||
}
|
||||
}
|
||||
after 2500 simTimestamp
|
||||
run -all
|
||||
quit -f
|
||||
</modelsim_script_timing>
|
||||
<hdl_lang>vhdl</hdl_lang>
|
||||
</simulation_settings>*/
|
||||
/*
|
||||
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||
editor if you plan to continue editing the block that represents it in
|
||||
the Block Editor! File corruption is VERY likely to occur.
|
||||
*/
|
||||
|
||||
/*
|
||||
Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
Your use of Intel Corporation's design tools, logic functions
|
||||
and other software and tools, and any partner logic
|
||||
functions, and any output files from any of the foregoing
|
||||
(including device programming or simulation files), and any
|
||||
associated documentation or information are expressly subject
|
||||
to the terms and conditions of the Intel Program License
|
||||
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
the Intel FPGA IP License Agreement, or other applicable license
|
||||
agreement, including, without limitation, that your use is for
|
||||
the sole purpose of programming logic devices manufactured by
|
||||
Intel and sold by Intel or its authorized distributors. Please
|
||||
refer to the applicable agreement for further details, at
|
||||
https://fpgasoftware.intel.com/eula.
|
||||
*/
|
||||
|
||||
HEADER
|
||||
{
|
||||
VERSION = 1;
|
||||
TIME_UNIT = ns;
|
||||
DATA_OFFSET = 0.0;
|
||||
DATA_DURATION = 1000.0;
|
||||
SIMULATION_TIME = 0.0;
|
||||
GRID_PHASE = 0.0;
|
||||
GRID_PERIOD = 10.0;
|
||||
GRID_DUTY_CYCLE = 50;
|
||||
}
|
||||
|
||||
SIGNAL("LEDG")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = OUTPUT;
|
||||
PARENT = "";
|
||||
}
|
||||
|
||||
SIGNAL("LEDG[0]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = OUTPUT;
|
||||
PARENT = "";
|
||||
}
|
||||
|
||||
SIGNAL("SW")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = BUS;
|
||||
WIDTH = 8;
|
||||
LSB_INDEX = 0;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "";
|
||||
}
|
||||
|
||||
SIGNAL("SW[7]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[6]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[5]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[4]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[3]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[2]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[1]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
SIGNAL("SW[0]")
|
||||
{
|
||||
VALUE_TYPE = NINE_LEVEL_BIT;
|
||||
SIGNAL_TYPE = SINGLE_BIT;
|
||||
WIDTH = 1;
|
||||
LSB_INDEX = -1;
|
||||
DIRECTION = INPUT;
|
||||
PARENT = "SW";
|
||||
}
|
||||
|
||||
TRANSITION_LIST("LEDG")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
LEVEL X FOR 1000.0;
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("LEDG[0]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
LEVEL X FOR 1000.0;
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[7]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
LEVEL 0 FOR 400.0;
|
||||
LEVEL 1 FOR 400.0;
|
||||
}
|
||||
LEVEL 0 FOR 200.0;
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[6]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 2;
|
||||
LEVEL 0 FOR 200.0;
|
||||
LEVEL 1 FOR 200.0;
|
||||
}
|
||||
LEVEL 0 FOR 200.0;
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[5]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 5;
|
||||
LEVEL 0 FOR 100.0;
|
||||
LEVEL 1 FOR 100.0;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[4]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 10;
|
||||
LEVEL 0 FOR 50.0;
|
||||
LEVEL 1 FOR 50.0;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[3]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 20;
|
||||
LEVEL 0 FOR 25.0;
|
||||
LEVEL 1 FOR 25.0;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[2]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 40;
|
||||
LEVEL 0 FOR 12.5;
|
||||
LEVEL 1 FOR 12.5;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[1]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 80;
|
||||
LEVEL 0 FOR 6.25;
|
||||
LEVEL 1 FOR 6.25;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
TRANSITION_LIST("SW[0]")
|
||||
{
|
||||
NODE
|
||||
{
|
||||
REPEAT = 1;
|
||||
NODE
|
||||
{
|
||||
REPEAT = 148;
|
||||
LEVEL 0 FOR 3.375;
|
||||
LEVEL 1 FOR 3.375;
|
||||
}
|
||||
LEVEL 0 FOR 1.0;
|
||||
}
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW";
|
||||
EXPAND_STATUS = EXPANDED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 0;
|
||||
TREE_LEVEL = 0;
|
||||
CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[7]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 1;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[6]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 2;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[5]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 3;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[4]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 4;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[3]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 5;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[2]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 6;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[1]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 7;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "SW[0]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 8;
|
||||
TREE_LEVEL = 1;
|
||||
PARENT = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "LEDG";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 9;
|
||||
TREE_LEVEL = 0;
|
||||
}
|
||||
|
||||
DISPLAY_LINE
|
||||
{
|
||||
CHANNEL = "LEDG[0]";
|
||||
EXPAND_STATUS = COLLAPSED;
|
||||
RADIX = Binary;
|
||||
TREE_INDEX = 10;
|
||||
TREE_LEVEL = 0;
|
||||
}
|
||||
|
||||
TIME_BAR
|
||||
{
|
||||
TIME = 0;
|
||||
MASTER = TRUE;
|
||||
}
|
||||
;
|
|
@ -0,0 +1,51 @@
|
|||
/*
|
||||
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||
editor if you plan to continue editing the block that represents it in
|
||||
the Block Editor! File corruption is VERY likely to occur.
|
||||
*/
|
||||
/*
|
||||
Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
Your use of Intel Corporation's design tools, logic functions
|
||||
and other software and tools, and any partner logic
|
||||
functions, and any output files from any of the foregoing
|
||||
(including device programming or simulation files), and any
|
||||
associated documentation or information are expressly subject
|
||||
to the terms and conditions of the Intel Program License
|
||||
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
the Intel FPGA IP License Agreement, or other applicable license
|
||||
agreement, including, without limitation, that your use is for
|
||||
the sole purpose of programming logic devices manufactured by
|
||||
Intel and sold by Intel or its authorized distributors. Please
|
||||
refer to the applicable agreement for further details, at
|
||||
https://fpgasoftware.intel.com/eula.
|
||||
*/
|
||||
(header "symbol" (version "1.1"))
|
||||
(symbol
|
||||
(rect 16 16 192 96)
|
||||
(text "EqCmp8" (rect 5 0 41 12)(font "Arial" ))
|
||||
(text "inst" (rect 8 64 20 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "input0[7..0]" (rect 0 0 42 12)(font "Arial" ))
|
||||
(text "input0[7..0]" (rect 21 27 63 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32)(line_width 3))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input1[7..0]" (rect 0 0 41 12)(font "Arial" ))
|
||||
(text "input1[7..0]" (rect 21 43 62 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48)(line_width 3))
|
||||
)
|
||||
(port
|
||||
(pt 176 32)
|
||||
(output)
|
||||
(text "cmpOut" (rect 0 0 31 12)(font "Arial" ))
|
||||
(text "cmpOut" (rect 124 27 155 39)(font "Arial" ))
|
||||
(line (pt 176 32)(pt 160 32)(line_width 1))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 160 64)(line_width 1))
|
||||
)
|
||||
)
|
|
@ -0,0 +1,16 @@
|
|||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.all;
|
||||
|
||||
entity EqCmp8 is
|
||||
port
|
||||
(
|
||||
input0 : in std_logic_vector(7 downto 0);
|
||||
input1 : in std_logic_vector(7 downto 0);
|
||||
cmpOut : out std_logic
|
||||
);
|
||||
end EqCmp8;
|
||||
|
||||
architecture Behavioral of EqCmp8 is
|
||||
begin
|
||||
cmpOut <= '1' when (input0 = input1) else '0';
|
||||
end Behavioral;
|
File diff suppressed because it is too large
Load Diff
|
@ -56,7 +56,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
)
|
||||
(pin
|
||||
(output)
|
||||
(rect 648 200 824 216)
|
||||
(rect 656 200 832 216)
|
||||
(text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6)))
|
||||
(text "LEDG[0]" (rect 90 0 132 11)(font "Arial" ))
|
||||
(pt 0 8)
|
||||
|
@ -69,12 +69,12 @@ https://fpgasoftware.intel.com/eula.
|
|||
(line (pt 82 8)(pt 78 12))
|
||||
(line (pt 78 12)(pt 82 8))
|
||||
)
|
||||
(annotation_block (location)(rect 824 216 880 232))
|
||||
(annotation_block (location)(rect 832 216 888 232))
|
||||
)
|
||||
(symbol
|
||||
(rect 472 176 640 272)
|
||||
(text "EqCmp4" (rect 5 0 55 15)(font "Intel Clear" (font_size 8)))
|
||||
(text "inst" (rect 8 79 28 92)(font "Intel Clear" ))
|
||||
(text "inst1" (rect 8 79 32 92)(font "Intel Clear" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
|
@ -111,6 +111,6 @@ https://fpgasoftware.intel.com/eula.
|
|||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 656 208)
|
||||
(pt 640 208)
|
||||
(pt 648 208)
|
||||
)
|
||||
|
|
|
@ -1176,7 +1176,9 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5]
|
|||
set_location_assignment PIN_D9 -to EX_IO[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6]
|
||||
set_global_assignment -name BDF_FILE EqCmpDemo.bdf
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_global_assignment -name VHDL_FILE EqCmp8.vhd
|
||||
set_global_assignment -name VECTOR_WAVEFORM_FILE EqCmp8.vwf
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1,7 +1,7 @@
|
|||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678212363509 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678212363509 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 18:06:03 2023 " "Processing started: Tue Mar 7 18:06:03 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678212363509 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1678212363509 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1678212363509 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1678212363657 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1678212365227 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1678212365296 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "365 " "Peak virtual memory: 365 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212365516 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:06:05 2023 " "Processing ended: Tue Mar 7 18:06:05 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212365516 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212365516 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212365516 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1678212365516 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678222514063 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222514063 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:55:13 2023 " "Processing started: Tue Mar 7 20:55:13 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678222514063 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1678222514063 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1678222514063 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1678222514271 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1678222516408 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1678222516505 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "366 " "Peak virtual memory: 366 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222516764 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:55:16 2023 " "Processing ended: Tue Mar 7 20:55:16 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222516764 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222516764 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222516764 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1678222516764 ""}
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1,3 +1,3 @@
|
|||
Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Version_Index = 520278016
|
||||
Creation_Time = Tue Mar 7 18:59:33 2023
|
||||
Creation_Time = Tue Mar 7 20:46:10 2023
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678212368198 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678212368198 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 18:06:08 2023 " "Processing started: Tue Mar 7 18:06:08 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678212368198 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678212368198 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678212368198 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678212368373 ""}
|
||||
{ "Info" "IWSC_DONE_HDL_GENERATION" "EqCmpDemo.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/ simulation " "Generated file EqCmpDemo.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678212368407 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "614 " "Peak virtual memory: 614 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212368422 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:06:08 2023 " "Processing ended: Tue Mar 7 18:06:08 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212368422 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212368422 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212368422 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678212368422 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678222677857 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2020 Intel Corporation. All rights reserved. " "Copyright (C) 2020 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and any partner logic " "and other software and tools, and any partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel FPGA IP License Agreement, or other applicable license " "the Intel FPGA IP License Agreement, or other applicable license" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement, including, without limitation, that your use is for " "agreement, including, without limitation, that your use is for" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the sole purpose of programming logic devices manufactured by " "the sole purpose of programming logic devices manufactured by" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Intel and sold by Intel or its authorized distributors. Please " "Intel and sold by Intel or its authorized distributors. Please" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "refer to the applicable agreement for further details, at " "refer to the applicable agreement for further details, at" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "https://fpgasoftware.intel.com/eula. " "https://fpgasoftware.intel.com/eula." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:57:57 2023 " "Processing started: Tue Mar 7 20:57:57 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678222677858 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678222677858 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678222678041 ""}
|
||||
{ "Info" "IWSC_DONE_HDL_GENERATION" "EqCmpDemo.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim// simulation " "Generated file EqCmpDemo.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678222678072 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "613 " "Peak virtual memory: 613 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222678086 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:57:58 2023 " "Processing ended: Tue Mar 7 20:57:58 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222678086 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222678086 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222678086 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678222678086 ""}
|
||||
|
|
File diff suppressed because one or more lines are too long
|
@ -1,16 +1,16 @@
|
|||
|EqCmpDemo
|
||||
LEDG[0] <= EqCmp4:inst.cmpOut
|
||||
SW[0] => EqCmp4:inst.input0[0]
|
||||
SW[1] => EqCmp4:inst.input0[1]
|
||||
SW[2] => EqCmp4:inst.input0[2]
|
||||
SW[3] => EqCmp4:inst.input0[3]
|
||||
SW[4] => EqCmp4:inst.input1[0]
|
||||
SW[5] => EqCmp4:inst.input1[1]
|
||||
SW[6] => EqCmp4:inst.input1[2]
|
||||
SW[7] => EqCmp4:inst.input1[3]
|
||||
LEDG[0] <= EqCmp4:inst1.cmpOut
|
||||
SW[0] => EqCmp4:inst1.input0[0]
|
||||
SW[1] => EqCmp4:inst1.input0[1]
|
||||
SW[2] => EqCmp4:inst1.input0[2]
|
||||
SW[3] => EqCmp4:inst1.input0[3]
|
||||
SW[4] => EqCmp4:inst1.input1[0]
|
||||
SW[5] => EqCmp4:inst1.input1[1]
|
||||
SW[6] => EqCmp4:inst1.input1[2]
|
||||
SW[7] => EqCmp4:inst1.input1[3]
|
||||
|
||||
|
||||
|EqCmpDemo|EqCmp4:inst
|
||||
|EqCmpDemo|EqCmp4:inst1
|
||||
cmpOut <= inst.DB_MAX_OUTPUT_PORT_TYPE
|
||||
input0[0] => xnor_0.IN0
|
||||
input0[1] => xnor_1.IN0
|
||||
|
|
Binary file not shown.
|
@ -16,7 +16,7 @@
|
|||
<TH>Output only Bidir</TH>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >inst</TD>
|
||||
<TD >inst1</TD>
|
||||
<TD >8</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
|
|
Binary file not shown.
|
@ -3,5 +3,5 @@
|
|||
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
|
||||
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
; inst ; 8 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; inst1 ; 8 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1,13 +1,14 @@
|
|||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678212348006 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678212348007 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 18:05:47 2023 " "Processing started: Tue Mar 7 18:05:47 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678212348007 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212348007 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212348007 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678212348170 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678212348170 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmp4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmp4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmp4 " "Found entity 1: EqCmp4" { } { { "EqCmp4.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678212353697 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212353697 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmpDemo.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmpDemo.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmpDemo " "Found entity 1: EqCmpDemo" { } { { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678212353698 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212353698 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_TOP" "EqCmpDemo " "Elaborating entity \"EqCmpDemo\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678212353772 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "EqCmp4 EqCmp4:inst " "Elaborating entity \"EqCmp4\" for hierarchy \"EqCmp4:inst\"" { } { { "EqCmpDemo.bdf" "inst" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 176 472 640 272 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678212353784 ""}
|
||||
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678212354268 ""}
|
||||
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678212354636 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678212354636 ""}
|
||||
{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678212354880 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678212354880 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678212354880 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678212354880 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "403 " "Peak virtual memory: 403 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212354885 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:05:54 2023 " "Processing ended: Tue Mar 7 18:05:54 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212354885 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212354885 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212354885 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212354885 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678222495047 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222495052 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:54:54 2023 " "Processing started: Tue Mar 7 20:54:54 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678222495052 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222495052 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222495052 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678222495248 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678222495248 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmp4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmp4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmp4 " "Found entity 1: EqCmp4" { } { { "EqCmp4.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222501791 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222501791 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmpDemo.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmpDemo.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmpDemo " "Found entity 1: EqCmpDemo" { } { { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222501792 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222501792 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmp8.vhd 2 1 " "Found 2 design units, including 1 entities, in source file EqCmp8.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 EqCmp8-Behavioral " "Found design unit 1: EqCmp8-Behavioral" { } { { "EqCmp8.vhd" "" { Text "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd" 13 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222502146 ""} { "Info" "ISGN_ENTITY_NAME" "1 EqCmp8 " "Found entity 1: EqCmp8" { } { { "EqCmp8.vhd" "" { Text "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd" 4 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222502146 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222502146 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_TOP" "EqCmpDemo " "Elaborating entity \"EqCmpDemo\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678222502196 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "EqCmp4 EqCmp4:inst1 " "Elaborating entity \"EqCmp4\" for hierarchy \"EqCmp4:inst1\"" { } { { "EqCmpDemo.bdf" "inst1" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 176 472 640 272 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678222502199 ""}
|
||||
{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678222502763 ""}
|
||||
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678222503335 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678222503335 ""}
|
||||
{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678222503360 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678222503360 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678222503360 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678222503360 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "433 " "Peak virtual memory: 433 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222503366 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:55:03 2023 " "Processing ended: Tue Mar 7 20:55:03 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222503366 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222503366 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:20 " "Total CPU time (on all processors): 00:00:20" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222503366 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222503366 ""}
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1 +1 @@
|
|||
DONE
|
||||
SOURCE
|
||||
|
|
|
@ -1,49 +1,49 @@
|
|||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678212366574 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678212366575 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 18:06:06 2023 " "Processing started: Tue Mar 7 18:06:06 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678212366575 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1678212366575 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta EqCmpDemo -c EqCmpDemo " "Command: quartus_sta EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1678212366575 ""}
|
||||
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1678212366599 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1678212366685 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1678212366685 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678212366739 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678212366739 ""}
|
||||
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "EqCmpDemo.sdc " "Synopsys Design Constraints File file not found: 'EqCmpDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1678212367062 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678212367062 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1678212367062 ""}
|
||||
{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1678212367063 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1678212367063 ""}
|
||||
{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1678212367063 ""}
|
||||
{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1678212367063 ""}
|
||||
{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1678212367067 ""}
|
||||
{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678212367068 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367069 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367072 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367072 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367073 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367073 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367074 ""}
|
||||
{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678212367075 ""}
|
||||
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678212367091 ""}
|
||||
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678212367348 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678212367362 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1678212367362 ""}
|
||||
{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1678212367362 ""}
|
||||
{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1678212367362 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367363 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367364 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367364 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367364 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367365 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367365 ""}
|
||||
{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678212367366 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678212367412 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1678212367412 ""}
|
||||
{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1678212367413 ""}
|
||||
{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1678212367413 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367414 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367415 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367416 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367416 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678212367417 ""}
|
||||
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678212367647 ""}
|
||||
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678212367648 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "533 " "Peak virtual memory: 533 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212367658 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:06:07 2023 " "Processing ended: Tue Mar 7 18:06:07 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212367658 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212367658 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212367658 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1678212367658 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678222517412 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222517412 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:55:17 2023 " "Processing started: Tue Mar 7 20:55:17 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678222517412 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1678222517412 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta EqCmpDemo -c EqCmpDemo " "Command: quartus_sta EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1678222517412 ""}
|
||||
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1678222517445 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1678222517549 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1678222517549 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678222517618 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678222517618 ""}
|
||||
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "EqCmpDemo.sdc " "Synopsys Design Constraints File file not found: 'EqCmpDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1678222518044 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678222518044 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1678222518044 ""}
|
||||
{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1678222518045 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1678222518045 ""}
|
||||
{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1678222518045 ""}
|
||||
{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1678222518045 ""}
|
||||
{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1678222518051 ""}
|
||||
{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678222518052 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518052 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518055 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518056 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518056 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518056 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518057 ""}
|
||||
{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678222518060 ""}
|
||||
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678222518078 ""}
|
||||
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678222518288 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678222518306 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1678222518306 ""}
|
||||
{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1678222518306 ""}
|
||||
{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1678222518306 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518306 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518307 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518307 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518308 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518308 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518308 ""}
|
||||
{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678222518310 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678222518359 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1678222518359 ""}
|
||||
{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1678222518360 ""}
|
||||
{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1678222518360 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518360 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518361 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518361 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518362 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1678222518362 ""}
|
||||
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678222518664 ""}
|
||||
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678222518664 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "534 " "Peak virtual memory: 534 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222518679 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:55:18 2023 " "Processing ended: Tue Mar 7 20:55:18 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222518679 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222518679 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222518679 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1678222518679 ""}
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1,4 +1,7 @@
|
|||
start_full_compilation:s
|
||||
start_assembler:s-start_full_compilation
|
||||
start_timing_analyzer:s-start_full_compilation
|
||||
start_eda_netlist_writer:s-start_full_compilation
|
||||
start_full_compilation:s:00:00:25
|
||||
start_analysis_synthesis:s:00:00:09-start_full_compilation
|
||||
start_analysis_elaboration:s-start_full_compilation
|
||||
start_fitter:s:00:00:10-start_full_compilation
|
||||
start_assembler:s:00:00:03-start_full_compilation
|
||||
start_timing_analyzer:s:00:00:02-start_full_compilation
|
||||
start_eda_netlist_writer:s:00:00:01-start_full_compilation
|
||||
|
|
|
@ -0,0 +1,4 @@
|
|||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678220103838 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Create Symbol File Quartus Prime " "Running Quartus Prime Create Symbol File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:15:03 2023 " "Processing started: Tue Mar 7 20:15:03 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo --generate_symbol=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd " "Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo --generate_symbol=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Create Symbol File 0 s 0 s Quartus Prime " "Quartus Prime Create Symbol File was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "695 " "Peak virtual memory: 695 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678220104340 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:15:04 2023 " "Processing ended: Tue Mar 7 20:15:04 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1678220104340 ""}
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1,5 +1,5 @@
|
|||
Assembler report for EqCmpDemo
|
||||
Tue Mar 7 18:06:05 2023
|
||||
Tue Mar 7 20:55:16 2023
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
|
@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+---------------------------------------------------------------+
|
||||
; Assembler Summary ;
|
||||
+-----------------------+---------------------------------------+
|
||||
; Assembler Status ; Successful - Tue Mar 7 18:06:05 2023 ;
|
||||
; Assembler Status ; Successful - Tue Mar 7 20:55:16 2023 ;
|
||||
; Revision Name ; EqCmpDemo ;
|
||||
; Top-level Entity Name ; EqCmpDemo ;
|
||||
; Family ; Cyclone IV E ;
|
||||
|
@ -78,15 +78,15 @@ https://fpgasoftware.intel.com/eula.
|
|||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Assembler
|
||||
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Info: Processing started: Tue Mar 7 18:06:03 2023
|
||||
Info: Processing started: Tue Mar 7 20:55:13 2023
|
||||
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo
|
||||
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
||||
Info (115031): Writing out detailed assembly data for power analysis
|
||||
Info (115030): Assembler is generating device programming files
|
||||
Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
|
||||
Info: Peak virtual memory: 365 megabytes
|
||||
Info: Processing ended: Tue Mar 7 18:06:05 2023
|
||||
Info: Elapsed time: 00:00:02
|
||||
Info: Total CPU time (on all processors): 00:00:02
|
||||
Info: Peak virtual memory: 366 megabytes
|
||||
Info: Processing ended: Tue Mar 7 20:55:16 2023
|
||||
Info: Elapsed time: 00:00:03
|
||||
Info: Total CPU time (on all processors): 00:00:03
|
||||
|
||||
|
||||
|
|
|
@ -1 +1 @@
|
|||
Tue Mar 7 18:06:09 2023
|
||||
Tue Mar 7 20:55:19 2023
|
||||
|
|
|
@ -1,5 +1,5 @@
|
|||
EDA Netlist Writer report for EqCmpDemo
|
||||
Tue Mar 7 18:06:08 2023
|
||||
Tue Mar 7 20:57:58 2023
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
|
@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+-------------------------------------------------------------------+
|
||||
; EDA Netlist Writer Summary ;
|
||||
+---------------------------+---------------------------------------+
|
||||
; EDA Netlist Writer Status ; Successful - Tue Mar 7 18:06:08 2023 ;
|
||||
; EDA Netlist Writer Status ; Successful - Tue Mar 7 20:57:58 2023 ;
|
||||
; Revision Name ; EqCmpDemo ;
|
||||
; Top-level Entity Name ; EqCmpDemo ;
|
||||
; Family ; Cyclone IV E ;
|
||||
|
@ -66,13 +66,13 @@ https://fpgasoftware.intel.com/eula.
|
|||
+---------------------------------------------------------------------------------------------------+------------------------+
|
||||
|
||||
|
||||
+-------------------------------------------------------------------------------------------------------+
|
||||
; Simulation Generated Files ;
|
||||
+-------------------------------------------------------------------------------------------------------+
|
||||
; Generated Files ;
|
||||
+-------------------------------------------------------------------------------------------------------+
|
||||
; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo.vho ;
|
||||
+-------------------------------------------------------------------------------------------------------+
|
||||
+----------------------------------------------------------------------------------------------------+
|
||||
; Simulation Generated Files ;
|
||||
+----------------------------------------------------------------------------------------------------+
|
||||
; Generated Files ;
|
||||
+----------------------------------------------------------------------------------------------------+
|
||||
; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//EqCmpDemo.vho ;
|
||||
+----------------------------------------------------------------------------------------------------+
|
||||
|
||||
|
||||
+-----------------------------+
|
||||
|
@ -81,14 +81,28 @@ https://fpgasoftware.intel.com/eula.
|
|||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime EDA Netlist Writer
|
||||
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Info: Processing started: Tue Mar 7 18:06:08 2023
|
||||
Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo
|
||||
Info: Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
Info: Your use of Intel Corporation's design tools, logic functions
|
||||
Info: and other software and tools, and any partner logic
|
||||
Info: functions, and any output files from any of the foregoing
|
||||
Info: (including device programming or simulation files), and any
|
||||
Info: associated documentation or information are expressly subject
|
||||
Info: to the terms and conditions of the Intel Program License
|
||||
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
Info: the Intel FPGA IP License Agreement, or other applicable license
|
||||
Info: agreement, including, without limitation, that your use is for
|
||||
Info: the sole purpose of programming logic devices manufactured by
|
||||
Info: Intel and sold by Intel or its authorized distributors. Please
|
||||
Info: refer to the applicable agreement for further details, at
|
||||
Info: https://fpgasoftware.intel.com/eula.
|
||||
Info: Processing started: Tue Mar 7 20:57:57 2023
|
||||
Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo
|
||||
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
||||
Info (204019): Generated file EqCmpDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/" for EDA simulation tool
|
||||
Info (204019): Generated file EqCmpDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//" for EDA simulation tool
|
||||
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
|
||||
Info: Peak virtual memory: 614 megabytes
|
||||
Info: Processing ended: Tue Mar 7 18:06:08 2023
|
||||
Info: Elapsed time: 00:00:00
|
||||
Info: Peak virtual memory: 613 megabytes
|
||||
Info: Processing ended: Tue Mar 7 20:57:58 2023
|
||||
Info: Elapsed time: 00:00:01
|
||||
Info: Total CPU time (on all processors): 00:00:00
|
||||
|
||||
|
||||
|
|
|
@ -1,5 +1,5 @@
|
|||
Fitter report for EqCmpDemo
|
||||
Tue Mar 7 18:06:02 2023
|
||||
Tue Mar 7 20:55:13 2023
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
|
@ -64,7 +64,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+----------------------------------------------------------------------------------+
|
||||
; Fitter Summary ;
|
||||
+------------------------------------+---------------------------------------------+
|
||||
; Fitter Status ; Successful - Tue Mar 7 18:06:02 2023 ;
|
||||
; Fitter Status ; Successful - Tue Mar 7 20:55:13 2023 ;
|
||||
; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
|
||||
; Revision Name ; EqCmpDemo ;
|
||||
; Top-level Entity Name ; EqCmpDemo ;
|
||||
|
@ -151,12 +151,12 @@ https://fpgasoftware.intel.com/eula.
|
|||
; Number detected on machine ; 8 ;
|
||||
; Maximum allowed ; 4 ;
|
||||
; ; ;
|
||||
; Average used ; 1.01 ;
|
||||
; Average used ; 1.00 ;
|
||||
; Maximum used ; 4 ;
|
||||
; ; ;
|
||||
; Usage by Processor ; % Time Used ;
|
||||
; Processor 1 ; 100.0% ;
|
||||
; Processors 2-4 ; 0.2% ;
|
||||
; Processors 2-4 ; 0.0% ;
|
||||
+----------------------------+-------------+
|
||||
|
||||
|
||||
|
@ -2171,14 +2171,14 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
|
|||
+----------+--------------------------------------+
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Fitter Resource Utilization by Entity ;
|
||||
+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+-------------+--------------+
|
||||
; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+-------------+--------------+
|
||||
; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 73 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |EqCmpDemo ; EqCmpDemo ; work ;
|
||||
; |EqCmp4:inst| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |EqCmpDemo|EqCmp4:inst ; EqCmp4 ; work ;
|
||||
+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+-------------+--------------+
|
||||
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Fitter Resource Utilization by Entity ;
|
||||
+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+-------------+--------------+
|
||||
; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+-------------+--------------+
|
||||
; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 73 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |EqCmpDemo ; EqCmpDemo ; work ;
|
||||
; |EqCmp4:inst1| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |EqCmpDemo|EqCmp4:inst1 ; EqCmp4 ; work ;
|
||||
+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+-------------+--------------+
|
||||
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
|
||||
|
||||
|
||||
|
@ -2199,28 +2199,28 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
|||
+---------+----------+---------------+---------------+-----------------------+-----+------+
|
||||
|
||||
|
||||
+---------------------------------------------------------+
|
||||
; Pad To Core Delay Chain Fanout ;
|
||||
+---------------------------+-------------------+---------+
|
||||
; Source Pin / Fanout ; Pad To Core Index ; Setting ;
|
||||
+---------------------------+-------------------+---------+
|
||||
; SW[4] ; ; ;
|
||||
; - EqCmp4:inst|inst~0 ; 0 ; 6 ;
|
||||
; SW[5] ; ; ;
|
||||
; - EqCmp4:inst|inst~0 ; 0 ; 6 ;
|
||||
; SW[1] ; ; ;
|
||||
; - EqCmp4:inst|inst~0 ; 0 ; 6 ;
|
||||
; SW[0] ; ; ;
|
||||
; - EqCmp4:inst|inst~0 ; 0 ; 6 ;
|
||||
; SW[6] ; ; ;
|
||||
; - EqCmp4:inst|inst~1 ; 0 ; 6 ;
|
||||
; SW[7] ; ; ;
|
||||
; - EqCmp4:inst|inst~1 ; 1 ; 6 ;
|
||||
; SW[3] ; ; ;
|
||||
; - EqCmp4:inst|inst~1 ; 0 ; 6 ;
|
||||
; SW[2] ; ; ;
|
||||
; - EqCmp4:inst|inst~1 ; 0 ; 6 ;
|
||||
+---------------------------+-------------------+---------+
|
||||
+----------------------------------------------------------+
|
||||
; Pad To Core Delay Chain Fanout ;
|
||||
+----------------------------+-------------------+---------+
|
||||
; Source Pin / Fanout ; Pad To Core Index ; Setting ;
|
||||
+----------------------------+-------------------+---------+
|
||||
; SW[4] ; ; ;
|
||||
; - EqCmp4:inst1|inst~0 ; 0 ; 6 ;
|
||||
; SW[5] ; ; ;
|
||||
; - EqCmp4:inst1|inst~0 ; 0 ; 6 ;
|
||||
; SW[1] ; ; ;
|
||||
; - EqCmp4:inst1|inst~0 ; 0 ; 6 ;
|
||||
; SW[0] ; ; ;
|
||||
; - EqCmp4:inst1|inst~0 ; 0 ; 6 ;
|
||||
; SW[6] ; ; ;
|
||||
; - EqCmp4:inst1|inst~1 ; 0 ; 6 ;
|
||||
; SW[7] ; ; ;
|
||||
; - EqCmp4:inst1|inst~1 ; 1 ; 6 ;
|
||||
; SW[3] ; ; ;
|
||||
; - EqCmp4:inst1|inst~1 ; 0 ; 6 ;
|
||||
; SW[2] ; ; ;
|
||||
; - EqCmp4:inst1|inst~1 ; 0 ; 6 ;
|
||||
+----------------------------+-------------------+---------+
|
||||
|
||||
|
||||
+------------------------------------------------+
|
||||
|
@ -3058,7 +3058,7 @@ Info (334003): Started post-fitting delay annotation
|
|||
Info (334004): Delay annotation completed successfully
|
||||
Info (334003): Started post-fitting delay annotation
|
||||
Info (334004): Delay annotation completed successfully
|
||||
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01
|
||||
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
|
||||
Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
|
||||
Warning (169177): 25 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
|
||||
Info (169178): Pin AUD_ADCDAT uses I/O standard 3.3-V LVTTL at D2
|
||||
|
@ -3088,10 +3088,10 @@ Warning (169177): 25 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and
|
|||
Info (169178): Pin UART_RXD uses I/O standard 3.3-V LVTTL at G12
|
||||
Info (144001): Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.smsg
|
||||
Info: Quartus Prime Fitter was successful. 0 errors, 534 warnings
|
||||
Info: Peak virtual memory: 1155 megabytes
|
||||
Info: Processing ended: Tue Mar 7 18:06:02 2023
|
||||
Info: Elapsed time: 00:00:07
|
||||
Info: Total CPU time (on all processors): 00:00:10
|
||||
Info: Peak virtual memory: 1157 megabytes
|
||||
Info: Processing ended: Tue Mar 7 20:55:13 2023
|
||||
Info: Elapsed time: 00:00:10
|
||||
Info: Total CPU time (on all processors): 00:00:15
|
||||
|
||||
|
||||
+----------------------------+
|
||||
|
|
|
@ -1,4 +1,4 @@
|
|||
Fitter Status : Successful - Tue Mar 7 18:06:02 2023
|
||||
Fitter Status : Successful - Tue Mar 7 20:55:13 2023
|
||||
Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Revision Name : EqCmpDemo
|
||||
Top-level Entity Name : EqCmpDemo
|
||||
|
|
|
@ -1,5 +1,5 @@
|
|||
Flow report for EqCmpDemo
|
||||
Tue Mar 7 18:06:08 2023
|
||||
Tue Mar 7 20:57:58 2023
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
|
@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+----------------------------------------------------------------------------------+
|
||||
; Flow Summary ;
|
||||
+------------------------------------+---------------------------------------------+
|
||||
; Flow Status ; Successful - Tue Mar 7 18:06:08 2023 ;
|
||||
; Flow Status ; Successful - Tue Mar 7 20:57:58 2023 ;
|
||||
; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
|
||||
; Revision Name ; EqCmpDemo ;
|
||||
; Top-level Entity Name ; EqCmpDemo ;
|
||||
|
@ -65,7 +65,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+-------------------+---------------------+
|
||||
; Option ; Setting ;
|
||||
+-------------------+---------------------+
|
||||
; Start date & time ; 03/07/2023 18:05:48 ;
|
||||
; Start date & time ; 03/07/2023 20:54:55 ;
|
||||
; Main task ; Compilation ;
|
||||
; Revision Name ; EqCmpDemo ;
|
||||
+-------------------+---------------------+
|
||||
|
@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+
|
||||
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
|
||||
+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+
|
||||
; COMPILER_SIGNATURE_ID ; 198516037997543.167821234811082 ; -- ; -- ; -- ;
|
||||
; COMPILER_SIGNATURE_ID ; 2690080394329.167822249510628 ; -- ; -- ; -- ;
|
||||
; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ;
|
||||
; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ;
|
||||
; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ;
|
||||
|
@ -101,12 +101,14 @@ https://fpgasoftware.intel.com/eula.
|
|||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Analysis & Synthesis ; 00:00:07 ; 1.0 ; 399 MB ; 00:00:17 ;
|
||||
; Fitter ; 00:00:07 ; 1.0 ; 1155 MB ; 00:00:10 ;
|
||||
; Assembler ; 00:00:02 ; 1.0 ; 365 MB ; 00:00:02 ;
|
||||
; Timing Analyzer ; 00:00:01 ; 1.0 ; 533 MB ; 00:00:01 ;
|
||||
; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 614 MB ; 00:00:00 ;
|
||||
; Total ; 00:00:17 ; -- ; -- ; 00:00:30 ;
|
||||
; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 433 MB ; 00:00:20 ;
|
||||
; Fitter ; 00:00:10 ; 1.0 ; 1157 MB ; 00:00:15 ;
|
||||
; Assembler ; 00:00:03 ; 1.0 ; 366 MB ; 00:00:03 ;
|
||||
; Timing Analyzer ; 00:00:01 ; 1.0 ; 534 MB ; 00:00:01 ;
|
||||
; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 612 MB ; 00:00:00 ;
|
||||
; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 609 MB ; 00:00:00 ;
|
||||
; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 613 MB ; 00:00:00 ;
|
||||
; Total ; 00:00:25 ; -- ; -- ; 00:00:39 ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
|
||||
|
||||
|
@ -120,6 +122,8 @@ https://fpgasoftware.intel.com/eula.
|
|||
; Assembler ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ;
|
||||
; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ;
|
||||
; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ;
|
||||
; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ;
|
||||
; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ;
|
||||
+----------------------+------------------+----------------+------------+----------------+
|
||||
|
||||
|
||||
|
@ -131,6 +135,8 @@ quartus_fit --read_settings_files=off --write_settings_files=off EqCmpDemo -c Eq
|
|||
quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo
|
||||
quartus_sta EqCmpDemo -c EqCmpDemo
|
||||
quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo
|
||||
quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht
|
||||
quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo
|
||||
|
||||
|
||||
|
||||
|
|
|
@ -1,5 +1,5 @@
|
|||
Analysis & Synthesis report for EqCmpDemo
|
||||
Tue Mar 7 18:05:54 2023
|
||||
Tue Mar 7 20:55:03 2023
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
|
@ -43,7 +43,7 @@ https://fpgasoftware.intel.com/eula.
|
|||
+----------------------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Summary ;
|
||||
+------------------------------------+---------------------------------------------+
|
||||
; Analysis & Synthesis Status ; Successful - Tue Mar 7 18:05:54 2023 ;
|
||||
; Analysis & Synthesis Status ; Successful - Tue Mar 7 20:55:03 2023 ;
|
||||
; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
|
||||
; Revision Name ; EqCmpDemo ;
|
||||
; Top-level Entity Name ; EqCmpDemo ;
|
||||
|
@ -172,46 +172,46 @@ https://fpgasoftware.intel.com/eula.
|
|||
+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------------+---------+
|
||||
|
||||
|
||||
+------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Resource Usage Summary ;
|
||||
+---------------------------------------------+--------------------+
|
||||
; Resource ; Usage ;
|
||||
+---------------------------------------------+--------------------+
|
||||
; Estimated Total logic elements ; 3 ;
|
||||
; ; ;
|
||||
; Total combinational functions ; 3 ;
|
||||
; Logic element usage by number of LUT inputs ; ;
|
||||
; -- 4 input functions ; 2 ;
|
||||
; -- 3 input functions ; 0 ;
|
||||
; -- <=2 input functions ; 1 ;
|
||||
; ; ;
|
||||
; Logic elements by mode ; ;
|
||||
; -- normal mode ; 3 ;
|
||||
; -- arithmetic mode ; 0 ;
|
||||
; ; ;
|
||||
; Total registers ; 0 ;
|
||||
; -- Dedicated logic registers ; 0 ;
|
||||
; -- I/O registers ; 0 ;
|
||||
; ; ;
|
||||
; I/O pins ; 9 ;
|
||||
; ; ;
|
||||
; Embedded Multiplier 9-bit elements ; 0 ;
|
||||
; ; ;
|
||||
; Maximum fan-out node ; EqCmp4:inst|inst~0 ;
|
||||
; Maximum fan-out ; 1 ;
|
||||
; Total fan-out ; 20 ;
|
||||
; Average fan-out ; 0.95 ;
|
||||
+---------------------------------------------+--------------------+
|
||||
+-------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Resource Usage Summary ;
|
||||
+---------------------------------------------+---------------------+
|
||||
; Resource ; Usage ;
|
||||
+---------------------------------------------+---------------------+
|
||||
; Estimated Total logic elements ; 3 ;
|
||||
; ; ;
|
||||
; Total combinational functions ; 3 ;
|
||||
; Logic element usage by number of LUT inputs ; ;
|
||||
; -- 4 input functions ; 2 ;
|
||||
; -- 3 input functions ; 0 ;
|
||||
; -- <=2 input functions ; 1 ;
|
||||
; ; ;
|
||||
; Logic elements by mode ; ;
|
||||
; -- normal mode ; 3 ;
|
||||
; -- arithmetic mode ; 0 ;
|
||||
; ; ;
|
||||
; Total registers ; 0 ;
|
||||
; -- Dedicated logic registers ; 0 ;
|
||||
; -- I/O registers ; 0 ;
|
||||
; ; ;
|
||||
; I/O pins ; 9 ;
|
||||
; ; ;
|
||||
; Embedded Multiplier 9-bit elements ; 0 ;
|
||||
; ; ;
|
||||
; Maximum fan-out node ; EqCmp4:inst1|inst~0 ;
|
||||
; Maximum fan-out ; 1 ;
|
||||
; Total fan-out ; 20 ;
|
||||
; Average fan-out ; 0.95 ;
|
||||
+---------------------------------------------+---------------------+
|
||||
|
||||
|
||||
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Resource Utilization by Entity ;
|
||||
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+
|
||||
; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+
|
||||
; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 9 ; 0 ; |EqCmpDemo ; EqCmpDemo ; work ;
|
||||
; |EqCmp4:inst| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |EqCmpDemo|EqCmp4:inst ; EqCmp4 ; work ;
|
||||
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+
|
||||
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Analysis & Synthesis Resource Utilization by Entity ;
|
||||
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+-------------+--------------+
|
||||
; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ;
|
||||
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+-------------+--------------+
|
||||
; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 9 ; 0 ; |EqCmpDemo ; EqCmpDemo ; work ;
|
||||
; |EqCmp4:inst1| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |EqCmpDemo|EqCmp4:inst1 ; EqCmp4 ; work ;
|
||||
+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+-------------+--------------+
|
||||
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
|
||||
|
||||
|
||||
|
@ -261,7 +261,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
|
|||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Analysis & Synthesis
|
||||
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Info: Processing started: Tue Mar 7 18:05:47 2023
|
||||
Info: Processing started: Tue Mar 7 20:54:54 2023
|
||||
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo
|
||||
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
||||
Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
|
||||
|
@ -269,8 +269,11 @@ Info (12021): Found 1 design units, including 1 entities, in source file EqCmp4.
|
|||
Info (12023): Found entity 1: EqCmp4
|
||||
Info (12021): Found 1 design units, including 1 entities, in source file EqCmpDemo.bdf
|
||||
Info (12023): Found entity 1: EqCmpDemo
|
||||
Info (12021): Found 2 design units, including 1 entities, in source file EqCmp8.vhd
|
||||
Info (12022): Found design unit 1: EqCmp8-Behavioral File: /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd Line: 13
|
||||
Info (12023): Found entity 1: EqCmp8 File: /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd Line: 4
|
||||
Info (12127): Elaborating entity "EqCmpDemo" for the top level hierarchy
|
||||
Info (12128): Elaborating entity "EqCmp4" for hierarchy "EqCmp4:inst"
|
||||
Info (12128): Elaborating entity "EqCmp4" for hierarchy "EqCmp4:inst1"
|
||||
Info (286030): Timing-Driven Synthesis is running
|
||||
Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
|
||||
Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
|
||||
|
@ -279,9 +282,9 @@ Info (21057): Implemented 12 device resources after synthesis - the final resour
|
|||
Info (21059): Implemented 1 output pins
|
||||
Info (21061): Implemented 3 logic cells
|
||||
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning
|
||||
Info: Peak virtual memory: 403 megabytes
|
||||
Info: Processing ended: Tue Mar 7 18:05:54 2023
|
||||
Info: Elapsed time: 00:00:07
|
||||
Info: Total CPU time (on all processors): 00:00:17
|
||||
Info: Peak virtual memory: 433 megabytes
|
||||
Info: Processing ended: Tue Mar 7 20:55:03 2023
|
||||
Info: Elapsed time: 00:00:09
|
||||
Info: Total CPU time (on all processors): 00:00:20
|
||||
|
||||
|
||||
|
|
|
@ -1,4 +1,4 @@
|
|||
Analysis & Synthesis Status : Successful - Tue Mar 7 18:05:54 2023
|
||||
Analysis & Synthesis Status : Successful - Tue Mar 7 20:55:03 2023
|
||||
Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Revision Name : EqCmpDemo
|
||||
Top-level Entity Name : EqCmpDemo
|
||||
|
|
Binary file not shown.
|
@ -1,5 +1,5 @@
|
|||
Timing Analyzer report for EqCmpDemo
|
||||
Tue Mar 7 18:06:07 2023
|
||||
Tue Mar 7 20:55:18 2023
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
|
@ -457,7 +457,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
|
|||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Timing Analyzer
|
||||
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Info: Processing started: Tue Mar 7 18:06:06 2023
|
||||
Info: Processing started: Tue Mar 7 20:55:17 2023
|
||||
Info: Command: quartus_sta EqCmpDemo -c EqCmpDemo
|
||||
Info: qsta_default_script.tcl version: #1
|
||||
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
||||
|
@ -505,8 +505,8 @@ Info (332140): No Minimum Pulse Width paths to report
|
|||
Info (332102): Design is not fully constrained for setup requirements
|
||||
Info (332102): Design is not fully constrained for hold requirements
|
||||
Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings
|
||||
Info: Peak virtual memory: 533 megabytes
|
||||
Info: Processing ended: Tue Mar 7 18:06:07 2023
|
||||
Info: Peak virtual memory: 534 megabytes
|
||||
Info: Processing ended: Tue Mar 7 20:55:18 2023
|
||||
Info: Elapsed time: 00:00:01
|
||||
Info: Total CPU time (on all processors): 00:00:01
|
||||
|
||||
|
|
|
@ -17,7 +17,7 @@
|
|||
-- PROGRAM "Quartus Prime"
|
||||
-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition"
|
||||
|
||||
-- DATE "03/07/2023 18:06:08"
|
||||
-- DATE "03/07/2023 20:55:19"
|
||||
|
||||
--
|
||||
-- Device: Altera EP4CE115F29C7 Package FBGA780
|
||||
|
@ -294,13 +294,13 @@ SIGNAL \SW[1]~input_o\ : std_logic;
|
|||
SIGNAL \SW[0]~input_o\ : std_logic;
|
||||
SIGNAL \SW[5]~input_o\ : std_logic;
|
||||
SIGNAL \SW[4]~input_o\ : std_logic;
|
||||
SIGNAL \inst|inst~0_combout\ : std_logic;
|
||||
SIGNAL \inst1|inst~0_combout\ : std_logic;
|
||||
SIGNAL \SW[7]~input_o\ : std_logic;
|
||||
SIGNAL \SW[6]~input_o\ : std_logic;
|
||||
SIGNAL \SW[3]~input_o\ : std_logic;
|
||||
SIGNAL \SW[2]~input_o\ : std_logic;
|
||||
SIGNAL \inst|inst~1_combout\ : std_logic;
|
||||
SIGNAL \inst|inst~combout\ : std_logic;
|
||||
SIGNAL \inst1|inst~1_combout\ : std_logic;
|
||||
SIGNAL \inst1|inst~combout\ : std_logic;
|
||||
|
||||
COMPONENT hard_block
|
||||
PORT (
|
||||
|
@ -330,7 +330,7 @@ GENERIC MAP (
|
|||
open_drain_output => "false")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => \inst|inst~combout\,
|
||||
i => \inst1|inst~combout\,
|
||||
devoe => ww_devoe,
|
||||
o => \LEDG[0]~output_o\);
|
||||
|
||||
|
@ -379,9 +379,9 @@ PORT MAP (
|
|||
o => \SW[4]~input_o\);
|
||||
|
||||
-- Location: LCCOMB_X114_Y15_N24
|
||||
\inst|inst~0\ : cycloneive_lcell_comb
|
||||
\inst1|inst~0\ : cycloneive_lcell_comb
|
||||
-- Equation(s):
|
||||
-- \inst|inst~0_combout\ = (\SW[1]~input_o\ & (\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) # (!\SW[1]~input_o\ & (!\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\))))
|
||||
-- \inst1|inst~0_combout\ = (\SW[1]~input_o\ & (\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) # (!\SW[1]~input_o\ & (!\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\))))
|
||||
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
|
@ -393,7 +393,7 @@ PORT MAP (
|
|||
datab => \SW[0]~input_o\,
|
||||
datac => \SW[5]~input_o\,
|
||||
datad => \SW[4]~input_o\,
|
||||
combout => \inst|inst~0_combout\);
|
||||
combout => \inst1|inst~0_combout\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y15_N1
|
||||
\SW[7]~input\ : cycloneive_io_ibuf
|
||||
|
@ -440,9 +440,9 @@ PORT MAP (
|
|||
o => \SW[2]~input_o\);
|
||||
|
||||
-- Location: LCCOMB_X114_Y15_N10
|
||||
\inst|inst~1\ : cycloneive_lcell_comb
|
||||
\inst1|inst~1\ : cycloneive_lcell_comb
|
||||
-- Equation(s):
|
||||
-- \inst|inst~1_combout\ = (\SW[7]~input_o\ & (\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) # (!\SW[7]~input_o\ & (!\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\))))
|
||||
-- \inst1|inst~1_combout\ = (\SW[7]~input_o\ & (\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) # (!\SW[7]~input_o\ & (!\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\))))
|
||||
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
|
@ -454,12 +454,12 @@ PORT MAP (
|
|||
datab => \SW[6]~input_o\,
|
||||
datac => \SW[3]~input_o\,
|
||||
datad => \SW[2]~input_o\,
|
||||
combout => \inst|inst~1_combout\);
|
||||
combout => \inst1|inst~1_combout\);
|
||||
|
||||
-- Location: LCCOMB_X114_Y15_N28
|
||||
\inst|inst\ : cycloneive_lcell_comb
|
||||
\inst1|inst\ : cycloneive_lcell_comb
|
||||
-- Equation(s):
|
||||
-- \inst|inst~combout\ = (\inst|inst~0_combout\ & \inst|inst~1_combout\)
|
||||
-- \inst1|inst~combout\ = (\inst1|inst~0_combout\ & \inst1|inst~1_combout\)
|
||||
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
|
@ -467,9 +467,9 @@ GENERIC MAP (
|
|||
sum_lutc_input => "datac")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
datab => \inst|inst~0_combout\,
|
||||
datad => \inst|inst~1_combout\,
|
||||
combout => \inst|inst~combout\);
|
||||
datab => \inst1|inst~0_combout\,
|
||||
datad => \inst1|inst~1_combout\,
|
||||
combout => \inst1|inst~combout\);
|
||||
|
||||
ww_LEDG(0) <= \LEDG[0]~output_o\;
|
||||
END structure;
|
||||
|
|
|
@ -1,6 +1,12 @@
|
|||
vendor_name = ModelSim
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.cbx.xml
|
||||
design_name = hard_block
|
||||
design_name = EqCmpDemo
|
||||
|
@ -9,10 +15,10 @@ instance = comp, \SW[1]~input\, SW[1]~input, EqCmpDemo, 1
|
|||
instance = comp, \SW[0]~input\, SW[0]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[5]~input\, SW[5]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[4]~input\, SW[4]~input, EqCmpDemo, 1
|
||||
instance = comp, \inst|inst~0\, inst|inst~0, EqCmpDemo, 1
|
||||
instance = comp, \inst1|inst~0\, inst1|inst~0, EqCmpDemo, 1
|
||||
instance = comp, \SW[7]~input\, SW[7]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[6]~input\, SW[6]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[3]~input\, SW[3]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[2]~input\, SW[2]~input, EqCmpDemo, 1
|
||||
instance = comp, \inst|inst~1\, inst|inst~1, EqCmpDemo, 1
|
||||
instance = comp, \inst|inst\, inst|inst, EqCmpDemo, 1
|
||||
instance = comp, \inst1|inst~1\, inst1|inst~1, EqCmpDemo, 1
|
||||
instance = comp, \inst1|inst\, inst1|inst, EqCmpDemo, 1
|
||||
|
|
|
@ -0,0 +1,143 @@
|
|||
-- Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
-- Your use of Intel Corporation's design tools, logic functions
|
||||
-- and other software and tools, and any partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Intel Program License
|
||||
-- Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
-- the Intel FPGA IP License Agreement, or other applicable license
|
||||
-- agreement, including, without limitation, that your use is for
|
||||
-- the sole purpose of programming logic devices manufactured by
|
||||
-- Intel and sold by Intel or its authorized distributors. Please
|
||||
-- refer to the applicable agreement for further details, at
|
||||
-- https://fpgasoftware.intel.com/eula.
|
||||
|
||||
-- *****************************************************************************
|
||||
-- This file contains a Vhdl test bench with test vectors .The test vectors
|
||||
-- are exported from a vector file in the Quartus Waveform Editor and apply to
|
||||
-- the top level entity of the current Quartus project .The user can use this
|
||||
-- testbench to simulate his design using a third-party simulation tool .
|
||||
-- *****************************************************************************
|
||||
-- Generated on "03/07/2023 20:57:57"
|
||||
|
||||
-- Vhdl Test Bench(with test vectors) for design : EqCmpDemo
|
||||
--
|
||||
-- Simulation tool : 3rd Party
|
||||
--
|
||||
|
||||
LIBRARY ieee;
|
||||
USE ieee.std_logic_1164.all;
|
||||
|
||||
ENTITY EqCmpDemo_vhd_vec_tst IS
|
||||
END EqCmpDemo_vhd_vec_tst;
|
||||
ARCHITECTURE EqCmpDemo_arch OF EqCmpDemo_vhd_vec_tst IS
|
||||
-- constants
|
||||
-- signals
|
||||
SIGNAL LEDG : STD_LOGIC_VECTOR(0 DOWNTO 0);
|
||||
SIGNAL SW : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
||||
COMPONENT EqCmpDemo
|
||||
PORT (
|
||||
LEDG : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
|
||||
SW : IN STD_LOGIC_VECTOR(7 DOWNTO 0)
|
||||
);
|
||||
END COMPONENT;
|
||||
BEGIN
|
||||
i1 : EqCmpDemo
|
||||
PORT MAP (
|
||||
-- list connections between master ports and signals
|
||||
LEDG => LEDG,
|
||||
SW => SW
|
||||
);
|
||||
-- SW[7]
|
||||
t_prcs_SW_7: PROCESS
|
||||
BEGIN
|
||||
SW(7) <= '0';
|
||||
WAIT FOR 400000 ps;
|
||||
SW(7) <= '1';
|
||||
WAIT FOR 400000 ps;
|
||||
SW(7) <= '0';
|
||||
WAIT;
|
||||
END PROCESS t_prcs_SW_7;
|
||||
-- SW[6]
|
||||
t_prcs_SW_6: PROCESS
|
||||
BEGIN
|
||||
FOR i IN 1 TO 2
|
||||
LOOP
|
||||
SW(6) <= '0';
|
||||
WAIT FOR 200000 ps;
|
||||
SW(6) <= '1';
|
||||
WAIT FOR 200000 ps;
|
||||
END LOOP;
|
||||
SW(6) <= '0';
|
||||
WAIT;
|
||||
END PROCESS t_prcs_SW_6;
|
||||
-- SW[5]
|
||||
t_prcs_SW_5: PROCESS
|
||||
BEGIN
|
||||
LOOP
|
||||
SW(5) <= '0';
|
||||
WAIT FOR 100000 ps;
|
||||
SW(5) <= '1';
|
||||
WAIT FOR 100000 ps;
|
||||
IF (NOW >= 1000000 ps) THEN WAIT; END IF;
|
||||
END LOOP;
|
||||
END PROCESS t_prcs_SW_5;
|
||||
-- SW[4]
|
||||
t_prcs_SW_4: PROCESS
|
||||
BEGIN
|
||||
LOOP
|
||||
SW(4) <= '0';
|
||||
WAIT FOR 50000 ps;
|
||||
SW(4) <= '1';
|
||||
WAIT FOR 50000 ps;
|
||||
IF (NOW >= 1000000 ps) THEN WAIT; END IF;
|
||||
END LOOP;
|
||||
END PROCESS t_prcs_SW_4;
|
||||
-- SW[3]
|
||||
t_prcs_SW_3: PROCESS
|
||||
BEGIN
|
||||
LOOP
|
||||
SW(3) <= '0';
|
||||
WAIT FOR 25000 ps;
|
||||
SW(3) <= '1';
|
||||
WAIT FOR 25000 ps;
|
||||
IF (NOW >= 1000000 ps) THEN WAIT; END IF;
|
||||
END LOOP;
|
||||
END PROCESS t_prcs_SW_3;
|
||||
-- SW[2]
|
||||
t_prcs_SW_2: PROCESS
|
||||
BEGIN
|
||||
LOOP
|
||||
SW(2) <= '0';
|
||||
WAIT FOR 12500 ps;
|
||||
SW(2) <= '1';
|
||||
WAIT FOR 12500 ps;
|
||||
IF (NOW >= 1000000 ps) THEN WAIT; END IF;
|
||||
END LOOP;
|
||||
END PROCESS t_prcs_SW_2;
|
||||
-- SW[1]
|
||||
t_prcs_SW_1: PROCESS
|
||||
BEGIN
|
||||
LOOP
|
||||
SW(1) <= '0';
|
||||
WAIT FOR 6250 ps;
|
||||
SW(1) <= '1';
|
||||
WAIT FOR 6250 ps;
|
||||
IF (NOW >= 1000000 ps) THEN WAIT; END IF;
|
||||
END LOOP;
|
||||
END PROCESS t_prcs_SW_1;
|
||||
-- SW[0]
|
||||
t_prcs_SW_0: PROCESS
|
||||
BEGIN
|
||||
FOR i IN 1 TO 148
|
||||
LOOP
|
||||
SW(0) <= '0';
|
||||
WAIT FOR 3375 ps;
|
||||
SW(0) <= '1';
|
||||
WAIT FOR 3375 ps;
|
||||
END LOOP;
|
||||
SW(0) <= '0';
|
||||
WAIT;
|
||||
END PROCESS t_prcs_SW_0;
|
||||
END EqCmpDemo_arch;
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,17 @@
|
|||
onerror {exit -code 1}
|
||||
vlib work
|
||||
vcom -work work EqCmpDemo.vho
|
||||
vcom -work work EqCmp4.vwf.vht
|
||||
vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst
|
||||
vcd file -direction EqCmpDemo.msim.vcd
|
||||
vcd add -internal EqCmpDemo_vhd_vec_tst/*
|
||||
vcd add -internal EqCmpDemo_vhd_vec_tst/i1/*
|
||||
proc simTimestamp {} {
|
||||
echo "Simulation time: $::now ps"
|
||||
if { [string equal running [runStatus]] } {
|
||||
after 2500 simTimestamp
|
||||
}
|
||||
}
|
||||
after 2500 simTimestamp
|
||||
run -all
|
||||
quit -f
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1 @@
|
|||
set tool_name "ModelSim-Altera (VHDL)"
|
|
@ -0,0 +1,477 @@
|
|||
-- Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
-- Your use of Intel Corporation's design tools, logic functions
|
||||
-- and other software and tools, and any partner logic
|
||||
-- functions, and any output files from any of the foregoing
|
||||
-- (including device programming or simulation files), and any
|
||||
-- associated documentation or information are expressly subject
|
||||
-- to the terms and conditions of the Intel Program License
|
||||
-- Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
-- the Intel FPGA IP License Agreement, or other applicable license
|
||||
-- agreement, including, without limitation, that your use is for
|
||||
-- the sole purpose of programming logic devices manufactured by
|
||||
-- Intel and sold by Intel or its authorized distributors. Please
|
||||
-- refer to the applicable agreement for further details, at
|
||||
-- https://fpgasoftware.intel.com/eula.
|
||||
|
||||
-- VENDOR "Altera"
|
||||
-- PROGRAM "Quartus Prime"
|
||||
-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition"
|
||||
|
||||
-- DATE "03/07/2023 20:57:58"
|
||||
|
||||
--
|
||||
-- Device: Altera EP4CE115F29C7 Package FBGA780
|
||||
--
|
||||
|
||||
--
|
||||
-- This VHDL file should be used for ModelSim-Altera (VHDL) only
|
||||
--
|
||||
|
||||
LIBRARY CYCLONEIVE;
|
||||
LIBRARY IEEE;
|
||||
USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL;
|
||||
USE IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
ENTITY hard_block IS
|
||||
PORT (
|
||||
devoe : IN std_logic;
|
||||
devclrn : IN std_logic;
|
||||
devpor : IN std_logic
|
||||
);
|
||||
END hard_block;
|
||||
|
||||
-- Design Ports Information
|
||||
-- AUD_ADCDAT => Location: PIN_D2, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- CLOCK2_50 => Location: PIN_AG14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- CLOCK3_50 => Location: PIN_AG15, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- CLOCK_50 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_INT_N => Location: PIN_A21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_LINK100 => Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ENET0_MDIO => Location: PIN_B21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_CLK => Location: PIN_A15, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_COL => Location: PIN_E15, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_CRS => Location: PIN_D15, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_DATA[0] => Location: PIN_C16, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_DATA[1] => Location: PIN_D16, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_DATA[2] => Location: PIN_D17, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_DATA[3] => Location: PIN_C15, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_DV => Location: PIN_C17, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_RX_ER => Location: PIN_D18, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET0_TX_CLK => Location: PIN_B17, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_INT_N => Location: PIN_D24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_LINK100 => Location: PIN_D13, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ENET1_MDIO => Location: PIN_D25, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_CLK => Location: PIN_B15, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_COL => Location: PIN_B22, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_CRS => Location: PIN_D20, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_DATA[0] => Location: PIN_B23, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_DATA[1] => Location: PIN_C21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_DATA[2] => Location: PIN_A23, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_DATA[3] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_DV => Location: PIN_A22, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_RX_ER => Location: PIN_C24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENET1_TX_CLK => Location: PIN_C22, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- ENETCLK_25 => Location: PIN_A14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- FL_RY => Location: PIN_Y1, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- HSMC_CLKIN0 => Location: PIN_AH15, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- IRDA_RXD => Location: PIN_Y15, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- KEY[0] => Location: PIN_M23, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- KEY[1] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- KEY[2] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- KEY[3] => Location: PIN_R24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- OTG_INT => Location: PIN_D5, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- SD_WP_N => Location: PIN_AF14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- SMA_CLKIN => Location: PIN_AH14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- SW[10] => Location: PIN_AC24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[11] => Location: PIN_AB24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[12] => Location: PIN_AB23, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[13] => Location: PIN_AA24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[14] => Location: PIN_AA23, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[15] => Location: PIN_AA22, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[16] => Location: PIN_Y24, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[17] => Location: PIN_Y23, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[8] => Location: PIN_AC25, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[9] => Location: PIN_AB25, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- TD_CLK27 => Location: PIN_B14, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[0] => Location: PIN_E8, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[1] => Location: PIN_A7, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[2] => Location: PIN_D8, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[3] => Location: PIN_C7, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[4] => Location: PIN_D7, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[5] => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[6] => Location: PIN_E7, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_DATA[7] => Location: PIN_F7, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_HS => Location: PIN_E5, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- TD_VS => Location: PIN_E4, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- UART_RTS => Location: PIN_J13, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- UART_RXD => Location: PIN_G12, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ~ALTERA_ASDO_DATA1~ => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
||||
-- ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 2.5 V, Current Strength: 8mA
|
||||
|
||||
|
||||
ARCHITECTURE structure OF hard_block IS
|
||||
SIGNAL gnd : std_logic := '0';
|
||||
SIGNAL vcc : std_logic := '1';
|
||||
SIGNAL unknown : std_logic := 'X';
|
||||
SIGNAL ww_devoe : std_logic;
|
||||
SIGNAL ww_devclrn : std_logic;
|
||||
SIGNAL ww_devpor : std_logic;
|
||||
SIGNAL \AUD_ADCDAT~padout\ : std_logic;
|
||||
SIGNAL \CLOCK2_50~padout\ : std_logic;
|
||||
SIGNAL \CLOCK3_50~padout\ : std_logic;
|
||||
SIGNAL \CLOCK_50~padout\ : std_logic;
|
||||
SIGNAL \ENET0_INT_N~padout\ : std_logic;
|
||||
SIGNAL \ENET0_LINK100~padout\ : std_logic;
|
||||
SIGNAL \ENET0_MDIO~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_CLK~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_COL~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_CRS~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[0]~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[1]~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[2]~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[3]~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DV~padout\ : std_logic;
|
||||
SIGNAL \ENET0_RX_ER~padout\ : std_logic;
|
||||
SIGNAL \ENET0_TX_CLK~padout\ : std_logic;
|
||||
SIGNAL \ENET1_INT_N~padout\ : std_logic;
|
||||
SIGNAL \ENET1_LINK100~padout\ : std_logic;
|
||||
SIGNAL \ENET1_MDIO~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_CLK~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_COL~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_CRS~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[0]~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[1]~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[2]~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[3]~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DV~padout\ : std_logic;
|
||||
SIGNAL \ENET1_RX_ER~padout\ : std_logic;
|
||||
SIGNAL \ENET1_TX_CLK~padout\ : std_logic;
|
||||
SIGNAL \ENETCLK_25~padout\ : std_logic;
|
||||
SIGNAL \FL_RY~padout\ : std_logic;
|
||||
SIGNAL \HSMC_CLKIN0~padout\ : std_logic;
|
||||
SIGNAL \IRDA_RXD~padout\ : std_logic;
|
||||
SIGNAL \KEY[0]~padout\ : std_logic;
|
||||
SIGNAL \KEY[1]~padout\ : std_logic;
|
||||
SIGNAL \KEY[2]~padout\ : std_logic;
|
||||
SIGNAL \KEY[3]~padout\ : std_logic;
|
||||
SIGNAL \OTG_INT~padout\ : std_logic;
|
||||
SIGNAL \SD_WP_N~padout\ : std_logic;
|
||||
SIGNAL \SMA_CLKIN~padout\ : std_logic;
|
||||
SIGNAL \TD_CLK27~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[0]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[1]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[2]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[3]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[4]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[5]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[6]~padout\ : std_logic;
|
||||
SIGNAL \TD_DATA[7]~padout\ : std_logic;
|
||||
SIGNAL \TD_HS~padout\ : std_logic;
|
||||
SIGNAL \TD_VS~padout\ : std_logic;
|
||||
SIGNAL \UART_RTS~padout\ : std_logic;
|
||||
SIGNAL \UART_RXD~padout\ : std_logic;
|
||||
SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic;
|
||||
SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic;
|
||||
SIGNAL \~ALTERA_DATA0~~padout\ : std_logic;
|
||||
SIGNAL \AUD_ADCDAT~ibuf_o\ : std_logic;
|
||||
SIGNAL \CLOCK2_50~ibuf_o\ : std_logic;
|
||||
SIGNAL \CLOCK3_50~ibuf_o\ : std_logic;
|
||||
SIGNAL \CLOCK_50~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_INT_N~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_LINK100~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_MDIO~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_CLK~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_COL~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_CRS~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[0]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[1]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[2]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DATA[3]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_DV~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_RX_ER~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET0_TX_CLK~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_INT_N~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_LINK100~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_MDIO~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_CLK~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_COL~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_CRS~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[0]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[1]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[2]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DATA[3]~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_DV~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_RX_ER~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENET1_TX_CLK~ibuf_o\ : std_logic;
|
||||
SIGNAL \ENETCLK_25~ibuf_o\ : std_logic;
|
||||
SIGNAL \FL_RY~ibuf_o\ : std_logic;
|
||||
SIGNAL \HSMC_CLKIN0~ibuf_o\ : std_logic;
|
||||
SIGNAL \IRDA_RXD~ibuf_o\ : std_logic;
|
||||
SIGNAL \KEY[0]~ibuf_o\ : std_logic;
|
||||
SIGNAL \KEY[1]~ibuf_o\ : std_logic;
|
||||
SIGNAL \KEY[2]~ibuf_o\ : std_logic;
|
||||
SIGNAL \KEY[3]~ibuf_o\ : std_logic;
|
||||
SIGNAL \OTG_INT~ibuf_o\ : std_logic;
|
||||
SIGNAL \SD_WP_N~ibuf_o\ : std_logic;
|
||||
SIGNAL \SMA_CLKIN~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[10]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[11]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[12]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[13]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[14]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[15]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[16]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[17]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[8]~ibuf_o\ : std_logic;
|
||||
SIGNAL \SW[9]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_CLK27~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[0]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[1]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[2]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[3]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[4]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[5]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[6]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_DATA[7]~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_HS~ibuf_o\ : std_logic;
|
||||
SIGNAL \TD_VS~ibuf_o\ : std_logic;
|
||||
SIGNAL \UART_RTS~ibuf_o\ : std_logic;
|
||||
SIGNAL \UART_RXD~ibuf_o\ : std_logic;
|
||||
SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic;
|
||||
SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic;
|
||||
SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic;
|
||||
SIGNAL SW : std_logic_vector(7 DOWNTO 0);
|
||||
|
||||
BEGIN
|
||||
|
||||
ww_devoe <= devoe;
|
||||
ww_devclrn <= devclrn;
|
||||
ww_devpor <= devpor;
|
||||
END structure;
|
||||
|
||||
|
||||
LIBRARY CYCLONEIVE;
|
||||
LIBRARY IEEE;
|
||||
USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL;
|
||||
USE IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
ENTITY EqCmpDemo IS
|
||||
PORT (
|
||||
LEDG : OUT std_logic_vector(0 DOWNTO 0);
|
||||
SW : IN std_logic_vector(7 DOWNTO 0)
|
||||
);
|
||||
END EqCmpDemo;
|
||||
|
||||
-- Design Ports Information
|
||||
-- LEDG[0] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[4] => Location: PIN_AB27, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[5] => Location: PIN_AC26, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[1] => Location: PIN_AC28, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[0] => Location: PIN_AB28, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[6] => Location: PIN_AD26, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[7] => Location: PIN_AB26, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[3] => Location: PIN_AD27, I/O Standard: 2.5 V, Current Strength: Default
|
||||
-- SW[2] => Location: PIN_AC27, I/O Standard: 2.5 V, Current Strength: Default
|
||||
|
||||
|
||||
ARCHITECTURE structure OF EqCmpDemo IS
|
||||
SIGNAL gnd : std_logic := '0';
|
||||
SIGNAL vcc : std_logic := '1';
|
||||
SIGNAL unknown : std_logic := 'X';
|
||||
SIGNAL devoe : std_logic := '1';
|
||||
SIGNAL devclrn : std_logic := '1';
|
||||
SIGNAL devpor : std_logic := '1';
|
||||
SIGNAL ww_devoe : std_logic;
|
||||
SIGNAL ww_devclrn : std_logic;
|
||||
SIGNAL ww_devpor : std_logic;
|
||||
SIGNAL ww_LEDG : std_logic_vector(0 DOWNTO 0);
|
||||
SIGNAL ww_SW : std_logic_vector(7 DOWNTO 0);
|
||||
SIGNAL \LEDG[0]~output_o\ : std_logic;
|
||||
SIGNAL \SW[1]~input_o\ : std_logic;
|
||||
SIGNAL \SW[0]~input_o\ : std_logic;
|
||||
SIGNAL \SW[5]~input_o\ : std_logic;
|
||||
SIGNAL \SW[4]~input_o\ : std_logic;
|
||||
SIGNAL \inst1|inst~0_combout\ : std_logic;
|
||||
SIGNAL \SW[7]~input_o\ : std_logic;
|
||||
SIGNAL \SW[6]~input_o\ : std_logic;
|
||||
SIGNAL \SW[3]~input_o\ : std_logic;
|
||||
SIGNAL \SW[2]~input_o\ : std_logic;
|
||||
SIGNAL \inst1|inst~1_combout\ : std_logic;
|
||||
SIGNAL \inst1|inst~combout\ : std_logic;
|
||||
|
||||
COMPONENT hard_block
|
||||
PORT (
|
||||
devoe : IN std_logic;
|
||||
devclrn : IN std_logic;
|
||||
devpor : IN std_logic);
|
||||
END COMPONENT;
|
||||
|
||||
BEGIN
|
||||
|
||||
LEDG <= ww_LEDG;
|
||||
ww_SW <= SW;
|
||||
ww_devoe <= devoe;
|
||||
ww_devclrn <= devclrn;
|
||||
ww_devpor <= devpor;
|
||||
auto_generated_inst : hard_block
|
||||
PORT MAP (
|
||||
devoe => ww_devoe,
|
||||
devclrn => ww_devclrn,
|
||||
devpor => ww_devpor);
|
||||
|
||||
-- Location: IOOBUF_X107_Y73_N9
|
||||
\LEDG[0]~output\ : cycloneive_io_obuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
open_drain_output => "false")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => \inst1|inst~combout\,
|
||||
devoe => ww_devoe,
|
||||
o => \LEDG[0]~output_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y14_N1
|
||||
\SW[1]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(1),
|
||||
o => \SW[1]~input_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y17_N1
|
||||
\SW[0]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(0),
|
||||
o => \SW[0]~input_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y11_N8
|
||||
\SW[5]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(5),
|
||||
o => \SW[5]~input_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y18_N8
|
||||
\SW[4]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(4),
|
||||
o => \SW[4]~input_o\);
|
||||
|
||||
-- Location: LCCOMB_X114_Y15_N24
|
||||
\inst1|inst~0\ : cycloneive_lcell_comb
|
||||
-- Equation(s):
|
||||
-- \inst1|inst~0_combout\ = (\SW[1]~input_o\ & (\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) # (!\SW[1]~input_o\ & (!\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\))))
|
||||
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
lut_mask => "1000010000100001",
|
||||
sum_lutc_input => "datac")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
dataa => \SW[1]~input_o\,
|
||||
datab => \SW[0]~input_o\,
|
||||
datac => \SW[5]~input_o\,
|
||||
datad => \SW[4]~input_o\,
|
||||
combout => \inst1|inst~0_combout\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y15_N1
|
||||
\SW[7]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(7),
|
||||
o => \SW[7]~input_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y10_N1
|
||||
\SW[6]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(6),
|
||||
o => \SW[6]~input_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y13_N8
|
||||
\SW[3]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(3),
|
||||
o => \SW[3]~input_o\);
|
||||
|
||||
-- Location: IOIBUF_X115_Y15_N8
|
||||
\SW[2]~input\ : cycloneive_io_ibuf
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
bus_hold => "false",
|
||||
simulate_z_as => "z")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
i => ww_SW(2),
|
||||
o => \SW[2]~input_o\);
|
||||
|
||||
-- Location: LCCOMB_X114_Y15_N10
|
||||
\inst1|inst~1\ : cycloneive_lcell_comb
|
||||
-- Equation(s):
|
||||
-- \inst1|inst~1_combout\ = (\SW[7]~input_o\ & (\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) # (!\SW[7]~input_o\ & (!\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\))))
|
||||
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
lut_mask => "1000010000100001",
|
||||
sum_lutc_input => "datac")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
dataa => \SW[7]~input_o\,
|
||||
datab => \SW[6]~input_o\,
|
||||
datac => \SW[3]~input_o\,
|
||||
datad => \SW[2]~input_o\,
|
||||
combout => \inst1|inst~1_combout\);
|
||||
|
||||
-- Location: LCCOMB_X114_Y15_N28
|
||||
\inst1|inst\ : cycloneive_lcell_comb
|
||||
-- Equation(s):
|
||||
-- \inst1|inst~combout\ = (\inst1|inst~0_combout\ & \inst1|inst~1_combout\)
|
||||
|
||||
-- pragma translate_off
|
||||
GENERIC MAP (
|
||||
lut_mask => "1100110000000000",
|
||||
sum_lutc_input => "datac")
|
||||
-- pragma translate_on
|
||||
PORT MAP (
|
||||
datab => \inst1|inst~0_combout\,
|
||||
datad => \inst1|inst~1_combout\,
|
||||
combout => \inst1|inst~combout\);
|
||||
|
||||
ww_LEDG(0) <= \LEDG[0]~output_o\;
|
||||
END structure;
|
||||
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,24 @@
|
|||
vendor_name = ModelSim
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd
|
||||
source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd
|
||||
source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.cbx.xml
|
||||
design_name = hard_block
|
||||
design_name = EqCmpDemo
|
||||
instance = comp, \LEDG[0]~output\, LEDG[0]~output, EqCmpDemo, 1
|
||||
instance = comp, \SW[1]~input\, SW[1]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[0]~input\, SW[0]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[5]~input\, SW[5]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[4]~input\, SW[4]~input, EqCmpDemo, 1
|
||||
instance = comp, \inst1|inst~0\, inst1|inst~0, EqCmpDemo, 1
|
||||
instance = comp, \SW[7]~input\, SW[7]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[6]~input\, SW[6]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[3]~input\, SW[3]~input, EqCmpDemo, 1
|
||||
instance = comp, \SW[2]~input\, SW[2]~input, EqCmpDemo, 1
|
||||
instance = comp, \inst1|inst~1\, inst1|inst~1, EqCmpDemo, 1
|
||||
instance = comp, \inst1|inst\, inst1|inst, EqCmpDemo, 1
|
|
@ -0,0 +1,47 @@
|
|||
# do EqCmpDemo.do
|
||||
# ** Warning: (vlib-34) Library already exists at "work".
|
||||
# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020
|
||||
# Start time: 20:57:58 on Mar 07,2023
|
||||
# vcom -work work EqCmpDemo.vho
|
||||
# -- Loading package STANDARD
|
||||
# -- Loading package TEXTIO
|
||||
# -- Loading package std_logic_1164
|
||||
# -- Loading package VITAL_Timing
|
||||
# -- Loading package VITAL_Primitives
|
||||
# -- Loading package cycloneive_atom_pack
|
||||
# -- Loading package cycloneive_components
|
||||
# -- Compiling entity hard_block
|
||||
# -- Compiling architecture structure of hard_block
|
||||
# -- Compiling entity EqCmpDemo
|
||||
# -- Compiling architecture structure of EqCmpDemo
|
||||
# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00
|
||||
# Errors: 0, Warnings: 0
|
||||
# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020
|
||||
# Start time: 20:57:58 on Mar 07,2023
|
||||
# vcom -work work EqCmp4.vwf.vht
|
||||
# -- Loading package STANDARD
|
||||
# -- Loading package TEXTIO
|
||||
# -- Loading package std_logic_1164
|
||||
# -- Compiling entity EqCmpDemo_vhd_vec_tst
|
||||
# -- Compiling architecture EqCmpDemo_arch of EqCmpDemo_vhd_vec_tst
|
||||
# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00
|
||||
# Errors: 0, Warnings: 0
|
||||
# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst
|
||||
# Start time: 20:57:58 on Mar 07,2023
|
||||
# Loading std.standard
|
||||
# Loading std.textio(body)
|
||||
# Loading ieee.std_logic_1164(body)
|
||||
# Loading work.eqcmpdemo_vhd_vec_tst(eqcmpdemo_arch)
|
||||
# Loading ieee.vital_timing(body)
|
||||
# Loading ieee.vital_primitives(body)
|
||||
# Loading cycloneive.cycloneive_atom_pack(body)
|
||||
# Loading cycloneive.cycloneive_components
|
||||
# Loading work.eqcmpdemo(structure)
|
||||
# Loading work.hard_block(structure)
|
||||
# Loading ieee.std_logic_arith(body)
|
||||
# Loading cycloneive.cycloneive_io_obuf(arch)
|
||||
# Loading cycloneive.cycloneive_io_ibuf(arch)
|
||||
# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb)
|
||||
# after#33
|
||||
# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00
|
||||
# Errors: 0, Warnings: 0
|
|
@ -0,0 +1,60 @@
|
|||
Determining the location of the ModelSim executable...
|
||||
|
||||
Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/
|
||||
|
||||
To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options
|
||||
Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used.
|
||||
|
||||
**** Generating the ModelSim Testbench ****
|
||||
|
||||
quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht"
|
||||
|
||||
Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Mar 7 20:57:56 2023Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vhtWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
||||
Completed successfully.
|
||||
|
||||
**** Generating the functional simulation netlist ****
|
||||
|
||||
quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo
|
||||
|
||||
Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Mar 7 20:57:57 2023Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemoWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file EqCmpDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 613 megabytes Info: Processing ended: Tue Mar 7 20:57:58 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00
|
||||
Completed successfully.
|
||||
|
||||
**** Generating the ModelSim .do script ****
|
||||
|
||||
/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.do generated.
|
||||
|
||||
Completed successfully.
|
||||
|
||||
**** Running the ModelSim simulation ****
|
||||
|
||||
/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do EqCmpDemo.do
|
||||
|
||||
Reading pref.tcl
|
||||
# 2020.1
|
||||
# do EqCmpDemo.do
|
||||
# ** Warning: (vlib-34) Library already exists at "work".
|
||||
# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020
|
||||
# Start time: 20:57:58 on Mar 07,2023# vcom -work work EqCmpDemo.vho # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Loading package VITAL_Timing# -- Loading package VITAL_Primitives# -- Loading package cycloneive_atom_pack# -- Loading package cycloneive_components# -- Compiling entity hard_block# -- Compiling architecture structure of hard_block# -- Compiling entity EqCmpDemo# -- Compiling architecture structure of EqCmpDemo# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020# Start time: 20:57:58 on Mar 07,2023# vcom -work work EqCmp4.vwf.vht # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Compiling entity EqCmpDemo_vhd_vec_tst# -- Compiling architecture EqCmpDemo_arch of EqCmpDemo_vhd_vec_tst
|
||||
# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0
|
||||
# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst # Start time: 20:57:58 on Mar 07,2023# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.eqcmpdemo_vhd_vec_tst(eqcmpdemo_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.eqcmpdemo(structure)# Loading work.hard_block(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb)
|
||||
# after#33
|
||||
# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0
|
||||
Completed successfully.
|
||||
|
||||
**** Converting ModelSim VCD to vector waveform ****
|
||||
|
||||
Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf...
|
||||
|
||||
Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.msim.vcd...
|
||||
|
||||
Processing channel transitions...
|
||||
|
||||
Warning: LEDG - signal not found in VCD.
|
||||
|
||||
Writing the resulting VWF to /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205759.sim.vwf
|
||||
|
||||
Finished VCD to VWF conversion.
|
||||
|
||||
Completed successfully.
|
||||
|
||||
All completed.
|
|
@ -0,0 +1,150 @@
|
|||
m255
|
||||
K4
|
||||
z2
|
||||
!s11e vcom 2020.1 2020.02, Feb 28 2020
|
||||
13
|
||||
!s112 1.1
|
||||
!i10d 8192
|
||||
!i10e 25
|
||||
!i10f 100
|
||||
cModel Technology
|
||||
Z0 d/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim
|
||||
Eeqcmpdemo
|
||||
Z1 w1678222678
|
||||
Z2 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1
|
||||
Z3 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`<He>D0
|
||||
Z4 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3
|
||||
Z5 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3
|
||||
Z6 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3
|
||||
Z7 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0e<PY]k2
|
||||
!i122 6
|
||||
R0
|
||||
Z8 8EqCmpDemo.vho
|
||||
Z9 FEqCmpDemo.vho
|
||||
l0
|
||||
L261 1
|
||||
VRKld]akeWm`hIa8ngD:]h1
|
||||
!s100 Szdh6edXID8bi5Aah<7]L2
|
||||
Z10 OV;C;2020.1;71
|
||||
32
|
||||
Z11 !s110 1678222678
|
||||
!i10b 1
|
||||
Z12 !s108 1678222678.000000
|
||||
Z13 !s90 -work|work|EqCmpDemo.vho|
|
||||
Z14 !s107 EqCmpDemo.vho|
|
||||
!i113 1
|
||||
Z15 o-work work
|
||||
Z16 tExplicit 1 CvgOpt 0
|
||||
Astructure
|
||||
R2
|
||||
R3
|
||||
R4
|
||||
R5
|
||||
R6
|
||||
R7
|
||||
DEx4 work 9 eqcmpdemo 0 22 RKld]akeWm`hIa8ngD:]h1
|
||||
!i122 6
|
||||
l312
|
||||
L280 196
|
||||
Vmj0PaGL6J;LjBk3bnT8^n2
|
||||
!s100 c6Z6HXJZ<:6ZShkWEPnPO0
|
||||
R10
|
||||
32
|
||||
R11
|
||||
!i10b 1
|
||||
R12
|
||||
R13
|
||||
R14
|
||||
!i113 1
|
||||
R15
|
||||
R16
|
||||
Eeqcmpdemo_vhd_vec_tst
|
||||
Z17 w1678222677
|
||||
R5
|
||||
R6
|
||||
!i122 7
|
||||
R0
|
||||
Z18 8EqCmp4.vwf.vht
|
||||
Z19 FEqCmp4.vwf.vht
|
||||
l0
|
||||
L32 1
|
||||
Vb:JYio=d0EkMR9d]fR]j>0
|
||||
!s100 EAzGZnge^cK[[`MOG6]P?1
|
||||
R10
|
||||
32
|
||||
R11
|
||||
!i10b 1
|
||||
R12
|
||||
Z20 !s90 -work|work|EqCmp4.vwf.vht|
|
||||
!s107 EqCmp4.vwf.vht|
|
||||
!i113 1
|
||||
R15
|
||||
R16
|
||||
Aeqcmpdemo_arch
|
||||
R5
|
||||
R6
|
||||
Z21 DEx4 work 21 eqcmpdemo_vhd_vec_tst 0 22 b:JYio=d0EkMR9d]fR]j>0
|
||||
!i122 7
|
||||
l45
|
||||
L34 110
|
||||
VjJYZm]EZdnJY9lR<;VGY63
|
||||
!s100 aKZFbkF_ioJo<W<8T0Ak]3
|
||||
R10
|
||||
32
|
||||
R11
|
||||
!i10b 1
|
||||
R12
|
||||
R20
|
||||
Z22 !s107 EqCmp4.vwf.vht|
|
||||
!i113 1
|
||||
R15
|
||||
R16
|
||||
Ehard_block
|
||||
R1
|
||||
R2
|
||||
R3
|
||||
R4
|
||||
R5
|
||||
R6
|
||||
R7
|
||||
!i122 6
|
||||
R0
|
||||
R8
|
||||
R9
|
||||
l0
|
||||
L35 1
|
||||
VB]0;STalBkCB1_B4BXQDW2
|
||||
!s100 >mXi5[`cD`bFC`UBKA<om3
|
||||
R10
|
||||
32
|
||||
R11
|
||||
!i10b 1
|
||||
R12
|
||||
R13
|
||||
R14
|
||||
!i113 1
|
||||
R15
|
||||
R16
|
||||
Astructure
|
||||
R2
|
||||
R3
|
||||
R4
|
||||
R5
|
||||
R6
|
||||
R7
|
||||
DEx4 work 10 hard_block 0 22 B]0;STalBkCB1_B4BXQDW2
|
||||
!i122 6
|
||||
l248
|
||||
L115 139
|
||||
V[HXLlX_3K>VK81oX[5d;^2
|
||||
!s100 iaEzY@oUO<hg5GA>cmJQf1
|
||||
R10
|
||||
32
|
||||
R11
|
||||
!i10b 1
|
||||
R12
|
||||
R13
|
||||
R14
|
||||
!i113 1
|
||||
R15
|
||||
R16
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -0,0 +1,4 @@
|
|||
m255
|
||||
K4
|
||||
z0
|
||||
cModel Technology
|
Loading…
Reference in New Issue