From 4f29cb8b9e1ebd44db153e72c3172a1c86be5683 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 22 Mar 2023 09:20:58 +0000 Subject: [PATCH 1/7] [LSD] pratica04 part2 added --- .../pratica04/RegisterDemo/Register.vhd.bak | 11 + .../lsd/pratica04/RegisterDemo/Register8.bsf | 58 + .../lsd/pratica04/RegisterDemo/Register8.vhd | 24 + .../lsd/pratica04/RegisterDemo/Register8.vwf | 760 +++++ .../pratica04/RegisterDemo/RegisterDemo.bdf | 140 + .../pratica04/RegisterDemo/RegisterDemo.qpf | 31 + .../pratica04/RegisterDemo/RegisterDemo.qsf | 585 ++++ .../RegisterDemo/RegisterDemo.qsf.bak | 65 + .../pratica04/RegisterDemo/RegisterDemo.qws | Bin 0 -> 48 bytes .../RegisterDemo/db/RegisterDemo.(0).cnf.cdb | Bin 0 -> 1413 bytes .../RegisterDemo/db/RegisterDemo.(0).cnf.hdb | Bin 0 -> 918 bytes .../RegisterDemo/db/RegisterDemo.(1).cnf.cdb | Bin 0 -> 1138 bytes .../RegisterDemo/db/RegisterDemo.(1).cnf.hdb | Bin 0 -> 656 bytes .../RegisterDemo/db/RegisterDemo.asm.qmsg | 7 + .../RegisterDemo/db/RegisterDemo.asm.rdb | Bin 0 -> 829 bytes .../RegisterDemo/db/RegisterDemo.asm_labs.ddb | Bin 0 -> 88454 bytes .../RegisterDemo/db/RegisterDemo.cbx.xml | 5 + .../RegisterDemo/db/RegisterDemo.cmp.bpm | Bin 0 -> 723 bytes .../RegisterDemo/db/RegisterDemo.cmp.cdb | Bin 0 -> 6502 bytes .../RegisterDemo/db/RegisterDemo.cmp.hdb | Bin 0 -> 27013 bytes .../RegisterDemo/db/RegisterDemo.cmp.idb | Bin 0 -> 1188 bytes .../RegisterDemo/db/RegisterDemo.cmp.logdb | 60 + .../RegisterDemo/db/RegisterDemo.cmp.rdb | Bin 0 -> 31741 bytes .../db/RegisterDemo.cmp_merge.kpt | Bin 0 -> 209 bytes ...ve_io_sim_cache.45um_ff_1200mv_0c_fast.hsd | Bin 0 -> 746429 bytes ...ve_io_sim_cache.45um_ii_1200mv_0c_slow.hsd | Bin 0 -> 749613 bytes ...e_io_sim_cache.45um_ii_1200mv_85c_slow.hsd | Bin 0 -> 749775 bytes .../RegisterDemo/db/RegisterDemo.db_info | 3 + .../RegisterDemo/db/RegisterDemo.eda.qmsg | 6 + .../RegisterDemo/db/RegisterDemo.fit.qmsg | 46 + .../RegisterDemo/db/RegisterDemo.hier_info | 56 + .../RegisterDemo/db/RegisterDemo.hif | Bin 0 -> 458 bytes .../RegisterDemo/db/RegisterDemo.lpc.html | 34 + .../RegisterDemo/db/RegisterDemo.lpc.rdb | Bin 0 -> 445 bytes .../RegisterDemo/db/RegisterDemo.lpc.txt | 7 + .../RegisterDemo/db/RegisterDemo.map.ammdb | Bin 0 -> 129 bytes .../RegisterDemo/db/RegisterDemo.map.bpm | Bin 0 -> 698 bytes .../RegisterDemo/db/RegisterDemo.map.cdb | Bin 0 -> 2972 bytes .../RegisterDemo/db/RegisterDemo.map.hdb | Bin 0 -> 26008 bytes .../RegisterDemo/db/RegisterDemo.map.kpt | Bin 0 -> 492 bytes .../RegisterDemo/db/RegisterDemo.map.logdb | 1 + .../RegisterDemo/db/RegisterDemo.map.qmsg | 13 + .../RegisterDemo/db/RegisterDemo.map.rdb | Bin 0 -> 1335 bytes .../RegisterDemo/db/RegisterDemo.map_bb.cdb | Bin 0 -> 2091 bytes .../RegisterDemo/db/RegisterDemo.map_bb.hdb | Bin 0 -> 24961 bytes .../RegisterDemo/db/RegisterDemo.map_bb.logdb | 1 + .../RegisterDemo/db/RegisterDemo.pre_map.hdb | Bin 0 -> 25566 bytes ...RegisterDemo.root_partition.map.reg_db.cdb | Bin 0 -> 226 bytes .../RegisterDemo/db/RegisterDemo.routing.rdb | Bin 0 -> 31360 bytes .../RegisterDemo/db/RegisterDemo.rtlv.hdb | Bin 0 -> 25500 bytes .../RegisterDemo/db/RegisterDemo.rtlv_sg.cdb | Bin 0 -> 1833 bytes .../db/RegisterDemo.rtlv_sg_swap.cdb | Bin 0 -> 682 bytes .../db/RegisterDemo.sld_design_entry.sci | Bin 0 -> 225 bytes .../db/RegisterDemo.sld_design_entry_dsc.sci | Bin 0 -> 225 bytes .../db/RegisterDemo.smart_action.txt | 1 + .../RegisterDemo/db/RegisterDemo.sta.qmsg | 44 + .../RegisterDemo/db/RegisterDemo.sta.rdb | Bin 0 -> 7452 bytes ...RegisterDemo.sta_cmp.7_slow_1200mv_85c.tdb | Bin 0 -> 4562 bytes .../db/RegisterDemo.tis_db_list.ddb | Bin 0 -> 294 bytes .../db/RegisterDemo.tiscmp.fast_1200mv_0c.ddb | Bin 0 -> 118749 bytes .../db/RegisterDemo.tiscmp.slow_1200mv_0c.ddb | Bin 0 -> 119010 bytes .../RegisterDemo.tiscmp.slow_1200mv_85c.ddb | Bin 0 -> 118742 bytes .../RegisterDemo/db/RegisterDemo.tmw_info | 7 + .../RegisterDemo/db/RegisterDemo.vpr.ammdb | Bin 0 -> 308 bytes .../db/RegisterDemo_partition_pins.json | 81 + .../db/prev_cmp_RegisterDemo.qmsg | 4 + .../RegisterDemo/incremental_db/README | 11 + .../compiled_partitions/RegisterDemo.db_info | 3 + .../RegisterDemo.root_partition.cmp.ammdb | Bin 0 -> 305 bytes .../RegisterDemo.root_partition.cmp.cdb | Bin 0 -> 3926 bytes .../RegisterDemo.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../RegisterDemo.root_partition.cmp.hdb | Bin 0 -> 25571 bytes .../RegisterDemo.root_partition.cmp.logdb | 1 + .../RegisterDemo.root_partition.cmp.rcfdb | Bin 0 -> 3160 bytes .../RegisterDemo.root_partition.map.cdb | Bin 0 -> 2449 bytes .../RegisterDemo.root_partition.map.dpi | Bin 0 -> 805 bytes .../RegisterDemo.root_partition.map.hbdb.cdb | Bin 0 -> 1597 bytes ...gisterDemo.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes .../RegisterDemo.root_partition.map.hbdb.hdb | Bin 0 -> 24851 bytes .../RegisterDemo.root_partition.map.hbdb.sig | 1 + .../RegisterDemo.root_partition.map.hdb | Bin 0 -> 24690 bytes .../RegisterDemo.root_partition.map.kpt | Bin 0 -> 495 bytes .../compiled_partitions/RegisterDemo.rrp.hdb | Bin 0 -> 26920 bytes .../output_files/RegisterDemo.asm.rpt | 92 + .../output_files/RegisterDemo.done | 1 + .../output_files/RegisterDemo.eda.rpt | 94 + .../output_files/RegisterDemo.fit.rpt | 2606 +++++++++++++++++ .../output_files/RegisterDemo.fit.smsg | 8 + .../output_files/RegisterDemo.fit.summary | 16 + .../output_files/RegisterDemo.flow.rpt | 136 + .../output_files/RegisterDemo.jdi | 8 + .../output_files/RegisterDemo.map.rpt | 286 ++ .../output_files/RegisterDemo.map.summary | 14 + .../output_files/RegisterDemo.pin | 851 ++++++ .../output_files/RegisterDemo.sld | 1 + .../output_files/RegisterDemo.sof | Bin 0 -> 3541738 bytes .../output_files/RegisterDemo.sta.rpt | 527 ++++ .../output_files/RegisterDemo.sta.summary | 17 + .../simulation/modelsim/RegisterDemo.sft | 1 + .../simulation/modelsim/RegisterDemo.vho | 542 ++++ .../modelsim/RegisterDemo_modelsim.xrf | 39 + .../simulation/qsim/Register8.vwf.vht | 339 +++ .../simulation/qsim/RegisterDemo.do | 17 + .../simulation/qsim/RegisterDemo.msim.vcd | 863 ++++++ .../simulation/qsim/RegisterDemo.sft | 1 + .../simulation/qsim/RegisterDemo.vho | 414 +++ .../qsim/RegisterDemo_20230322091532.sim.vwf | 874 ++++++ .../simulation/qsim/RegisterDemo_modelsim.xrf | 34 + .../RegisterDemo/simulation/qsim/transcript | 47 + .../simulation/qsim/vwf_sim_transcript | 76 + .../RegisterDemo/simulation/qsim/work/_info | 105 + .../simulation/qsim/work/_lib.qdb | Bin 0 -> 49152 bytes .../simulation/qsim/work/_lib1_0.qdb | Bin 0 -> 32768 bytes .../simulation/qsim/work/_lib1_0.qpg | Bin 0 -> 196608 bytes .../simulation/qsim/work/_lib1_0.qtl | Bin 0 -> 42744 bytes .../RegisterDemo/simulation/qsim/work/_vmake | 4 + 116 files changed, 10079 insertions(+) create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/Register.vhd.bak create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.bsf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qpf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf.bak create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qws create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(0).cnf.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(0).cnf.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(1).cnf.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(1).cnf.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.qmsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.rdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm_labs.ddb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cbx.xml create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.bpm create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.idb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.logdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.rdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp_merge.kpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.eda.qmsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.fit.qmsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hier_info create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hif create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.lpc.html create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.lpc.rdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.lpc.txt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.ammdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.bpm create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.kpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.logdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.qmsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.rdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.logdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.pre_map.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.root_partition.map.reg_db.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.routing.rdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv_sg.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv_sg_swap.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sld_design_entry.sci create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sld_design_entry_dsc.sci create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.smart_action.txt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sta.qmsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sta.rdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sta_cmp.7_slow_1200mv_85c.tdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tis_db_list.ddb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.fast_1200mv_0c.ddb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.slow_1200mv_0c.ddb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.slow_1200mv_85c.ddb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.vpr.ammdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo_partition_pins.json create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/db/prev_cmp_RegisterDemo.qmsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/README create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.db_info create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.ammdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.dfp create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.logdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.rcfdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.dpi create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.cdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.hb_info create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.sig create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.kpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.rrp.hdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.asm.rpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.done create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.eda.rpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.rpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.summary create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.flow.rpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.jdi create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.rpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.summary create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.pin create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sld create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sof create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sta.rpt create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sta.summary create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo.sft create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo.vho create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo_modelsim.xrf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.do create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.msim.vcd create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.sft create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.vho create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_20230322091532.sim.vwf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_modelsim.xrf create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/transcript create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/vwf_sim_transcript create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_info create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib.qdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib1_0.qdb create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib1_0.qpg create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib1_0.qtl create mode 100644 1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_vmake diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/Register.vhd.bak b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register.vhd.bak new file mode 100644 index 0000000..aac5b72 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register.vhd.bak @@ -0,0 +1,11 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Register8 is + port + ( + dataIn : in std_logic_vector(7 downto 0); + clk : in std_logic; + dataOut : out std_logic_vector(7 downto 0) + ); +end Register8; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.bsf b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.bsf new file mode 100644 index 0000000..cdbd775 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.bsf @@ -0,0 +1,58 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 208 128) + (text "Register8" (rect 5 0 43 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "dataIn[7..0]" (rect 0 0 43 12)(font "Arial" )) + (text "dataIn[7..0]" (rect 21 27 64 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "clk" (rect 0 0 10 12)(font "Arial" )) + (text "clk" (rect 21 43 31 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "wrEn" (rect 0 0 21 12)(font "Arial" )) + (text "wrEn" (rect 21 59 42 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 192 32) + (output) + (text "dataOut[7..0]" (rect 0 0 50 12)(font "Arial" )) + (text "dataOut[7..0]" (rect 121 27 171 39)(font "Arial" )) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 96)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd new file mode 100644 index 0000000..e20439d --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd @@ -0,0 +1,24 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Register8 is + port + ( + dataIn : in std_logic_vector(7 downto 0); + clk : in std_logic; + wrEn : in std_logic; + dataOut : out std_logic_vector(7 downto 0) + ); +end Register8; + +architecture Behavioral of Register8 is +begin + process (clk) + begin + if (rising_edge(clk)) then + if (wrEn = '1') then + dataOut <= dataIn; + end if; + end if; + end process; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf new file mode 100644 index 0000000..ead81da --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf @@ -0,0 +1,760 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off RegisterDemo -c RegisterDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off RegisterDemo -c RegisterDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/" RegisterDemo -c RegisterDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/" RegisterDemo -c RegisterDemo +onerror {exit -code 1} +vlib work +vcom -work work RegisterDemo.vho +vcom -work work Register8.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Register8_vhd_vec_tst +vcd file -direction RegisterDemo.msim.vcd +vcd add -internal Register8_vhd_vec_tst/* +vcd add -internal Register8_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work RegisterDemo.vho +vcom -work work Register8.vwf.vht +vsim -novopt -c -t 1ps -sdfmax Register8_vhd_vec_tst/i1=RegisterDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Register8_vhd_vec_tst +vcd file -direction RegisterDemo.msim.vcd +vcd add -internal Register8_vhd_vec_tst/* +vcd add -internal Register8_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("dataOut[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("wrEn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 25; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + } + } +} + +TRANSITION_LIST("dataIn[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + } +} + +TRANSITION_LIST("dataIn[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + } +} + +TRANSITION_LIST("dataIn[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + } +} + +TRANSITION_LIST("dataIn[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 320.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + } +} + +TRANSITION_LIST("dataIn[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 80.0; + } +} + +TRANSITION_LIST("dataIn[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + } +} + +TRANSITION_LIST("dataIn[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("dataIn[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + } +} + +TRANSITION_LIST("dataOut[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("wrEn") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "wrEn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; + CHILDREN = 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf new file mode 100644 index 0000000..86c8ccb --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf @@ -0,0 +1,140 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 232 208 400 224) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[7..0]" (rect 5 0 48 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 232 224 400 240) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "KEY[0]" (rect 5 0 41 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 232 240 400 256) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[8]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 608 208 784 224) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "LEDR[7..0]" (rect 90 0 144 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 408 184 600 296) + (text "Register8" (rect 5 0 53 11)(font "Arial" )) + (text "inst" (rect 8 96 26 107)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "dataIn[7..0]" (rect 0 0 55 11)(font "Arial" )) + (text "dataIn[7..0]" (rect 21 27 76 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 43 36 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "wrEn" (rect 0 0 27 11)(font "Arial" )) + (text "wrEn" (rect 21 59 48 70)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 192 32) + (output) + (text "dataOut[7..0]" (rect 0 0 63 11)(font "Arial" )) + (text "dataOut[7..0]" (rect 118 27 181 38)(font "Arial" )) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 96)) + ) +) +(connector + (pt 600 216) + (pt 608 216) + (bus) +) +(connector + (pt 408 216) + (pt 400 216) + (bus) +) +(connector + (pt 408 232) + (pt 400 232) +) +(connector + (pt 408 248) + (pt 400 248) +) diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qpf b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qpf new file mode 100644 index 0000000..94cb36f --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 12:32:20 March 15, 2023 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "20.1" +DATE = "12:32:20 March 15, 2023" + +# Revisions + +PROJECT_REVISION = "RegisterDemo" diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf new file mode 100644 index 0000000..de52557 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf @@ -0,0 +1,585 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 12:32:20 March 15, 2023 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# RegisterDemo_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE115F29C7 +set_global_assignment -name TOP_LEVEL_ENTITY RegisterDemo +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:32:20 MARCH 15, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_global_assignment -name VHDL_FILE Register8.vhd +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name VECTOR_WAVEFORM_FILE Register8.vwf +set_global_assignment -name BDF_FILE RegisterDemo.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_Y2 -to CLOCK_50 +set_location_assignment PIN_AG14 -to CLOCK2_50 +set_location_assignment PIN_AG15 -to CLOCK3_50 +set_location_assignment PIN_AH14 -to SMA_CLKIN +set_location_assignment PIN_AE23 -to SMA_CLKOUT +set_location_assignment PIN_M23 -to KEY[0] +set_location_assignment PIN_M21 -to KEY[1] +set_location_assignment PIN_N21 -to KEY[2] +set_location_assignment PIN_R24 -to KEY[3] +set_location_assignment PIN_AB28 -to SW[0] +set_location_assignment PIN_AC28 -to SW[1] +set_location_assignment PIN_AC27 -to SW[2] +set_location_assignment PIN_AD27 -to SW[3] +set_location_assignment PIN_AB27 -to SW[4] +set_location_assignment PIN_AC26 -to SW[5] +set_location_assignment PIN_AD26 -to SW[6] +set_location_assignment PIN_AB26 -to SW[7] +set_location_assignment PIN_AC25 -to SW[8] +set_location_assignment PIN_AB25 -to SW[9] +set_location_assignment PIN_AC24 -to SW[10] +set_location_assignment PIN_AB24 -to SW[11] +set_location_assignment PIN_AB23 -to SW[12] +set_location_assignment PIN_AA24 -to SW[13] +set_location_assignment PIN_AA23 -to SW[14] +set_location_assignment PIN_AA22 -to SW[15] +set_location_assignment PIN_Y24 -to SW[16] +set_location_assignment PIN_Y23 -to SW[17] +set_location_assignment PIN_G19 -to LEDR[0] +set_location_assignment PIN_F19 -to LEDR[1] +set_location_assignment PIN_E19 -to LEDR[2] +set_location_assignment PIN_F21 -to LEDR[3] +set_location_assignment PIN_F18 -to LEDR[4] +set_location_assignment PIN_E18 -to LEDR[5] +set_location_assignment PIN_J19 -to LEDR[6] +set_location_assignment PIN_H19 -to LEDR[7] +set_location_assignment PIN_J17 -to LEDR[8] +set_location_assignment PIN_G17 -to LEDR[9] +set_location_assignment PIN_J15 -to LEDR[10] +set_location_assignment PIN_H16 -to LEDR[11] +set_location_assignment PIN_J16 -to LEDR[12] +set_location_assignment PIN_H17 -to LEDR[13] +set_location_assignment PIN_F15 -to LEDR[14] +set_location_assignment PIN_G15 -to LEDR[15] +set_location_assignment PIN_G16 -to LEDR[16] +set_location_assignment PIN_H15 -to LEDR[17] +set_location_assignment PIN_E21 -to LEDG[0] +set_location_assignment PIN_E22 -to LEDG[1] +set_location_assignment PIN_E25 -to LEDG[2] +set_location_assignment PIN_E24 -to LEDG[3] +set_location_assignment PIN_H21 -to LEDG[4] +set_location_assignment PIN_G20 -to LEDG[5] +set_location_assignment PIN_G22 -to LEDG[6] +set_location_assignment PIN_G21 -to LEDG[7] +set_location_assignment PIN_F17 -to LEDG[8] +set_location_assignment PIN_G18 -to HEX0[0] +set_location_assignment PIN_F22 -to HEX0[1] +set_location_assignment PIN_E17 -to HEX0[2] +set_location_assignment PIN_L26 -to HEX0[3] +set_location_assignment PIN_L25 -to HEX0[4] +set_location_assignment PIN_J22 -to HEX0[5] +set_location_assignment PIN_H22 -to HEX0[6] +set_location_assignment PIN_M24 -to HEX1[0] +set_location_assignment PIN_Y22 -to HEX1[1] +set_location_assignment PIN_W21 -to HEX1[2] +set_location_assignment PIN_W22 -to HEX1[3] +set_location_assignment PIN_W25 -to HEX1[4] +set_location_assignment PIN_U23 -to HEX1[5] +set_location_assignment PIN_U24 -to HEX1[6] +set_location_assignment PIN_AA25 -to HEX2[0] +set_location_assignment PIN_AA26 -to HEX2[1] +set_location_assignment PIN_Y25 -to HEX2[2] +set_location_assignment PIN_W26 -to HEX2[3] +set_location_assignment PIN_Y26 -to HEX2[4] +set_location_assignment PIN_W27 -to HEX2[5] +set_location_assignment PIN_W28 -to HEX2[6] +set_location_assignment PIN_V21 -to HEX3[0] +set_location_assignment PIN_U21 -to HEX3[1] +set_location_assignment PIN_AB20 -to HEX3[2] +set_location_assignment PIN_AA21 -to HEX3[3] +set_location_assignment PIN_AD24 -to HEX3[4] +set_location_assignment PIN_AF23 -to HEX3[5] +set_location_assignment PIN_Y19 -to HEX3[6] +set_location_assignment PIN_AB19 -to HEX4[0] +set_location_assignment PIN_AA19 -to HEX4[1] +set_location_assignment PIN_AG21 -to HEX4[2] +set_location_assignment PIN_AH21 -to HEX4[3] +set_location_assignment PIN_AE19 -to HEX4[4] +set_location_assignment PIN_AF19 -to HEX4[5] +set_location_assignment PIN_AE18 -to HEX4[6] +set_location_assignment PIN_AD18 -to HEX5[0] +set_location_assignment PIN_AC18 -to HEX5[1] +set_location_assignment PIN_AB18 -to HEX5[2] +set_location_assignment PIN_AH19 -to HEX5[3] +set_location_assignment PIN_AG19 -to HEX5[4] +set_location_assignment PIN_AF18 -to HEX5[5] +set_location_assignment PIN_AH18 -to HEX5[6] +set_location_assignment PIN_AA17 -to HEX6[0] +set_location_assignment PIN_AB16 -to HEX6[1] +set_location_assignment PIN_AA16 -to HEX6[2] +set_location_assignment PIN_AB17 -to HEX6[3] +set_location_assignment PIN_AB15 -to HEX6[4] +set_location_assignment PIN_AA15 -to HEX6[5] +set_location_assignment PIN_AC17 -to HEX6[6] +set_location_assignment PIN_AD17 -to HEX7[0] +set_location_assignment PIN_AE17 -to HEX7[1] +set_location_assignment PIN_AG17 -to HEX7[2] +set_location_assignment PIN_AH17 -to HEX7[3] +set_location_assignment PIN_AF17 -to HEX7[4] +set_location_assignment PIN_AG18 -to HEX7[5] +set_location_assignment PIN_AA14 -to HEX7[6] +set_location_assignment PIN_L3 -to LCD_DATA[0] +set_location_assignment PIN_L1 -to LCD_DATA[1] +set_location_assignment PIN_L2 -to LCD_DATA[2] +set_location_assignment PIN_K7 -to LCD_DATA[3] +set_location_assignment PIN_K1 -to LCD_DATA[4] +set_location_assignment PIN_K2 -to LCD_DATA[5] +set_location_assignment PIN_M3 -to LCD_DATA[6] +set_location_assignment PIN_M5 -to LCD_DATA[7] +set_location_assignment PIN_L6 -to LCD_BLON +set_location_assignment PIN_M1 -to LCD_RW +set_location_assignment PIN_L4 -to LCD_EN +set_location_assignment PIN_M2 -to LCD_RS +set_location_assignment PIN_L5 -to LCD_ON +set_location_assignment PIN_G9 -to UART_TXD +set_location_assignment PIN_G12 -to UART_RXD +set_location_assignment PIN_G14 -to UART_CTS +set_location_assignment PIN_J13 -to UART_RTS +set_location_assignment PIN_G6 -to PS2_CLK +set_location_assignment PIN_H5 -to PS2_DAT +set_location_assignment PIN_G5 -to PS2_CLK2 +set_location_assignment PIN_F5 -to PS2_DAT2 +set_location_assignment PIN_AE13 -to SD_CLK +set_location_assignment PIN_AD14 -to SD_CMD +set_location_assignment PIN_AF14 -to SD_WP_N +set_location_assignment PIN_AE14 -to SD_DAT[0] +set_location_assignment PIN_AF13 -to SD_DAT[1] +set_location_assignment PIN_AB14 -to SD_DAT[2] +set_location_assignment PIN_AC14 -to SD_DAT[3] +set_location_assignment PIN_G13 -to VGA_HS +set_location_assignment PIN_C13 -to VGA_VS +set_location_assignment PIN_C10 -to VGA_SYNC_N +set_location_assignment PIN_A12 -to VGA_CLK +set_location_assignment PIN_F11 -to VGA_BLANK_N +set_location_assignment PIN_E12 -to VGA_R[0] +set_location_assignment PIN_E11 -to VGA_R[1] +set_location_assignment PIN_D10 -to VGA_R[2] +set_location_assignment PIN_F12 -to VGA_R[3] +set_location_assignment PIN_G10 -to VGA_R[4] +set_location_assignment PIN_J12 -to VGA_R[5] +set_location_assignment PIN_H8 -to VGA_R[6] +set_location_assignment PIN_H10 -to VGA_R[7] +set_location_assignment PIN_G8 -to VGA_G[0] +set_location_assignment PIN_G11 -to VGA_G[1] +set_location_assignment PIN_F8 -to VGA_G[2] +set_location_assignment PIN_H12 -to VGA_G[3] +set_location_assignment PIN_C8 -to VGA_G[4] +set_location_assignment PIN_B8 -to VGA_G[5] +set_location_assignment PIN_F10 -to VGA_G[6] +set_location_assignment PIN_C9 -to VGA_G[7] +set_location_assignment PIN_B10 -to VGA_B[0] +set_location_assignment PIN_A10 -to VGA_B[1] +set_location_assignment PIN_C11 -to VGA_B[2] +set_location_assignment PIN_B11 -to VGA_B[3] +set_location_assignment PIN_A11 -to VGA_B[4] +set_location_assignment PIN_C12 -to VGA_B[5] +set_location_assignment PIN_D11 -to VGA_B[6] +set_location_assignment PIN_D12 -to VGA_B[7] +set_location_assignment PIN_C2 -to AUD_ADCLRCK +set_location_assignment PIN_D2 -to AUD_ADCDAT +set_location_assignment PIN_E3 -to AUD_DACLRCK +set_location_assignment PIN_D1 -to AUD_DACDAT +set_location_assignment PIN_E1 -to AUD_XCK +set_location_assignment PIN_F2 -to AUD_BCLK +set_location_assignment PIN_D14 -to EEP_I2C_SCLK +set_location_assignment PIN_E14 -to EEP_I2C_SDAT +set_location_assignment PIN_B7 -to I2C_SCLK +set_location_assignment PIN_A8 -to I2C_SDAT +set_location_assignment PIN_A14 -to ENETCLK_25 +set_location_assignment PIN_C14 -to ENET0_LINK100 +set_location_assignment PIN_A17 -to ENET0_GTX_CLK +set_location_assignment PIN_C19 -to ENET0_RST_N +set_location_assignment PIN_C20 -to ENET0_MDC +set_location_assignment PIN_B21 -to ENET0_MDIO +set_location_assignment PIN_A21 -to ENET0_INT_N +set_location_assignment PIN_C18 -to ENET0_TX_DATA[0] +set_location_assignment PIN_D19 -to ENET0_TX_DATA[1] +set_location_assignment PIN_A19 -to ENET0_TX_DATA[2] +set_location_assignment PIN_B19 -to ENET0_TX_DATA[3] +set_location_assignment PIN_B17 -to ENET0_TX_CLK +set_location_assignment PIN_A18 -to ENET0_TX_EN +set_location_assignment PIN_B18 -to ENET0_TX_ER +set_location_assignment PIN_C16 -to ENET0_RX_DATA[0] +set_location_assignment PIN_D16 -to ENET0_RX_DATA[1] +set_location_assignment PIN_D17 -to ENET0_RX_DATA[2] +set_location_assignment PIN_C15 -to ENET0_RX_DATA[3] +set_location_assignment PIN_A15 -to ENET0_RX_CLK +set_location_assignment PIN_C17 -to ENET0_RX_DV +set_location_assignment PIN_D18 -to ENET0_RX_ER +set_location_assignment PIN_D15 -to ENET0_RX_CRS +set_location_assignment PIN_E15 -to ENET0_RX_COL +set_location_assignment PIN_D13 -to ENET1_LINK100 +set_location_assignment PIN_C23 -to ENET1_GTX_CLK +set_location_assignment PIN_D22 -to ENET1_RST_N +set_location_assignment PIN_D23 -to ENET1_MDC +set_location_assignment PIN_D25 -to ENET1_MDIO +set_location_assignment PIN_D24 -to ENET1_INT_N +set_location_assignment PIN_C25 -to ENET1_TX_DATA[0] +set_location_assignment PIN_A26 -to ENET1_TX_DATA[1] +set_location_assignment PIN_B26 -to ENET1_TX_DATA[2] +set_location_assignment PIN_C26 -to ENET1_TX_DATA[3] +set_location_assignment PIN_C22 -to ENET1_TX_CLK +set_location_assignment PIN_B25 -to ENET1_TX_EN +set_location_assignment PIN_A25 -to ENET1_TX_ER +set_location_assignment PIN_B23 -to ENET1_RX_DATA[0] +set_location_assignment PIN_C21 -to ENET1_RX_DATA[1] +set_location_assignment PIN_A23 -to ENET1_RX_DATA[2] +set_location_assignment PIN_D21 -to ENET1_RX_DATA[3] +set_location_assignment PIN_B15 -to ENET1_RX_CLK +set_location_assignment PIN_A22 -to ENET1_RX_DV +set_location_assignment PIN_C24 -to ENET1_RX_ER +set_location_assignment PIN_D20 -to ENET1_RX_CRS +set_location_assignment PIN_B22 -to ENET1_RX_COL +set_location_assignment PIN_E5 -to TD_HS +set_location_assignment PIN_E4 -to TD_VS +set_location_assignment PIN_B14 -to TD_CLK27 +set_location_assignment PIN_G7 -to TD_RESET_N +set_location_assignment PIN_E8 -to TD_DATA[0] +set_location_assignment PIN_A7 -to TD_DATA[1] +set_location_assignment PIN_D8 -to TD_DATA[2] +set_location_assignment PIN_C7 -to TD_DATA[3] +set_location_assignment PIN_D7 -to TD_DATA[4] +set_location_assignment PIN_D6 -to TD_DATA[5] +set_location_assignment PIN_E7 -to TD_DATA[6] +set_location_assignment PIN_F7 -to TD_DATA[7] +set_location_assignment PIN_J6 -to OTG_DATA[0] +set_location_assignment PIN_K4 -to OTG_DATA[1] +set_location_assignment PIN_J5 -to OTG_DATA[2] +set_location_assignment PIN_K3 -to OTG_DATA[3] +set_location_assignment PIN_J4 -to OTG_DATA[4] +set_location_assignment PIN_J3 -to OTG_DATA[5] +set_location_assignment PIN_J7 -to OTG_DATA[6] +set_location_assignment PIN_H6 -to OTG_DATA[7] +set_location_assignment PIN_H3 -to OTG_DATA[8] +set_location_assignment PIN_H4 -to OTG_DATA[9] +set_location_assignment PIN_G1 -to OTG_DATA[10] +set_location_assignment PIN_G2 -to OTG_DATA[11] +set_location_assignment PIN_G3 -to OTG_DATA[12] +set_location_assignment PIN_F1 -to OTG_DATA[13] +set_location_assignment PIN_F3 -to OTG_DATA[14] +set_location_assignment PIN_G4 -to OTG_DATA[15] +set_location_assignment PIN_H7 -to OTG_ADDR[0] +set_location_assignment PIN_C3 -to OTG_ADDR[1] +set_location_assignment PIN_J1 -to OTG_DREQ[0] +set_location_assignment PIN_A3 -to OTG_CS_N +set_location_assignment PIN_A4 -to OTG_WR_N +set_location_assignment PIN_B3 -to OTG_RD_N +set_location_assignment PIN_D5 -to OTG_INT +set_location_assignment PIN_C5 -to OTG_RST_N +set_location_assignment PIN_Y15 -to IRDA_RXD +set_location_assignment PIN_U7 -to DRAM_BA[0] +set_location_assignment PIN_R4 -to DRAM_BA[1] +set_location_assignment PIN_U2 -to DRAM_DQM[0] +set_location_assignment PIN_W4 -to DRAM_DQM[1] +set_location_assignment PIN_K8 -to DRAM_DQM[2] +set_location_assignment PIN_N8 -to DRAM_DQM[3] +set_location_assignment PIN_U6 -to DRAM_RAS_N +set_location_assignment PIN_V7 -to DRAM_CAS_N +set_location_assignment PIN_AA6 -to DRAM_CKE +set_location_assignment PIN_AE5 -to DRAM_CLK +set_location_assignment PIN_V6 -to DRAM_WE_N +set_location_assignment PIN_T4 -to DRAM_CS_N +set_location_assignment PIN_W3 -to DRAM_DQ[0] +set_location_assignment PIN_W2 -to DRAM_DQ[1] +set_location_assignment PIN_V4 -to DRAM_DQ[2] +set_location_assignment PIN_W1 -to DRAM_DQ[3] +set_location_assignment PIN_V3 -to DRAM_DQ[4] +set_location_assignment PIN_V2 -to DRAM_DQ[5] +set_location_assignment PIN_V1 -to DRAM_DQ[6] +set_location_assignment PIN_U3 -to DRAM_DQ[7] +set_location_assignment PIN_Y3 -to DRAM_DQ[8] +set_location_assignment PIN_Y4 -to DRAM_DQ[9] +set_location_assignment PIN_AB1 -to DRAM_DQ[10] +set_location_assignment PIN_AA3 -to DRAM_DQ[11] +set_location_assignment PIN_AB2 -to DRAM_DQ[12] +set_location_assignment PIN_AC1 -to DRAM_DQ[13] +set_location_assignment PIN_AB3 -to DRAM_DQ[14] +set_location_assignment PIN_AC2 -to DRAM_DQ[15] +set_location_assignment PIN_M8 -to DRAM_DQ[16] +set_location_assignment PIN_L8 -to DRAM_DQ[17] +set_location_assignment PIN_P2 -to DRAM_DQ[18] +set_location_assignment PIN_N3 -to DRAM_DQ[19] +set_location_assignment PIN_N4 -to DRAM_DQ[20] +set_location_assignment PIN_M4 -to DRAM_DQ[21] +set_location_assignment PIN_M7 -to DRAM_DQ[22] +set_location_assignment PIN_L7 -to DRAM_DQ[23] +set_location_assignment PIN_U5 -to DRAM_DQ[24] +set_location_assignment PIN_R7 -to DRAM_DQ[25] +set_location_assignment PIN_R1 -to DRAM_DQ[26] +set_location_assignment PIN_R2 -to DRAM_DQ[27] +set_location_assignment PIN_R3 -to DRAM_DQ[28] +set_location_assignment PIN_T3 -to DRAM_DQ[29] +set_location_assignment PIN_U4 -to DRAM_DQ[30] +set_location_assignment PIN_U1 -to DRAM_DQ[31] +set_location_assignment PIN_R6 -to DRAM_ADDR[0] +set_location_assignment PIN_V8 -to DRAM_ADDR[1] +set_location_assignment PIN_U8 -to DRAM_ADDR[2] +set_location_assignment PIN_P1 -to DRAM_ADDR[3] +set_location_assignment PIN_V5 -to DRAM_ADDR[4] +set_location_assignment PIN_W8 -to DRAM_ADDR[5] +set_location_assignment PIN_W7 -to DRAM_ADDR[6] +set_location_assignment PIN_AA7 -to DRAM_ADDR[7] +set_location_assignment PIN_Y5 -to DRAM_ADDR[8] +set_location_assignment PIN_Y6 -to DRAM_ADDR[9] +set_location_assignment PIN_R5 -to DRAM_ADDR[10] +set_location_assignment PIN_AA5 -to DRAM_ADDR[11] +set_location_assignment PIN_Y7 -to DRAM_ADDR[12] +set_location_assignment PIN_AB7 -to SRAM_ADDR[0] +set_location_assignment PIN_AD7 -to SRAM_ADDR[1] +set_location_assignment PIN_AE7 -to SRAM_ADDR[2] +set_location_assignment PIN_AC7 -to SRAM_ADDR[3] +set_location_assignment PIN_AB6 -to SRAM_ADDR[4] +set_location_assignment PIN_AE6 -to SRAM_ADDR[5] +set_location_assignment PIN_AB5 -to SRAM_ADDR[6] +set_location_assignment PIN_AC5 -to SRAM_ADDR[7] +set_location_assignment PIN_AF5 -to SRAM_ADDR[8] +set_location_assignment PIN_T7 -to SRAM_ADDR[9] +set_location_assignment PIN_AF2 -to SRAM_ADDR[10] +set_location_assignment PIN_AD3 -to SRAM_ADDR[11] +set_location_assignment PIN_AB4 -to SRAM_ADDR[12] +set_location_assignment PIN_AC3 -to SRAM_ADDR[13] +set_location_assignment PIN_AA4 -to SRAM_ADDR[14] +set_location_assignment PIN_AB11 -to SRAM_ADDR[15] +set_location_assignment PIN_AC11 -to SRAM_ADDR[16] +set_location_assignment PIN_AB9 -to SRAM_ADDR[17] +set_location_assignment PIN_AB8 -to SRAM_ADDR[18] +set_location_assignment PIN_T8 -to SRAM_ADDR[19] +set_location_assignment PIN_AH3 -to SRAM_DQ[0] +set_location_assignment PIN_AF4 -to SRAM_DQ[1] +set_location_assignment PIN_AG4 -to SRAM_DQ[2] +set_location_assignment PIN_AH4 -to SRAM_DQ[3] +set_location_assignment PIN_AF6 -to SRAM_DQ[4] +set_location_assignment PIN_AG6 -to SRAM_DQ[5] +set_location_assignment PIN_AH6 -to SRAM_DQ[6] +set_location_assignment PIN_AF7 -to SRAM_DQ[7] +set_location_assignment PIN_AD1 -to SRAM_DQ[8] +set_location_assignment PIN_AD2 -to SRAM_DQ[9] +set_location_assignment PIN_AE2 -to SRAM_DQ[10] +set_location_assignment PIN_AE1 -to SRAM_DQ[11] +set_location_assignment PIN_AE3 -to SRAM_DQ[12] +set_location_assignment PIN_AE4 -to SRAM_DQ[13] +set_location_assignment PIN_AF3 -to SRAM_DQ[14] +set_location_assignment PIN_AG3 -to SRAM_DQ[15] +set_location_assignment PIN_AC4 -to SRAM_UB_N +set_location_assignment PIN_AD4 -to SRAM_LB_N +set_location_assignment PIN_AF8 -to SRAM_CE_N +set_location_assignment PIN_AD5 -to SRAM_OE_N +set_location_assignment PIN_AE8 -to SRAM_WE_N +set_location_assignment PIN_AG12 -to FL_ADDR[0] +set_location_assignment PIN_AH7 -to FL_ADDR[1] +set_location_assignment PIN_Y13 -to FL_ADDR[2] +set_location_assignment PIN_Y14 -to FL_ADDR[3] +set_location_assignment PIN_Y12 -to FL_ADDR[4] +set_location_assignment PIN_AA13 -to FL_ADDR[5] +set_location_assignment PIN_AA12 -to FL_ADDR[6] +set_location_assignment PIN_AB13 -to FL_ADDR[7] +set_location_assignment PIN_AB12 -to FL_ADDR[8] +set_location_assignment PIN_AB10 -to FL_ADDR[9] +set_location_assignment PIN_AE9 -to FL_ADDR[10] +set_location_assignment PIN_AF9 -to FL_ADDR[11] +set_location_assignment PIN_AA10 -to FL_ADDR[12] +set_location_assignment PIN_AD8 -to FL_ADDR[13] +set_location_assignment PIN_AC8 -to FL_ADDR[14] +set_location_assignment PIN_Y10 -to FL_ADDR[15] +set_location_assignment PIN_AA8 -to FL_ADDR[16] +set_location_assignment PIN_AH12 -to FL_ADDR[17] +set_location_assignment PIN_AC12 -to FL_ADDR[18] +set_location_assignment PIN_AD12 -to FL_ADDR[19] +set_location_assignment PIN_AE10 -to FL_ADDR[20] +set_location_assignment PIN_AD10 -to FL_ADDR[21] +set_location_assignment PIN_AD11 -to FL_ADDR[22] +set_location_assignment PIN_AH8 -to FL_DQ[0] +set_location_assignment PIN_AF10 -to FL_DQ[1] +set_location_assignment PIN_AG10 -to FL_DQ[2] +set_location_assignment PIN_AH10 -to FL_DQ[3] +set_location_assignment PIN_AF11 -to FL_DQ[4] +set_location_assignment PIN_AG11 -to FL_DQ[5] +set_location_assignment PIN_AH11 -to FL_DQ[6] +set_location_assignment PIN_AF12 -to FL_DQ[7] +set_location_assignment PIN_AG7 -to FL_CE_N +set_location_assignment PIN_AG8 -to FL_OE_N +set_location_assignment PIN_AE11 -to FL_RST_N +set_location_assignment PIN_Y1 -to FL_RY +set_location_assignment PIN_AC10 -to FL_WE_N +set_location_assignment PIN_AE12 -to FL_WP_N +set_location_assignment PIN_AB22 -to GPIO[0] +set_location_assignment PIN_AC15 -to GPIO[1] +set_location_assignment PIN_AB21 -to GPIO[2] +set_location_assignment PIN_Y17 -to GPIO[3] +set_location_assignment PIN_AC21 -to GPIO[4] +set_location_assignment PIN_Y16 -to GPIO[5] +set_location_assignment PIN_AD21 -to GPIO[6] +set_location_assignment PIN_AE16 -to GPIO[7] +set_location_assignment PIN_AD15 -to GPIO[8] +set_location_assignment PIN_AE15 -to GPIO[9] +set_location_assignment PIN_AC19 -to GPIO[10] +set_location_assignment PIN_AF16 -to GPIO[11] +set_location_assignment PIN_AD19 -to GPIO[12] +set_location_assignment PIN_AF15 -to GPIO[13] +set_location_assignment PIN_AF24 -to GPIO[14] +set_location_assignment PIN_AE21 -to GPIO[15] +set_location_assignment PIN_AF25 -to GPIO[16] +set_location_assignment PIN_AC22 -to GPIO[17] +set_location_assignment PIN_AE22 -to GPIO[18] +set_location_assignment PIN_AF21 -to GPIO[19] +set_location_assignment PIN_AF22 -to GPIO[20] +set_location_assignment PIN_AD22 -to GPIO[21] +set_location_assignment PIN_AG25 -to GPIO[22] +set_location_assignment PIN_AD25 -to GPIO[23] +set_location_assignment PIN_AH25 -to GPIO[24] +set_location_assignment PIN_AE25 -to GPIO[25] +set_location_assignment PIN_AG22 -to GPIO[26] +set_location_assignment PIN_AE24 -to GPIO[27] +set_location_assignment PIN_AH22 -to GPIO[28] +set_location_assignment PIN_AF26 -to GPIO[29] +set_location_assignment PIN_AE20 -to GPIO[30] +set_location_assignment PIN_AG23 -to GPIO[31] +set_location_assignment PIN_AF20 -to GPIO[32] +set_location_assignment PIN_AH26 -to GPIO[33] +set_location_assignment PIN_AH23 -to GPIO[34] +set_location_assignment PIN_AG26 -to GPIO[35] +set_location_assignment PIN_AH15 -to HSMC_CLKIN0 +set_location_assignment PIN_AD28 -to HSMC_CLKOUT0 +set_location_assignment PIN_AE26 -to HSMC_D[0] +set_location_assignment PIN_AE28 -to HSMC_D[1] +set_location_assignment PIN_AE27 -to HSMC_D[2] +set_location_assignment PIN_AF27 -to HSMC_D[3] +set_location_assignment PIN_J27 -to HSMC_CLKIN_P1 +set_location_assignment PIN_J28 -to HSMC_CLKIN_N1 +set_location_assignment PIN_G23 -to HSMC_CLKOUT_P1 +set_location_assignment PIN_G24 -to HSMC_CLKOUT_N1 +set_location_assignment PIN_Y27 -to HSMC_CLKIN_P2 +set_location_assignment PIN_Y28 -to HSMC_CLKIN_N2 +set_location_assignment PIN_V23 -to HSMC_CLKOUT_P2 +set_location_assignment PIN_V24 -to HSMC_CLKOUT_N2 +set_location_assignment PIN_D27 -to HSMC_TX_D_P[0] +set_location_assignment PIN_D28 -to HSMC_TX_D_N[0] +set_location_assignment PIN_E27 -to HSMC_TX_D_P[1] +set_location_assignment PIN_E28 -to HSMC_TX_D_N[1] +set_location_assignment PIN_F27 -to HSMC_TX_D_P[2] +set_location_assignment PIN_F28 -to HSMC_TX_D_N[2] +set_location_assignment PIN_G27 -to HSMC_TX_D_P[3] +set_location_assignment PIN_G28 -to HSMC_TX_D_N[3] +set_location_assignment PIN_K27 -to HSMC_TX_D_P[4] +set_location_assignment PIN_K28 -to HSMC_TX_D_N[4] +set_location_assignment PIN_M27 -to HSMC_TX_D_P[5] +set_location_assignment PIN_M28 -to HSMC_TX_D_N[5] +set_location_assignment PIN_K21 -to HSMC_TX_D_P[6] +set_location_assignment PIN_K22 -to HSMC_TX_D_N[6] +set_location_assignment PIN_H23 -to HSMC_TX_D_P[7] +set_location_assignment PIN_H24 -to HSMC_TX_D_N[7] +set_location_assignment PIN_J23 -to HSMC_TX_D_P[8] +set_location_assignment PIN_J24 -to HSMC_TX_D_N[8] +set_location_assignment PIN_P27 -to HSMC_TX_D_P[9] +set_location_assignment PIN_P28 -to HSMC_TX_D_N[9] +set_location_assignment PIN_J25 -to HSMC_TX_D_P[10] +set_location_assignment PIN_J26 -to HSMC_TX_D_N[10] +set_location_assignment PIN_L27 -to HSMC_TX_D_P[11] +set_location_assignment PIN_L28 -to HSMC_TX_D_N[11] +set_location_assignment PIN_V25 -to HSMC_TX_D_P[12] +set_location_assignment PIN_V26 -to HSMC_TX_D_N[12] +set_location_assignment PIN_R27 -to HSMC_TX_D_P[13] +set_location_assignment PIN_R28 -to HSMC_TX_D_N[13] +set_location_assignment PIN_U27 -to HSMC_TX_D_P[14] +set_location_assignment PIN_U28 -to HSMC_TX_D_N[14] +set_location_assignment PIN_V27 -to HSMC_TX_D_P[15] +set_location_assignment PIN_V28 -to HSMC_TX_D_N[15] +set_location_assignment PIN_U22 -to HSMC_TX_D_P[16] +set_location_assignment PIN_V22 -to HSMC_TX_D_N[16] +set_location_assignment PIN_F24 -to HSMC_RX_D_P[0] +set_location_assignment PIN_F25 -to HSMC_RX_D_N[0] +set_location_assignment PIN_D26 -to HSMC_RX_D_P[1] +set_location_assignment PIN_C27 -to HSMC_RX_D_N[1] +set_location_assignment PIN_F26 -to HSMC_RX_D_P[2] +set_location_assignment PIN_E26 -to HSMC_RX_D_N[2] +set_location_assignment PIN_G25 -to HSMC_RX_D_P[3] +set_location_assignment PIN_G26 -to HSMC_RX_D_N[3] +set_location_assignment PIN_H25 -to HSMC_RX_D_P[4] +set_location_assignment PIN_H26 -to HSMC_RX_D_N[4] +set_location_assignment PIN_K25 -to HSMC_RX_D_P[5] +set_location_assignment PIN_K26 -to HSMC_RX_D_N[5] +set_location_assignment PIN_L23 -to HSMC_RX_D_P[6] +set_location_assignment PIN_L24 -to HSMC_RX_D_N[6] +set_location_assignment PIN_M25 -to HSMC_RX_D_P[7] +set_location_assignment PIN_M26 -to HSMC_RX_D_N[7] +set_location_assignment PIN_R25 -to HSMC_RX_D_P[8] +set_location_assignment PIN_R26 -to HSMC_RX_D_N[8] +set_location_assignment PIN_T25 -to HSMC_RX_D_P[9] +set_location_assignment PIN_T26 -to HSMC_RX_D_N[9] +set_location_assignment PIN_U25 -to HSMC_RX_D_P[10] +set_location_assignment PIN_U26 -to HSMC_RX_D_N[10] +set_location_assignment PIN_L21 -to HSMC_RX_D_P[11] +set_location_assignment PIN_L22 -to HSMC_RX_D_N[11] +set_location_assignment PIN_N25 -to HSMC_RX_D_P[12] +set_location_assignment PIN_N26 -to HSMC_RX_D_N[12] +set_location_assignment PIN_P25 -to HSMC_RX_D_P[13] +set_location_assignment PIN_P26 -to HSMC_RX_D_N[13] +set_location_assignment PIN_P21 -to HSMC_RX_D_P[14] +set_location_assignment PIN_R21 -to HSMC_RX_D_N[14] +set_location_assignment PIN_R22 -to HSMC_RX_D_P[15] +set_location_assignment PIN_R23 -to HSMC_RX_D_N[15] +set_location_assignment PIN_T21 -to HSMC_RX_D_P[16] +set_location_assignment PIN_T22 -to HSMC_RX_D_N[16] +set_location_assignment PIN_J10 -to EX_IO[0] +set_location_assignment PIN_J14 -to EX_IO[1] +set_location_assignment PIN_H13 -to EX_IO[2] +set_location_assignment PIN_H14 -to EX_IO[3] +set_location_assignment PIN_F14 -to EX_IO[4] +set_location_assignment PIN_E10 -to EX_IO[5] +set_location_assignment PIN_D9 -to EX_IO[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf.bak b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf.bak new file mode 100644 index 0000000..4192896 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qsf.bak @@ -0,0 +1,65 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 12:32:20 March 15, 2023 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# RegisterDemo_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE115F29C7 +set_global_assignment -name TOP_LEVEL_ENTITY Register8 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:32:20 MARCH 15, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_global_assignment -name VHDL_FILE Register8.vhd +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Register8.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qws b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qws new file mode 100644 index 0000000000000000000000000000000000000000..63563b76eda4b19c3f4f321afd3f1b7df67b8d5e GIT binary patch literal 48 ocmZ?JV1NM`h8%`OhGK>ihIoc@hJ1!1hHN0O04SEskP1@-0GYrBX8-^I literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(0).cnf.cdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..eb80a2b759981ae97db2bc977d798d9644b3eafb GIT binary patch literal 1413 zcmV;01$z1v000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*H<0000000006600000003G70000000000 z004yr00000004La>{&Z&R6!J;Xw>+Azd$62T8ZSbNkFSL*}$S^m$(5Rgk@2&P*Q}5 zoj=7+|A1g&VJTZ#*w|TESXfvnh*%iEZ_b{b+1a}{d*`N!>yX2Q=h;&EaM5I6ZCOn6vFLVW-b;wRA z=pYLm0paOixXLGc=dRUn7H`)pOSS4!tzNAx*6Ou8)e=J}RAD!IJ@M$AYbYISC!x!A z%+)zX1lYMl1lT!31lYMi1lS#Z1lS#Wzu+JQDU2RK*=3*IhZIJi5NBas@kDy{NsKSQ z;gj7l$RA-$h!Jh~{Cv~^)8D=|W|+a8L}}gq@*a+WJ80dPyQKLdnh?zzWe2yq?mSl1=?QLqTUGaSo#FE! zO8cZ|Z&YTl_c&*alReOVkSI$VB4<(YZfNwqYj33JzP7&Dd=tI9MIr80)7kt6+}{>9 zdXgJJ-kc)4snx-4l)x zoZHV3_X*e$jFT^vF}u-zgT8a9xi>7#d9@ zZk1}uMg*r9Os&k@fGu`Iom@vDPu3;dbl2E#$yiyqv~}}zF0!Cxn}c~K@5^96 z2mU#@M;bv`yGZ3)s&_rEr8;Bwqv<6&qpD#d%j8Kh;&JlSF|2(&l9o}`@qAbmFa7Cw zBp{K3y%+WE<8TInehJJUNRkj)G6SV$HyFiMcZ^wj{K#D;c zi1~pSq0rCY#g&zz11Q$%xXBCT7LZ}QC~7?Y*%%yvB5Qnh*F*v7Xdo50;s=C?r|H)7+4@9jDiL=4}$?v zT59j7I3NW!P7O#0hWNxgh59*rxVprHBE$wsA26`AbPNp)?06Y0m>C!z@YtvWDX^E& zwSoc`t5!a+a*&N60CFHGv`h1fGt=`@Qxr1uO8CLvEM+#8fvJ!OG7!#i_V*2N4DodG Tag7ghbq6}pH7FPW00960V4ahe literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(0).cnf.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ac1def0e8150c53cec3a74140c800ea7a66a81f1 GIT binary patch literal 918 zcmV;H18Mve000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GYR00000000&O00000003G70000000000 z005Q)00000004LaT{FruOE) z_NvayCe2JY-lRnwn9RJF-I>|h-K3$69Fp1kWnHCsdxerwnzZ@H6@5A)g)~Ty=TxZ` zr8bBh4zj^GIUx^1q*80756@3%10?4VW17Z^AUBD`{Fg~q20$;B!V5?-dYfDXZQZtV z(=$dJ;~3L7=FXTaV=j$3Z6O2->CGM*o`XlfhReq(wT#SE5tAjhz*Moc7VJ=doQ`gC z-;NjvIS4rLIq*1eIdC}85soGu*L5<}^di|U(q2z8%F9tGP#};mkSCBUkRy;4ZwE*Qht8mvrPe<7rs&Ls6($g6Ix7Q zF}}rk7UNosV=+2snp8n?hUoOZr}0RmC{Bmk2Ad-b%gr~QhqHUZ_9cM(3@ z0Knq5!aXNFApIgCV+8*sOUz$oj$Sxf9MD&{u42|dZx+F50U;{}GehVWKdJp|bMxQ)NMIqXi+z>zJ8;tUIlK?5!T;wg=tgA snAZJ+_lpn#?7tF$lD6f4x}={i(F@Z^qIW5x**>g|HDDdT00030|AqvV4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Hj(00000007$p000000055y0000000000 z0043X00000004La>{riE6G0T7LIqJ16C}Zd;Xu@wcq#I$!4rla0BxIEq75-k1B4Jv zNlFR-nMeN+5Bwd(gYbRxW;(m;Y@xF~AoewHXZC&b&34{c4ibFB4on@x4on=w4on-v4on)u z4on%t4on!sj-*RK#ELH27;`I}iSsAr)q9(Q8y}jV*1t9@JN4>Ly;-f)>dktiTH+}P zC}~d4Cy{_u4OxrEXckDwRm(?7)eDNFbH8^!@vZ+U?{h1L!Zk50P>o#NV2`?M?j zke?WDhNPILClAm&Kj|Fve?Erpx4Ny$S$Ef!6?3rW_>~cbUCqM9{{_2($P2q_%L}_w z&I`Lb(F?mG)C-%>Bdn(f=W(<5{TNqmW2;xBebXtmX|Q`i155xqYO`(p#HlzciHe)j zQ1Mb4Do#p6#YbtVxF`)352c~vpcu-fMTMLE2bS!%J<#nX*)S&-ha9JvTR1i;X03E!t>Lb~gCyvcNJ;`J z%IMIgOVq7D&^ZcEMJMrA(9a0!+NG1xrI{DYtQH;~-kE3SnVkuN1Bw7JzCO3@Kz1Vf z5tgcRo!J@9vN_Xjv)}|ThA*#o6G%elLsnFY8*-W{whLD99i6S;Qyan{<&!eERLN#M zr7=@7l~lnEhnV<~idRTN6zmY{Mv{5p6k})7O`2w*W`PckW^}<4MrxV*(Gc=5B7|t$ zX*M&n-LQqh#(DE4j2!tRGDzeFHzpn~630lw%Y&S^3fUgi$zpzZMB{^z=M(b(x=g!m z>plU9VLIG+TSF4?6_p{fYFTWzaTgqDMwp6s#Y$<7}+)$@j{fM>v#}nRvyLS7qVf%>; zgU`8BS$&u7(|*8F!fM5o+c?c3c!6SqHlNT^;ez|q92@?6&e<;Tc#V2+WbHrMw=-^Z zc?&NU`MKTJ?R9|AE~oMrO|#4^{KR;zr=@ByNc!ubrF5Wf0cU50SW714VMvbk;LD_o76WBCGS(7GYzH|JyknyA9M8<%rIy^ECGhc^a z&k<$2q~xH(94h%hN`z;NU`AX`fyad9^R16$gt2iiXqr3oe@i0YRHjdJWtwA^AKBW( zHp?{6u~mA^{HNLJk?r3*e{LRl#vIzf=)uh|AU=IbM5O3+k<@2PH#gTV`S3;k#Q6mI zMwy4wZ~8t|Y}nksdc89H4gYI8FE=UtZ}6GapjA>{>KJ~8bKA6y8(LVOdDX2*@)uvw lZ*#Xn?2LMkr4nY6_ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.qmsg b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.qmsg new file mode 100644 index 0000000..bdc79f1 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679476798910 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679476798911 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 22 09:19:58 2023 " "Processing started: Wed Mar 22 09:19:58 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679476798911 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1679476798911 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1679476798911 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1679476799262 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1679476803465 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1679476803646 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "364 " "Peak virtual memory: 364 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679476804192 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 22 09:20:04 2023 " "Processing ended: Wed Mar 22 09:20:04 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679476804192 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679476804192 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679476804192 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1679476804192 ""} diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.rdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..7935eb6dd1a940a60b42ed06d94718080ef188e5 GIT binary patch literal 829 zcmV-D1H$|i000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*IT@00000003(O000000027y0000000000 z002D$00000004LaoK#tF(?AeTpipio0t7tG6Awu3Iwl1*Z&8j4q;NDA-&okc~JIPL~)w)A^ois?h-MUGpZ!M~?5={kO@@TiO5&D4i z(V9M`_ba5tvPb5E1*TppZkB{yDiv8fL_)3qbExvx{69%9YI#WDS}o|DC~~_)=%@a4 zQb#llH9%}v=>cm>uMMeNeMaDl{um21+~EwyLZS^Ee@arv$0SusXz<5F?e#Jc{k|3$ z;zDEyA4(^5VS8|bxv&n+5S2c#dcG}f`1X(U-7KfBr8&?ETFZ0XKG4TR)hLo%b?{x; zJnT`Fjg@iSc^kjHAT#w@Mv3NL!ajVa@lLpbG==1-mnOZW3u%(RithKwoX~(Q%?93c zg%Q46!K*n%^a+_$UG7Ugt#Hjxt9*kxb$^D&j}7YR#gid5+el}cCHmN zCwQ(+&J32?GS3$%jHaeD!8*LqETtbjT4#_+J7Xnnu*i7QW$Smww0EUs{;XDR@CyI{ z|NnRa;$UE85MW?nX!>ZQ%Lt^IfS4PIgIt{AgIojrgF=`XB7pq-(jV$Tih&)7Ve;MYqar}~iDU*aM3l8=5bqtD( z4{>zzafPa8W!M0e-Oll69y5>z=@5jfMpnfJwmNP4rcjufB2ZPXzD}+#F0L+6QJ H00960Xa{FN literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm_labs.ddb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..fe56162ae2e2cd1fabdbf4c480d521505dca3fbf GIT binary patch literal 88454 zcmeHQ30#c%|93~^?9H2f3m$0~Ak%*-Q|mUa!AgiVuV zLm1Z@Q8N^qrZg(#NFy54p{C|&n(KM~-znwTwLg&~p51-P?n-%{@Avchyx*Vq=lwD1 z)~(xdgYMwRZrxUbzbAr^a|6}}uLxXiK7Q<&abw1rJFQ=_YN`38@ng-$jl+X~#*YO* zo#k%+!-{nQ<}OQDtOLJx+@^0@ehcjU*58WO@^7s_Ya^l8L}z1(EzjdV=7&O&mR&PQ($2Hu$4ZSaF)z$}rk-vT~ZrjeIR{l`s9|scGL>k?>K%rRPSw-yl8 zB00+_Demq$+!Ft-KX|bH^mt#+mw|`j5Qds~>5-?Jfk<@^|Mr;IJ(Y;uP+`~%=qQdD z9F{?S(-(&Pj%*CzTo#Fnnr_ve#l?yzMQGHwBvR^eCfl9CO?b?LEL`T(Ir?OkT^1>w z|IkH2=qq;&K{g7o7Mq^zY~GQWG-0HT)tS-!jT+?f`7Zd@A)-4Rg_I)ASj7MF6(oyj z(kx^&Po4PH4%kj{Sw6vr%S)u{4HQ83BOz)MY&uzk|3NvMy`#yQ!40&?oEUo=#|LL$ z5Aj8Eji4sB^?`=N{D(6hS?gi)ME~#*Ytu`o{o9jWDWn-WNZiP79=yFKyW{Te7F~qN z0w;&;T?6WdH(GqwH=s%6aF^tj&wn@^E`%ZY@?yBcmB>(Cq+-pW0^V70JWuix%Wny% zdxPhnv)n^eBT2=P+*}lqk0d$b6lIzfWfbWqWt3_*O#Q08rYZJr@P>Og?Jc>ev>VAaEv7cN1^Xj7$cs#!=QkUXrg{ouFL(wpxO_|w zWf07QV}?Ub)JW%wA|GZ+3VG}<7scqPgk|1?1gf!Rx)qwz!oNp`>F1(1MOj+ibA9Ce z<%IJW53}-272hGmt^6?w95;dy(jSXF*=QLUqdndJ8Vrs2L|D3_qwk^|S)_2)OAZ}D z7?CFYp-+C^s(jzWMA8?MdNuNcm7aGE@s$frSsxa$QE@m?LlOlSof+hM&Kgz^aL^euxfVG5w&J)+42gg z7Md(xE}Asi#Ec@FGMcM9d_JA;6tZGafHxc51EX@NPW`tKflc=78X=-n3o68r4x){^ zAK{Vc7%>*^7Zp=!4}+pX)Uy%W*ceFl5pM{QN-~JIk%ne}Ds}&$j=l>GKOTzewhsi4 zwPA&+CqZ?X8LgDW8+6y7;c=FBCFb)k7hekJajX70oR7HwA;Wq18Z?}v;k^Y;p|&~W}~a5`vp{$BYvG@PU19Ieiuku7L-{@y8iG@PU19BnJ1O|mZ5S#09d zb`h6Ac%@TFubr@;G|=2cFk>;1ECb!Ps&r;HQC%er2LJm*vO8H-UkJ0-sVpekz5b+n z@vzEUZ1q$GdUj0nV!oD@{)g^>$OQ^fk0X|h;qosLg2Q|mRxS#sdzkh{!9W3K8xNBo zTf;~K-9m5qk*Bj1swL-n*~o1qsFGJ67=*J^)~sJZ$9#Vp$BAwzw&A9bv0GH;naDlG zaGe`=#@JmY!QVs+mYx%+PH;>q7UoOxwl;yT`4=i(K=mG7UcJ)FUx6H^4ZiGVTJ`7|>+)ypOH9lvk!v2~q&7!nZgv9|-z1}LV#||Qc34t5UV6)?0!sxw zRF0{pqA^$f!$lm_Q`M%`coShB5GUpAB!+V?A84pb2TB9NS9vMwLD@6T0Ld5StNO?W z_@87)e$p?n&-X=Y3P0nZiD+<&U^&PUQ9kPZAXh*=58y~WR2AvMYK;?#GO$%*7GCtW z5;GZbsN#%#$k0ACb{Nn$MDDbCNfs#LY=HH;PXscBN@?QsB|zt@VApEv(k`JT`w}BD zDp!6p@iod_pj!1WOL_l+vLwn~e8JNlALxvIkzWSoF3_w2Gw1l=?hI?og>RfxeJuLKcoJqXV&R77Z?zI##tRbN7Y#gsL>CVvx`Cd` zxxm0PTj5#Z)|t4B5u?yY!^Iz z-abpH#_99o319ltAOv@I4oFAZkrMEsRY-^-WqFEBf$dcT@WlzO7Xr?ZdR^3q>_CDz(`lIMnW!KJ)*5uq6>dw;K<9iQ*1{^)M1D6~TDZoee+ z-Vda^LZKDv6^$Bfce-6T3a!vsjk?o!w%0xitvX+l`Fhs%163gCm-*OZ*eJ9@p%t30 zJ3U>a&)u$W0|mT{8v1+wsJh*;-Ga@5yCyO-QW3mr2VcVBidI1rJo`|`h zq}^#5(!P0-aZxLydbW8H_3T8`br((75Z02vM61FB)`B1_4bgK^7~hFumOtB+mqUZ? zD-yYc00#QeO{brat;Z{r=U3J+LJqtFT^ z+flN;Gst!nTA@4dqlBOIhV8DX2i8I>ehah$ju+@y2p&CRA!y)E- z{cu#fgu3^pz7^W#rKRhJTF5O}Wrr7Dfv$u`I*X>!g?Ec5D zEm2m(paLbjyC~89u`kc-2~L#NKv@kGa&|i8L>tCNNocI@ni`_fpRTi$wqd-nrD6O? zbPP4SKr2Et%5(-OKv@lx)%fgKja46ALWoXep$v4VGteLXRDbjVYSiokjn$nY==p?k z&PU)2(S|YFFhAQaTA|PiP1l{Cu2E=(#_CRw)hM(=p%ogdJ3Ur^bi&|cdupK2 z3WZi^y6*IJjY2CFTA}H>)6+Eyt9HDxRw%SWV|Ay;Y7|;&w_l+?f z+55Zx=vW9k7J`n2pkpE5Sdmai3N#da;-LWb5&Xno&_~d-q1EBLR)^@sJvv{7&R2ED zeAP?rCkm}T?RpCK7yY_+gzb)@>AjvT7n%f{m|fq_Zn7CnxO=^?gV(BWk9HGBN)Mcx zxwSND&P?ZFXV66Ru8HRL?iBA&qOU>*3ZTZ)3TxQB29ho*Z}A`$O+&`Hv#-gK5O$JE z|0ciUFG=`47sasK;U2siO)3uSrfNtkITJ=4$<>|dO+65ToVGhmm8Av>A}<66@VO#j z*KUAd)T5P}tL1lGIl59^_0(G_3;%<44Ec#Fouiyf7)sP&QgN~3sS%$1G~r5_ZYGYA zP+=A&58OhH>b5W8iu2mA{nM8Krx(TZl_cyDW^2da?~0H4rO5aG-X{8GKDNf`^-)lz zI#@aNDcG(P}`yi(+I{%rfsm0!hm{ty7ZWIyW-4 zs}yQ~=#!tfDxbHAcpS1YUy`@A$+8jMQ1Y+FZIG)iwBkP0KMqn82ZXYVX(R!ZGyy~O zSbbS=h$)0uYVc;nW!__U%Z<#IVSi+oUtuz3vND#~LRFHZtxxuscBnoHN6t!0At_@+ z{l)O7n!o{??vQz1PWx}+qKJN^$rmRpZ^g0WF*WRXiZzGRS8k&gY4l+pqT(WK&P`uc zm3$Mt2@)=#&v-ms4|AQycIR_r9;YD@CIQ}5tA=WolzbfCWZHT_IPyu(8s>yuCF9O+ zEoeC&h-*RXUMLWz6ARA0pY)}XB~bSim_hyA*<#LC&JDhbH4%v=<*8@b$%2bW6R&Y- zVii*?K{ity>p3RPJ^w(CmxF|a9J5OMmP(U*oHOQ3w;%qMn>) z5b1#Xdu%REk+i{^s@v3tvx*6sLg}$`&u!v}*>n=FoMVGIO0uu^RV3H@-erkZ`9ZvF z&g)ia{DrPy*Uxmj5ox7+O?JoK-OacN zL*LCuOTr;DmaY}cK5a(k910xYqJe^zgjr}P{`XM)7N-imirW0u;z4Nlh^SpyVr8^V zY;h8U&GO`#Dyz~PVoA!ddSMDDM7>7@mO*Y@EV8vvm&c`$->As;)abghtKv@K zsL*s3)@A1;k?m{Y;&aH?-yqGpjl_WcALCQiKk%e6W zVIMhbD~VKFn-M5*Zc2-hp9S4}W_z2mslq;Oxr{oKj!8{XJ2k=qpr@Wfe8Q zWfip?ULj;6Rm8fojq*Dx{x`~=@EdhH`KkeG1|p9~D9{mBQ<12lhw1#VH2mXApD|7pP9R=$h2$Fzs>?!=!+#+ZNYN4yg% z^cO?R?vtX#oMvk{w%I^)5SkH30?UYzLNWGWWo#=t0#y5>Mt^qNB04`JtBu_|i)jZ+ z0|lnrcmfQB_s#on_@OWV)tCPX1C!6|{=W&#N|Sv^RxnM5M|0$Fmg8*|XpZc% z23#*DFO(G|qu{+$z&n~1-y~h3O)OLzfzE%wppIEO@yl|ebAgsG$loA{ zPL9zmltwq`eGNW%nwtom7h?%wj3m6Y$fx36)$f??9ag{dTu7+Y36(mXn$}hQ9Bz=H z>quVS(?+>#-bO$tlJsci#eh}Raq=eUG*uh~s$3^5WgxXtOW!V(n$oZm`??gh;nQ|< zmJ-q?c|U^Vc)g(QeZV>$D3B*qBt;`fkcZdg<=7xxrnD$9%|(&zHb{^Ls8*NxbY6ZC zCR>$TNHcPf>R@Y`A3lN~Ho==Yz*hj_Ob&X?AYOGyJ zv6mkwUxTF$TCufI)*-QVIk8SH!)ns@tLn|dk^9r2OK&z88xCzoBnX(q5aDIF2}=89 z;zNGMAVG1nUbeI3AQQ}4R5VvZ<{;Se^~p+tJt>{|uyvvjjGkQgLTZ1lT-W#KuY+6W z`H=n+Cx`4^1M1$lHis0si>VHLgqPCb(LYj`5KT2xuS37?!e`^D+J`&y;af`9yd z?p|hYjtYl0(0s$A%oCM!&2DDIXT)vV6WKt%7pmWVV#1Znwu@HI+yjn2ck^F>ohY< zmN-Z)9cD~-RQ&L;M77S$+Owhc6C_t}%+O2D7-3jgy&x_-bEdr@G=bspz-Y%<;T7qw z$suT5MibQMlXN*FxzJ--*)KlQCR&Cd8V@dDe~BqAA=Gm!juG(yB&cUsZYI_*IBd1r zDcY1Roh;O+Hiqn#pN$j`zGI(Oa)GHMh`1RO?vb{hq|fa+5{JdrLnZaT|Jzn@3aXx|TQDT|N+0eGrr87`kLcBrx8HS?1MSkkL2TD9Ljw-eS{}U6UuL zt^Bc%#9c-gE~1VJb9Ts198faj(L)q{{7)D*S20T_CAy9r6^KmONxd7>_PzpZIU$(^ z;rARBeV-%gnF*M;7D9x zpH+HpdxHNjZ2C}8h;+PuKQ6XLruhGah)K(T*?0}_L%n(sMDSb=h~Uf#W6bap7*s$V zK_qpxT=n|DE`^R?v%$mjx4=p9HHO$|@g(Pd-SrK)8!a3}yC&-hE*d3ooIZ53c#+VjFZ1OSocz<41SPn(@epE^^vbZn;M9*{7>}9<1c~ zgCZ|84%nEFvg431wyZ=FvPS-|nnwanN0_)RYzT0`{JrC}25aGbA z6SHak1dKll3>Kz{(W91aOxK<1 zMer&k?T)NTx6X*yUB5bDwLlWE=S&b%b2f}S%L*CG1Ra>dt<{!m8r~cLYnHp_iIA4> zF?ipDeQp3CBX_->p5UMfH*q?K9Gf5TTXTHo9K}v$8E0GX3@kc9y_~GWcVGHH4*EOZ zleSNX7vo}C)!~gkUQEu9b<%q4RbxO6=Wz}^r@q|3)?zBghF{D!cp^-}Zf6wt79PsA z^=!~SL(ch*g(PIv{FaC`=jV5dNGJ_~@-bgJ$3!H!muCY;a7&QRPBoFxtmEWm)&sbJ z687sVtGq)hX3&DE3xEk|KzWHFclqM>=!R|QLXyeJ=Q%dIw|sooT4QR;xMf^n{@CRe z#2W0-(EAddnCwF|LSk=0^v=FqPm7`Z90#|eDMDQ)Fs}v$UE+yvM;2J$nw7Lsfv`$Y zYNJCmI!B+2{BgP*Ppz+Qk%z5|Jg?5llWy#xF+VuJQiW`~wt$@RA{%!rS9nx11{ zmrQ=Lo>zUg-r6zLTvpLm2KM3VZd2v2^`fi?WK?qD@q*)IcHiJZa5QvJ@ids5x%G}F zW~<$0Oe(2z-2z9&$TYwzZx-KYEznb(gR=&GtqJC~_6fMTY02+aCw}@-S@ZZ{<>&&Q zOQ4>sQK;(T{7~&JVUKKh)Hq(WLboH;Km%4bV_gTq5Sw%QEh>kO*Xy7DdwBal6E#=g zjz3*pbCG*2{ec%e{Y?1y7O*6ljJ4-VL{)1FiQm~SB@L_BzE0*F7k3RL#d-m2q@+gz zTX{D;D~Z%xK(}fJY!t2B0>nL@4k61^cxtV6qltqd8c%~WU;7l%?$STC*^me?_!bnSW&`|y_klG;W|^J4 zY{q}M>V@k<%H3C~ht0JAmaaX1(*$55mG~#~L_@O{1o_9cfS?$i4uw7PB872}pT=yB z48CwXbu2(J3LIUed~G!^Wf%xZ!?d4};~QUNsunsa%&fYRjCIo%{TmVS?81QWm}X7< zb`(h=53+-`M>20i%aPpCp(6>`0w$zMS<7XrQ`VGEdkW`FOM0kVj9)wDc(R&43zSWc ziqS+(jpDnYK~EU7o;5uc%~F3@cdLjn;3zZ%PmKWpEz1K!O7-pA;(Q8cmx;Gr31_S1SwVM%JI8{-sG5yVFI4Q;X(QpsPP(7Uh_KDWqj8U(M z;hSL{v>1!GRLhei{U<#m%3C_Ql=H%M3*_<1b~`qUBObx0ht4J~$~$g%IM_Pant{(r zj8-Kifx2r7@d@9jX$XAgN)Z%8G-Xp%#qP3|eYjR-B2e>L{W>%{YdtHSG?rydw%*x6 z2Bi%dz9j+k&Yy0rr%tG5D52pMfot}ek_J@MX4-4&kg4aD-l3J8{>-Y2&;rGtx?VaexH~i`q!|0p`#DZDuS{7ed@rT3eNA8tVS>yRf zFX(vwGV8-IJs;~nw?1Du!dv`vY#T58;8L)-tY-(g*0otsdAM!GS^RSxYy4(M&ws@FVQ58HR=|= z`Eg1mi!#C^&~Y-RNVhSTWp+r}EArFHqtIfy+8&~gnIfv3VWcRnHDyZJ*-x~sy_TxI zQxc(yF*-fXZoL?7m49S`8{|oc;N!@tJWtJ#5Vt7A5St$p+kDhr=8q)d5CQ9Z{lu7Q z$!dmh$(20D#^ro)vYAI` z6Tb_r6g4d3Amf--br&g-r}<6s*O-saGX0&-b5d%mMN#6$ZJSST<@7s1YO*L_9!Lb} zGJ2=F4_z@&@k6tM>^YSsE?oo4=~X6jBWkZSk~gSUcMuK@qZ1FRX}mG3z2INPIkfIA zP+D0KAEJt_Z3n5NvDPtHU4ht2UF8jk$XtRBn8P%@o~-`O>xsXh>oE7cC2+Qr<%>Rm{!h zrpceENV=QGfs8ZBF)y-mZg0Vah%93T#@N7R>EC!wLLZGGpR||v@VdfTPojqDllT*M4b@@w&Su!7=Atipbw`cMjUWR4ab?vPq| z4MEsp;NkrG$H?X$mzVk^dXu>U5A2dT7K9tmApJN?n)Ga&@h_A;-9t zz}qbTx@csf<0~{p##)7+6u=Byt3QC!`J-x;(;=(<&v?WC;v|!tFI|Ygqjslb>Uo%6 zbu7+(;qyoLVDL*Kx;J}t!rE6+sp|pt^3!kjhu8ExppIrZ@q9z8*Ay}?03N&8oHfV-HoRroJ zp^1Nsw~8DlrARuc;;-`oike^#EpvrVd%W4$3m@(`Wq3v5iVZ8j*0xc&Y0&uty~Ue> zfUPEn16O#%LqxYr5wjM~3As}V3aZG{r^=is7Z8rxt$y_Ql$o%M>)dp!B58vcL2{vu z#Zv~g@#nn+J+Ep4Y!9<9?D7ru63%@xmq6NLYk=e+D}<(m2?BkBDECio+cm(8FrZ4J z4WzeSY2ep_DPco>tyaCE8r-6Is}QfG{IR+fiVI>(of`{{b%pDWPhSEG3UOvWXH6IC zU}8Q#_eZkb?Z=6}q1V_`%1U4h?llSS z+pu6OUEWdYJyR9wPx*a3KB(G&kwsj@^cTYe1^RH`nl>@Qb4{w$bL)7lH4qm_elu#h zIN+^5@c2+#U?pbrcw)r9jg7oV50pw`{Fw}(Rpm_f`oz{~PsO~uLG>06tEJwp_b~Q=wyXBP zC-l_2S*Pnc_xf`VsJ``WiQC^(WsD8*O3rps(nE>WvMv0Td<&#eLnmo=<1|IQX^Dv? zcjb=iY;ZF9JuR7Y9<}N@~_YsxyrZ<;-8S z-7iQM{?6SmDACuJxUT>)606xJoECOTax)YzDP*aydkx4)n5USU8unitR0^g^!IYhf zb{>#F6AqEbO|*GFrgH)8&NX~qrT9LRjQzs^4qIic@vg5fa`n7E$xMLHERb036G5^& zl}sw;u1}0ges{thjy0Ddt-J3@EIdwjR1D-o_x)stG$kZOw7m%CnGybr@JQ@xM1$wE zWr*fjJ+G%^qS0ey`yyI4UBW znkQ3aXXKe|l~1bzrA@=~nt7-YLL}#9IUb>pAojq!CG<}*`+>O@F{0b88-j#%|Gy=R zmyTTw{!(+|_V#z1(EXIT(bV3mzf_Z_T%8)af%z^tEy5|5dlWy=Hpv^GV#Bl&~*l$6lZ89%l`Dc$ClSaYnIY&WPnB26gYI{WAX# Dw*`SZ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cbx.xml b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cbx.xml new file mode 100644 index 0000000..c0a111c --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.bpm b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..b79a790a3950aab24d34fbce1c2a9a37e1e2861a GIT binary patch literal 723 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A7YFSxxr z>VC^Ujz_(}WVXFDi}l%@xcRFvOMS>6X2Fj}o6o9bYGyf3>0+Mkdv2a(@yQ7yGRJQ= zZT)gMTkO$Vwbu%ryt9p)#W#3L3gQCgb?vHCD~nciEw^ps=F%;m5gCnJjKr2DM$Qxq>jGfn0D)NeDzCU!;6zt6Gqs-J?*NiUm_H8S1vI(o%)I&SM+ zy5rF=zWPnj4|N%+L8V^6y@-{l~r{b<1)V7QKWEMnO)M>nBorYK6Y_INAVqTKk7$LOk{Nc+-k6z^uwKhJ?vS7{kx24jKW(LfL@*$-S z$pIbd9n1I2b2D=XaIiV_F@7}u>=hwtk+8@?O64H)k)x`bVPQvAU1wIl1SPls{{i^2 BG|vD4 literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.cdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..c3261527497549371e0500ef057b91c277e4642b GIT binary patch literal 6502 zcmeHJ_dDC)`=+{3qpH*%ZE0=Q8dY>qYQEK`Xo#AzH<4;pZB<%ZYSk8dR#MfN6>9I; zR7$LnM3UFn=kxjb`yafobFTY-&V4=C{lmGQ=Q)Ryj*hO1nf?^%=-f}&-BUJq^7V7| z@)W)!CnGN-FZ?*b)dMW7bVp8DUS9Te-;p~FO>~9zUHzSewZN|ar@8yKXZ~v;#PwgI zGdur3{tv$5`ClAN|2Ky%K>!WyIZo>GNpyGU35d~4t>QR$3H! zBsUe%f0;r)!Fa82|Hb@5c7$cj_+cNN1Y$8>5eFvEyTQC#IK=QZsuJW4BAn zoh4T0GVD$=a+No_Nz_`u2o&rA&-7S$>hDQ+q#ZRivm&trnULK+7_s<(QGM&`wsf7e zk~TT=;B8&L*zaLj-RPeT+5IK*{4q#HS&WLLs8pLA87|=Q#dvfUvRUh})uhXJSI2cY_YDr_O3UtJ79+#@lE^=gRhTi9g!IRT#2IRKHS_D8$X}pEdciR2$TEgQV$M~+{Zq@D;k-`rw`K@n9ajmsKdozHsrrJ!3&UIL_XWea zzBJg!u<}dUk7YA8;Af{#OjuR?i{-^?r8##yqh{p~kG`?`u;kdeFXI0S$tw;7TxKEt zX5%m7qbYLW&?Kvoy~y8svi81Z;R(EndAE>2k_SwLFG>DKpSLW1O1H8c>x&Ddu}DV& zzbqreK9@UL1pWyHEAH;7N@2;~ILg+W$^qFD@I5O7^Y;M;6Yu0jBzu|aL{V+Rxc!0K zr)ugr$Tl?qq<^Zusq96_^tMrabx&1rfaN6E_S%(GRakPrg1JrxmGg1@)JS0B-oN($ zUe3Rj^KV=Ie?#GnN^ZVhdxll`i=-MfFNTd1E(cf8;(}18pS%L>NZXjS5#CHI)M)(FU5#8!!t%{4+xFSR#6dMHPUa5!Fi3=YBiU&Mt1z-LyRq*#t3A7R zq1VjS@# z>)=H3?AOxu<~*D0qC(@8q-;vW_W}GF@mnVhil{KbYhJ(bl0g+vV!|4}Z!&uYwYNoE z2KrbA_kfAzb)p8*(H34yl;s$ueW{_wlC!)Vj#6)rO~SH?LkG+F$;s1K%kh1ZVmAQS z&R&6en5Je~1HhOswS?G&mmCbwMp^CW12_p(>H#(q$mw6%0pQLaJS7Hb zNd&kj6cIpJ&<_PjEdftV+XrS^lV{*uwr~u zo~jTwr?E{;u(Nl-;pC0Ui73zYfm!*G5bG=eQBxf2oysUMtm!o2$Pu`xVHxq?e+lP0LrPw(hoWM7fHjY=l{V1Vp8~eCKhCYhaH7`4j zQoROK>bxTY{~>k__KOTJAG)sBKrTJqAn2|YmyD}Z_G1ai3vWi2I|d#1vbm(yk78=2$0c*4nfGe3jU8{hU*$f55mJok&S;K4JIYi75kO3 zD1kd3@SNELhI^p$BkHs}FVN#e%g*(wLv_wHG zm-pQHU|=~eAfl(a5s?Q+bHKcPPD;$WOec?zJt)So!t%4#Tx`=sU{|UN&k2)Jo%jW3 zJL-ei4sAib=3%?eiDzF1o5Ls}m;>y_kmCekPjpK3>OUP7cTa#X1P%1$&@}nUuj~nn zc|c1M(5~K=1&o4esL=sH1P8Jp$pKr7%+IxG(*!UHIB>|K`CI@M8cC zR11FH!o6feQXm6_Pvt11`h3s>J)wVPf z)S4!p$=+0q7lhaSu1E?Bf}_BRI5lM2E+A1T$cdILNA91{9aCMj9bB1-&Re(S|bkl$ZPA&xGzb zBkOd}dts{`*IEpAmMu(8msFzWMEXr;<0~OHi4-3NoPlVvs`d5{>oy%l7_>F`v0L7% z@lBs`4G~3tcH3Deld$nCl$#@iHyuU}mBg#T?l=Y4lL zRI=-~V^)hBAe!Af9MG6Vuh}kNT8-pY$LMZn&F~OY@MXSFlf*?tpi}tEE}ge5w`xbC z8}D${ww6?wtketLH~VF|xa?OaqQ1K(HvKv4GA|sOS_cV2HwoMc%-jqstuTS8-q=Wq zuXEjbWpyTP!|bBxckQW-*+AhM7Gr}oPaAZT?7-Bc-$6B}nb{u>WP4ld${@^q9$oV! z23s}W=DqJtS6P}8O+8da9fi35>{S~!LNtAkEq^vSu{5d4hW?`wF~=2`w-xGceIR~= z()Qt>16k8&;S0AMk2fuF zuNQo5xi^2RO>Iaz)^_idC74~EeN%gVgkH$(8oXU=eZ6<;^xUFYRh2L=erHmvdxx=m zS=}YS)#wAmXuooTxxZjqZAP&N@B8-*xy)K;E41G2g=m7mR4uS-_FyU!&~7g{d6;v@ zb3~(CxSxZd zKSfz)4zqNp&pdVV_{4F)NtaQnsex0ww2i*7;*fP?N#NCOzTVN>GARdZDpPb}tU=q4 zuv(%A{!W$VJpKjtHZy{RZBm?}_oezYgJ&^Vo;4L`HVK0jzx2a?gBQ+L$Crv^Q@2yq znuc@$={kb|Ks=CAHlx~}6LCys&E(F;vNIq26l|W0c)tq!8V+2&Jgl_p8KU_uVzqfy zb)Q^PH`su>)YjE~h^U0gi2vtzbKNSa9TTZ4(W;PJqc!w7^~XQ@ybZO!&kx7N1G|Z- ztemmWfS$7;-_!|l|ML@S+eKnj9t8*AR2nLHCh)nH(_T)Vu2E_#(_beLJZm>kFm+jl z#f|XHkKWt}ksj)EQvnpuNK%04pUm~Yd(kYINXNv!{g@QZ!m*K^w{zhRCFm*0sPFxtnN?F*yTSYMleq?w5v)F+5rKuvw!`L|UN#IAXX`YK?a1pN zt=`xDdVP;nq-l&5F{X~|IfrQd@X9QdmdRD{Hu1Ff{xdcpCuS>Zxaod-&`==p#r;|3 zMt~*I&EW^x^saJ1nQ}3{E{Df36K>RT=UmC*xsN6*oI7(1!)qHH<7v0&TL0MaI7gez zk53NVhK?`)@-ELVwKM#4awSuxSXK+Xo`#I|ex*F#p--@mAd|mmE}0HxWyx{`e+*0~ zHLH6SncTk@;ZaD4_wUd!&JEqWTG*vuMz`ZpqvmR7rVPz}?oJ)zGE`ewmQf1KK07`i zrUAn^=pg?v$l;^^xp_AvHwo#TpHjKNZb-1wWj2C)rXc&~*UWRbf(*>xxF8$6x9T}Y z?AT&viV#v4S9O3+@EvHETxGI0D70|r1ot2S*NyA)%@WT>?i9Gc(pi;1JA{qyw-*TJ zJgRo^*qrVUlM+o0r&E4uUZLYZTdH}+WWt8Z|5a(DQel6CYAFAD@sr-v)=^eHTuKK%c&yo&vUQ$H@Trd6Zj^)<{F$_lrblGLjT{Bm_rIn1}>;O%DZC|CAJ&gIxT+g^0H|Rc5xiG~} zO&+-TKmwuobIhxy-0XQ9h*W;QSdKxXw7joeoay=g;t=JYs(u7CbPN1o;9g{-f4zt0 zRhL2cKZcCCsXw20%jYS9z|&t2=u_sT`7rl$gLS|Q(7$ny_l+eZQw_xhk9#$c2ZxXM zMO5l5zFtXIApdSPKkQV$``1`|*a~u6)i^tURZ(TlR5^DkTWq+sCwrbGI2n>CK3#br z;&t>Ycd~IJHt1K5_Tc^I#);OFMvOpJz{05YMq*XKj$4(<+4jM;i`?MMew&-U?XX9I zdr_ter=^{+sqIYqs@uArUqAD*1RJi}H4S}+H3YsPvGg4u9v%clBjHS{Y|kQRr+d6% z(R}ke)bW0TMfR*j&>CX?<@`<0C+ZEHUT!MzIa_-(-Lr9*c~DP|$gq;m%!2QU2d#Cn zEEZXOiJvU0>`9Nl4J0ezB$R^tRVdJj&F(0r-gTw3lMD8xZDs>X(%fo3@5qlErNl@^ zyqs^QW7^#_{31QfPMRw1n#0j%6)V=s@l2Pv6+q(pKW6`#((r0uY~*h%|1Ow%y(OZH znl|_@^kB(-vWk)@y?a}|5h*=uw)A{NP2>Wx^UCj#aq?Ql@_k#u4&lz+%fDCV9({-a z&zFUC^j^^mh8D&USt8@W=PlH~nf)=!x)>1uOk`OpY9?MV$53mCIYy7424k-oq_*Ru zUkiI0N?m4m*|&i;f$Go&7=sx&B%K)D=L2gJ2z>$>Z64KQaBs>l)H2MS>O5)!T{M@r z7A=u%Xg-;SLr&0&rM^YFtNtZd{py$NaB*{hS^Bagq__(mI=?vdo~Y-Ro=kj{K2m~6 zj%!fd^c(++>C`+R_T~=v7PwK?zY;KyvTas&{2$wNeCL>9)&9X<%Yt5Oj#8`)u$Kb; zSIqM)fsDb8ldjCvOhEghAcQk|E?sYsZ@w24S0xp;E^`m7cGSAc{X7)v(Q|>pq=&gg1<{i#}o{Z6E>9Tdc(CS zgZ<-Cg^Vi8gnx~woE4+;Zeg?lXiQi9Q%w-Ty5e>QM|#Q=DXH(4=hNMS5BA=Fmv|xd z(?v6`H{bHj;EknAkJW>cj3`55&+hB9xm(@f^G`A$hQER6d|CiS8(fbrQYkM|kY49^ zY6!cMoG7gkQtKnNZ6(y634WZX2k}eSs#J1XFs=Qz>mlQ9iM6S(eOUgZ^&u;QhM{~A z;y74e1)Y1;C(j^Y+T{0)?Uu#+SILfN?y`~d{XRmrk{&@4tpWvIqvkL4KZ#PgY0aPi zrq8G!OmDd9jk1bu3(cJmH^#qhq#&(9W3$s~3ZjTzI~o zg5mPcni}t*pS77!1>$EE72e2+mRtQuW;zQz;m7gtY!gUNpT0l2KyKP?LeKkjoFO`U zyevX_Et+~s7fIcGTjS>*;(hgY8oN??DX4{2vV7VJXX>3L+>(P`Igk{`#=iW8U$}1f z=p1V5&-zgk=WCEe!$L|t_3{lZedf#a zo9X4;)9=hJpPCD3K~Lg0DZIZDj+tv9-`Gslu=cTax=WpE*Wfl9*eg?MG zT2JsT?&!_&nTWK1*n3* literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..5be2cf6e9c40bb5335b53556c313e16aac19e2da GIT binary patch literal 27013 zcmaI71z1}_v@P61aVuWj-6`%`pt!qRad#_L+^x7nu;6aR-HQfycM1N|d*6Tm_uc!x zmt_WWT-?NJNk)e!@!$yeeq}3{{mq3_h76b~FL1@l z%2C*V`<{aPm|_-*V1Q3S@drGyVU(YkxG%RhQYR_RBymF8=Fx)KLwdR#tnjvvW44A= z+PTg-E|)2>iEd@#HTJV3(Q4cq_OtwbL_>jpkWH;&GtM3D0=Zw7ywmf}HEx>;(=0;v zfym<(Mc2tS_EA4RAbsdhset!E#vQ@8A*8~GN*_jFhZ^t)svAnMT+kHUrs~hBH%X&0lOja8cP5TdgA6y;YEqh(jl1^g>g3o6 zgOvty{aGE$?oACoqT^$Z_fNBG+Z3Zeb$aXqC9B9<=g4j2FnW#%{WW?lE%ud@ch|%3 zD#umXxzS@Q$}S&?z~OyYkMP@sY@X4~j+7mq1K{$lg!aX%4kTrP?fpbepg|{oHhuC8 zwMYTGn2cVNUYqyb3}&XmW0Qz?@&+uAg%@7a)#m&BWzRKSBkP3hV$8;!h1N6s0e@on z4{1tt4^pWKA|Wu*qA$UNe5s!n^@|aoo(oeCI(|Im4d^ocBgraF zi!T$8L&z%t`xdF>4qFzB#;sRof|MZ=Av1kEtn)o107SYdujD0w$LFZBU$`C+8b%>~ zmbruh6QvL#nWZ$af9VGP`{y7cHJ1ij(bqoE?rP>J!nI|v0iY}nGMV6%@Q#%%-gzEq zVkSEGcn$9e$(FH6;F{1`N};ZjrtqcjlB!}uvEC8VbMZmP+GT&N#8IW`UP3VN4M!unU*Z>S(CaXVTvo_*c{R%%y$l! z?fl4oD89-x3*Sv$+;ba8Nn+v^w<)YsFzWxvu+hpO-%%jJsoz2*^%%O!1oBqP0JQzV z%f4ir#3i5mz&x90&xztKdRNi%nt6@39}{&Ly2-C1> z)1Z%fq=bokgtWAOztc6$&T9Cgp_lPfqbODqKhHC&fwxLHeL}{RmnERs7I^&W7`aR1 zRbVU0+Ezf!gu+f3`v<{cHV2!EJogsOE%b%1f0BPshf{(nwry!$OpN-?&!m#KYO9Qs z&+UgadV0R0GzQgWBqF(shGqtfJ(>xRu(rX~WxiL^a$)9!15^w4u>Kj|EhSb!@k*~) z=i7OTV*Pd2uArbF&%99_WbZ{WhFru#lqMqur;80FfE5a{6YdQf;lIb^1u+PgtuFhi; zkN5Dr6d?uBMZMSQoapYis;KYagKs$pny+8CJ}&!jGUP(5y{g_R>e&_@eXY1sjmfos zk?a3(p*hz;bi!eEAYz2mj8G+)WXZV}k~@ti`cC#sR&RW#OPZwq5#YJB<()kxn(3Ip zRT@KL;c_QL{Ms3taEI!Dz;-LGumh8CN@#G=bR!L{CTKjJ=gGSKNr<*-(-@a=IdT{M zXiccGb4dn*ots35_Jdpak->$PiMpR9nB$LM|9w4rm%>h=Rsfu@RU+!#_O{9pxTK5YUUSY9c>nk`{3&_au?ob!OL5)H zxO2R{l>y^?%aOXB|qWJ zbCaLb3;QCrvAMlnLGbwW&RJ!6$sadnZD2qee}EL)rZf- z1Lh^ind|?|x7}70>~sz9<;P_^v`05-K<(|I$8n`2*5M0n44F#g7}XCD}#a;1ECcV}Y>NfKrp`Mnbg zh5_;cj$9kwb6DmPf8{RutQm0J`wm?!K=WK3AD+m#Whwb3?CMRDWmo|0OAFuCjkdAp zDuIQ%pe3X?{m`=c1aCj>9DEv}l#I3^>GN+x&vj3O+N3g@wqy6C@b5Qx3@f(1liTV= z|8cktqDZ9Ft%t3zM^YQD2k?~vA%UO9xQ%off5Y->Zn)enN`3mG<B-Xfqrw*-PZ(>R%O?IrJdmdz<&?Xf~9tch!zx{NkE2+lPJZTkf&?ILhOs-r3*px6JF zpSg!?+b7{p0^>bEfUXT&B^0B8yj<~il_ZpDSf?u!-)g(@5X@lyyX~V;56oFlL(lDR zRNj^V6Wv`_g09GB8MwtB?zMF&t1HZY7?$v;3(h}VB!O^?@r<+1{cKFkicmDzMuIRx z^KSEK^E`m2U~6HeGr00skwy~0J@mYP-U^!9bKw6^dIBDxH-cQg=x*T-m-Ny}3h)QB zMcFt3cMxg>+pGsJhxuL-+SLnB7FT(>I^F_(zAdbw_^_w>JKoXfxc0$V6|{JYA}M}| zfC)%HpTC6BxDLTM7mwAt{5!|AjigHf_wb1GsY}-6UEaq&=q{u7j=!{GJKfdM$&99f|@(k?$R>)a%t5s?*vL`D#n+|!%kTou+l zL3B35(*HbcYrIzJRn}MAaM~<}`Ydz|MP_+hDCZ6wTA?{JR1jqB0b8wQ)Ozv5Kc6Qd ztj3YIC>59}lW7nx)1Uaefz5CB*R9OS9L+?DqE4ESm7ST&7P?;H1sU~4xWb6dhuoeE zL+7CvX_@nY?@L)+`CS>(%7!w@N`{gUh(aI;0dF$v=_S4+>jTBTd23IvBQTz27Zyys z#8w;r8)w5QW|1>uL4T4(VWO|?n}JOizo5*L*0A<6JO>3qtyN)6GeI&E3-@lj&rMW) zUrb|JCzx<5W@*L#aJ2+g%g=ltOGHmU z{m$5Y6o$7zA6ioPTxi;t2v_W_VOGt=ICAV1F32{T`sSOY(QB3AeC-uowCD2LM`g^~ ztlT7nY7(%6mu{%nK#J#jTbDGdyR6w#CAD-Q?=u9zk+>K@YGf+la#XrOL=>Z*-`cS{ z4)$;t%Ar0s@yZ}Za!~lZmpY@u!$#mI0r5SB3huh~A;{8P| z#ZyBpwK@)2q$%Fr-JmY@DzPy-t1jA@6;29HI1%yR^? zsnfjUug$h()@@&cl6$au;c`ZnI1?TI_|@glDy>t3^YQ(#XZhF(KZ&HT@H|J%sgcl) zeP__kXqjdaD2_qP+W4%rg`>6Y^$jh+g;O3s@}V3t1G(%!h>hW<+M#l4c}8ycB_(Cs zDbMne2lF8Z({<^>ul?)ie^Z|#_TK(VsAC$@e~RJr?EN~0)C28%JmTjKrrAnD;T8`< z5KhV%p=6U=;7}IwLP|n;x?|OzYrQEGK*G4(RI;9W_LTG@4{ztW{-UJbXl$ykj?%BB z&LRsqUW-tdw{}-&8rJwPpzNAF^|`Ch56c?P7YrU(^6$49x5u{HFEyD037U?6Cz#K$ zEuw(j#{blx{H6)VA-efAQ($@@p1;t>kl)Cx_@hc?qNI_&PSQr*ENY>A7^liD$abC0 zM<95Co)1#jk3H&o+WGM^MGVt$kKJlSey&18$`ICp}JMl={NLS+{4HN41^;;G01)7l_%15pCsAgVIUR)cvy&q03HrvA%KUMtc!q8iijE?F^iD&?{7pikH zKCj2)g2#O-?%hflgV&}!?Rt361Dg`q7cs;JKpJ5KAVPpJGNUHpD1A3tXqEnwjIC~v z{X4`vWih4h`o3S&AX{>8e$Cjx%gy7rrokG~eu}0?nAiV7Eoge#sA{aIf=9=6)C?91 zuIq48(i#CBm6ChrO!O=^%3gO}qoCBf>u=i()$0Y<$4vD9)8PB2G_#oRH4bVT1WWF5 zYN`ECGZN*p{@X*qd~YJQy5n2as7(Xl|6;BiJ8tM09GrdkIJMObk|1^c4^v-#U7{fC z0dTw@nz4K|8U@)Zdrjb^EdDp6;^kQdDD0+*2Qtyc0@!deL)%?pulmZ6rQoZN;>~Xip=^+?<(u(K~WGu_CleA zUHWLcohKCvQVYI>S3xPDke>e}gFM4Un6k0oT0d}d4@P(d69fJMg`pC=W8v;>B<)Jq}F86Hcjj!BrX z2J5v{GDKD`A1AJs2@z@t#%|*GC)oxD{a4RWj)p=$FOrss>B%b%Jn+2nPLh9C0M+*w z?+8w6*u|N04_%ex!G_(g-#3f3^P=uqeOwgJi9j-`N(Q6`c*X^D!{K!oizdpgPj4oSh7t-i(JPi+y$xq( z?GP}4%d}62FV%BrssX!I;ziN^C+2FSmr)!xq}=|ANb={CeJ51yK6ApfOwwHk`sl0B zcUX&T6q9L9;gfi1KWFfhrWJk@Dm8$-`TJ*F#(b(B|m=|eQ@F)-C!_P8N3o;Z)m)PLAWy5yqZojbCT zL*=3LoRNccospp+2-1OA2!gaBR@<3FbUfJ58KdO$OQX2fMnBz9M;NbI7jmhJXHhs= zAkE#Awr@KZ;c$^+1&MSr(4SV>sxKH}1YU+`-H0>WR89Uq^{8dXbR#*@j&9VaaxA@cW~4e|+ZfFQB$IT0lSEMcmuAb7l@! zSPJp`pxZ>nX-s;;$3qsI$U|(R-fI)X!{~xpnElB}+|}OPy*B$IEscvOJc)gL_|!`= zVLq|04LGGvQQymccV`*1ju(uFPV?%AM^14#6rB}@_mRf$+tSekZZsk#t>6`0i;z?= zZb8kgXLI@~QnXN(MkKssr4=iVnQP#%E{`eREmE}kG#zVdiPWalrWQyENJXs(4j>ymTdky&^}>14p^%{E^xk9I8ouANbmfkwklm zi2~K5$Po@Btc;0zWzIoJn(V>>E#VsrdxIE&heD0xU(hq&tH|+NXVWikl$Uxj6iP05 z34s+Qh~^JRc+Q78QeOxGh7eG+5F!skgh0v3BlldSk3=M&!o{o`ZM$78S=M^|-t4#G zTK(JiEHq~qA3wGp-Zgu2N^{M5M8`Wpx&Xm61fvl2L(m053j}o#RPxGW&UIW2iE~yP zhLA+E9$`a9H_z1-GStA(*KjP7DN;3G0r|?yV5Vg$*JgY|ZRymROnXY3Y5MAZ&Be_} zd1SiU>FUBGMQsyqSYfHO?T}*WGGTo>m19MX$mA#06!PLXiGP&*KT0Js`A9(-)idme z_G3{j0Ly{MjWWg*HGWe`rjv#+PQt@xkdS#5`)f%&@aQ-B4_H5#!Q4DNSL`f{X;sGz zQK=rQ4F8~q_ACpY68N+qgINCBsJblEe6!sSIj0P`&r#G4e@ml(&02;S^ZsT)Lq33G zls0Ej=^gM|hjP@-;5HKJ?J)%i!Y%%FDIS3B``|sb6Cr*h^ZQjh_!~b}!A!xgQtMeW z?2)`4`b1F|b;{tua%ot3b;uW#a&kNlRY~?AMN3wug6SQJIug-s(lOeKp(G@O=+x)X z?YqwV0ez*~A&=iQ0oWttCM)vxPnx{i5_0V$b6NB>QQ=mLf>R?y&?!lx{YI}Q{a)DHT2Lq3dUp^Ng()&#va28yi8As=I^30F%AFLLC3BJ}1M)R3|v%`P}C2Dot zV94f8I2e4zQN??SF^T)Q-aj=wR62An#YibEESj?sD>jl}Vp_G8FFKN+A)hEtlt~$e z$R&f?Xp=}-zCg+Q*T&4bqdDGZ|6%Jn@|mlWpv%S8*4205*Nl3f&ESHAXEFd+%T#wK z4aEu9AQxlU*xAzZq}CaG2yVg$w+wCIB5Y>pplO?o$Cb*U4F@` zAsKsku&(!W7T{E0@U#;()Bow!!6p6$={lI|&^dOb1r`IgE^E)-b2o+yoi9#Wp$N5-0tDPf=?J+56Z|~*d6xY_ zVblX%zkF+fz*?qu(hWxU%R2?f9dL2PS9?!llrR>(9K_rib%tM33;BkcF+f9?gC*8C zT_aZ^#JvaPhDsWuw)t-seHlIr(Q6UxmfG_a@-3dI>1v-Ue+l(AoHXKmB-Y!2x|i_NJByr^kUK== zpC<9;g%;{(BS0N?0)0nw)iDkt07f}9hnT?ShPou~#U8)MnkHy*;9XwZ<;-?)0kBBXO% z9$*4X*G?0dxoJSBs8%0ojkar8yfWBo+fgp;+0#3LD?F+p{ALfULHJbMaTzri%D4R0 z_J7Z!oy?#aZ6p=fCO?>3H3ibAB~8Z#MmH}ltzj99qX4cHr>Scd$BlRH;hf@A#t>=< z&`6y`4fRgk!@L+n>bA2Oex!k!2W274cre6z!O42D;$D|mLVA})X2qlR@V4KCw@@5= zH`+KUQ^v?@WLKp_@Kfq!4;upgJiXp%@&plta(_;NjCb65N_?p+9fB@AWsxd(k18yC z-ANqx`wng{d+NO$n=z<+D3p_XEGy2#V&JxdLA1dSg+1R(dd?O_*U@^VHeY2Okz#s! zp_JFrcJ`PRf{n=?dS1lF9B}#x2uBM&fZE;0t{tr2Q*B-p6_=Vclw1MpgSgdvi1U&D zVyahjNbfnHZ2E(8b(S8~TR3UMHLv;I1ylak&pdem&PW~Z#s2{Fp0o zmdG8jgMc*gUoC?mDlOCT*EP3t@!{|MBw)Oyp!x&fY{6;%Bw-xbWhim<3n}w_EZ7Zgt zJL*OhcUF_92WKIpq4nn2aS9T?2IoB2Vzq%Xcfj3oiW@_Q6g~j~&UiI}l|6CU06&!& z()ttnxP=)xLB||7edaH0io%$RgX$lZ`fIFp)_X5cB~LRYZTqJ$wx+L#(p&_i&>uRk zv@KjNz=8x^K-}UU&0|UPud~xjHFfz-{-S-OEBn7nV36=N79};7I6x+&iuBsoyK^you9MRDn)#Y{}(HhYl(F@fEoz`Y=-xE@+w=5_wKB^@| zd9*u4r9r(8_-R0Ii29oEls~Q5u0_r_B56cdejzH;=d8kSUN$FOgT9hvUqi2;Q--%k)D+9~R#g?U7}PpV zv|V9)m@@3H=Kgtz+NE5|(qe_~P#Yw3-5l}c?%gc) zi2jDsy6puWt7yAp@FKy|GUT8x6g&mH8MZ;I0hKGi@`;mlR|s{B6~Qm0he3^cEedFk zT(h9gsRay zUdG}knUbDP*4$=L=%1GyNgJn)j+QusN|@M(A&MdQ9-m9)q<3!^YvV`9ps?JD%Rz3m zpLTl0A!w6F-k_DufZg7smTW8K5d(zGl3R-MWL?HX`kV2J)x&C!LI-HRr^4n>@8r0v z41{qY-(^%oIl&7>;1k2YG9d{ zsJM%&%T3VAe%roxfQQ^H`uroAbe64J{KUL4vLT_swHa*uH= zJj^YzT7t!V@|x_i5oD(f=&9kFwXTVH)QU*lVs2ABWP!>L1~|{NGY^Jc-kgzdn)bJG zABdQ~pR2IBXeW)cmCl*R{-u4A6eK#)oc56PyhvQ|SNlTo?D38Eg)ya|7z#F-~4zE_H^afbsV(0iq9;5yNxKzvJO{ zM#6hpW-a0n<(1qy$VUBGrs?x$4m$zc&ApRYZn&Cn#swY^-qlor(PBvZ#8Lt1r~PDP z+&t3+7l{YL@&LM-LP-N&(HkbPZ zZb4<88V|w9TDx_lz7+BNs;^l?(f?r? zW9C)?ic@mlAv6_J@;w74yY>Afp(#pb2VWK^?XkOek^_Y%HXsuGsMk7tvkM{*xFxZ_ z*(3Oncfq*xmMJ176EJXv?8ssvz)TO6JQGCWpOC7_{tF$8AgO`(jv7fPW{i6wkuJKy z&Lxe-GTOYMV2P|!cxbtLxbcy1bj71=!{zH{z|Yu41t+<~Krb2MHu#GP?Vq7I(gYy> ztm@?H2T|HPQehVI4u2FVy519xkVOko2W4|6Xl@ukf>H+e5@A6>`W)EVnS?gwFH_DW za#9-~2c>4hRu5%Y51UI%rCRO39Dd1vvRA0nE^Xk_-rl=AG#J74b3 zqsTdkrSk)+copzlvW?wZ5x~F0_RLPqA(`heHt}kO$;Rtm4q~qRb*GWHqlV@`P~Top z!spsF)trunSnXE7||SceH+IrDD!G`@FOcs)s2llK+$E|_xm`^rGsIrY&)wN}b= z1n=3WY^jSa?(qy42gWje#}fhZ8?bw}{fNNT9JF269d#DVU+iU$h^=FeQ5X zI~tohshriN)LxGjIIb4p=0G3`&-Thp6^EaFbQK`}h+c?&ipsqcyL}|o^ixg zpmtZ}U6q?Jr@(diHQ4?(0EX|y{J8E0KXA z>xj>LQX5zqHjBo+X(o;AIWhU+A$v*qLz7i!JwL%4?x7-_c>Nuxpdcp^HpAA=PToV;P*EOOziQzXb2b$JQHW3Vm)6}nxi_QantMZV#?G?8024c1*fp{~Ll37$B^kXsPsi1Jn1 z!#`2_!Z?>y*K_E_KZtH(eRilBN5T2!66#CFz3F#yabe41tlz_YQA?K}ji|-f<+#_O zHmK$ajX~#;b`!N$1S;Zag1bf)2CVo4sB zl!QR#K$0m7%Qa_AX)h%FsI(=-Jw3FBB(cZX6dyYj!K(^K8yVITL>h9@!ZTzFlQO(co8Fzw1xZ5kygoWexicYbng1#+;s>32T%`P+W|UiKcXrVHdV#75ACJtlICt{C z8rL*3NQj_~qF={}U=4jzY;n|$b1`E~;F?1G-u`RQWSM&_iAbnIzx^aJ8Hn(`{eD4q zxALNllnJ`cF>_(w$psc~y2?2|{jB*Z7EunbXju`-8njSYr~7MzNz@J$nY`k4gegGx zCuld850sI-QZ-BC6138^1N^HY^?eH7x;?gCki8`rFS&`xT~cb6sW=xq*`3Js*(~0O z|5Cl^g>$jfH2F$1GW5r15^&a{)$$aUc!Eb`YRE;$D` zc|kh{Lq_9acTzQ^4>sb~IC%w>AjC3T*n`i20)82Gb zclyNItO7|7ayQGTbUfIV=I{ZNBN3;-x;5xsj@lX=REV3=EAE~T%U9^7DPQt z(izWF8|+FU(7#xjgfsui2><>o5V)$9f-R_sHxkU4)WVb5FFAWrJ8Lq>bhf>Dw;i3vM zi4#Yw@)*%NQ?c~p#E_1ot%;Mb7^Q2MxL4CB&>nPi+i~iL3ouwd4OC6-AzkTZ6ShT? z#?m}R7H^B3IX?e6>zgb&sH>Z32xPza!930`{-~LIkfOWdm+H|M+S{fx_I0_J<*~A3 zz5x0!n+7%G1>J6K;C^&<4*Z_RUXsFRF}D2GOQhRx^0`?&K|8B%WJKCynZ^! zD+b{{fhs_eEUXky59y9(&;$bv)jB2y%R_s_!HHRJkjv{(k7WT@57RWync`@KBOL(w z(sJdQhYGjgIFQR@1tlxF@c#-3OI9XlFxpgtp18t0&%8~8k z{*ysX6@#T`%}0%lrRma5xvz_FGBw(-cV6*?`w=B0s&7sW6Iz+Y_l8j4fof0eL|lyM zHhYcx#8^FCl$ZAsl%&N*2Ox0I!NU3*Bi@%6{fdZ60XXHOqXl?ScEg)VeqKP)G*X!^ zZ@b6NWAbL52L36^Q^ym+!0^by0z9sb#4_7z-F`7~Wz(2WgO#O1$b2h~=Xl zn?w(9(3Wi>NTQ`ef!KB@Laig*u*0vVUekw7-Q#ek*w)|z(;cM`m2+xo6XJBEnqT<| z886n@sP+>0^)}Jy4a{gNtFOyKP96ukNY~(P|6JhNvPK74!g)aQK{&|=WYgv<&{?eT zRfL&bxg%CkA-Jm8rsG*YJH>!q~HS>giYh5TA7YPVQ3xmSF@pVwq# z#-c9dC8-4BYBA5-Em}i^ZOn%5C{%z2GX!rpX=0fb?WTZJ^IFa%VdxB$wyO~%Pk;>l z`U7dq7x6T01}G~X%O@FVIV(|oVbo_9*_$>{?z)Dcn>Qzf0KYhgdo9cF%mQI!d(MLUL!g;6f#_s7)g7G*$Jc>(C@G|txWl_Z!cXa#@ zjxcUjhklS4h=KCi;u|}v?iX8stwtZ7le2wV3iy1UKjzlCpe>e68u?9^p04)QVpjM- z0u{`PZY$t3eyGS}aUZI99BvUiVK9uk3AeV#b+?f!&z05HQpE7R5wv!0_>U*A#S zP}SXj)oygZ`+@GHBVRD*SSc-1XiF6+vXk1(<~#cz@uW?c1rpHrHE1Aq2b4V zyWGRHFTBz*+nyjg8P%yxU<*&Uxy4TZf@yE?`;FA@;XJxM~VIyUs_lMQts$nc=RUA?0BJAY&;7I>6COU-4J%t2Qf<@x>qc` zOzAB)&Cu!d!te~b>4Wsr@a2Su-0VPEHEAfbxd&}c@(PFd0ILDqWczHFEGBh-o=G?T z9oq;#12FlbTTDIG=sJs&{vwZV0d=k6ejCt<3t)js(*m@~LMitI;{t66ES;bF>{r2& z7?V11_?W3lj_S$Xt!Wbj3C09pBIn}{;<(Be$i(>CA1^@ z4))Po;$4X>zn*k9e)yr<``#03S17Mxpe(=sPJ-)>%6(rs89=}F?ZMG>YBp=9cXDfx zcpJ>Zd`fIze*Kn-#2=>Hj`2pqtQY8*1ikJBsnni`ezj{VG`lZGknb6qYIT>`zUr9g zJ)pJ5rDZSq66T-eRHli<+4#WG6z%s*IHV2&pRBtfNFb|Aec`zOgxG(1L*7+;C(gG9 z6%<||M;p>i9k}$LgfID$>R%mp-@%suu?s>)uFJo=Joes${t3g{82#`%P>+aK#6|GZcA7INmvsh1$2P726=F9P6JK%+S6g+$l3$8wy<|7S0mis_)KbIk8mIAm z?mlYC9mRKb7E#A9gYtR8>O9>_fmfn16NRs{lN1uloF#PrRtIba@-pwKhAZyLpA&lR zl^^YtQk`NQe7`VTy$a%%Qy;Ai=9Q0Ze0D@J@xtb6w; zytT8@IDDXc9g;X|*Kp7#Dih}VzTwVHddgCfW2BSZb(So0hz59i?WFZv8{hoBFXmvt zS(zy+{N)X})yG#iBstvv4Xyo6P*7~0({qXGV>b!e1wMb2`l+xGgmG<~|NIPxe%&@4 z(az3HUN5CBOd+;@&)yWz!th8VF$^*o$GL|7{uTj|$gb3LuK8zPzb1)Y`(b}k-^E@_ zC%qt1e_AzUTCMV)5RRVpIK%mV>^+xMc&q;$DpdR~rThdW63@M!s-*Q>%*n6xel3M` z!yN^B^euzdPk$qiKpnB%O%VI`wGgqtbiYYWe{rs4*AkLqNX&t^R_NEjp1$+D4k}2f z?l=`o0p1}UHixbi2vb?`Ui!O-4Pxmj4FgTFy_7e3Az`ic#@D?sK*I9RuSCs0kc5PV9Q^&g6|zaN zFMhT<2GSY)Uw;AWxW&~c1g?eOm6vm2{CLa5!LG#WW}XZGVpMFe>mvJ?R~&}NL3ppl zr6c8Qc}UFp^;)3{E+HMv&XE1WGGeFV;ysAe+mc^`AR^^r#YcbRkd9{n`IL$<3+07B zB*1UC2f-ZyYGuQ_f5=1aeN_WCHM z*=bc4cEZ=q7f{wFW`NPVCx*K2rz73f-|@iyDqw+>oPgsyE}La_sth;YNg90#`8EC- z-Jb-hxlpQmhGBp0N0VS7>Jz?|6t$$*n2|PjRdY^p`mfrcbD2-#%^jT`Bx-EKZ8w+D zeQTYm)uyR!b$cj3nb(;D+Z0%QQfBK`V1s9y+-QpHjR4KaFvWH!iqb5pRbDbmD~+hQ zvSF*lkUJoQqWM`eS%^+ND*ikHy^fLAj=xgcig%-f0^$|HADzRZlLbJ{E@2z%fx0^M z%D)F24y);djN&Rg_T=X8%_n;oi1FM@*)Y2 z@HkNYOLUxb-0s^8WCF?}Y@A`b+c}?}FZ)0UyV%Z=ALBHqtA}cPRW}Iy&3t=1K9KL0 z6R~T8<Hiz%}{BhB&UfmjNF5xt5PkmAol_k}jHPKG8n}7w2Iz71BC;en2nI4`gU) z3eG!`EY62!D5MEyH6)xIlgpQaijU8V^)zvR@U5X1`v^mH%e;00bO#q`j{5rVz|7nl zODHZU{k4%`UbY*$aWq9@fX8zeve^~5!Xr;`jlXk84rkVj*D1hDNoVocb(WXzwUjg_ z7(*x9OZ7ar|H`fzJdWUHDM;(owVCr`}UN~>oqO;>;_oHLY zUkz^ASwMVHkz!LwgBww{hVII1d3+PFe$J|Sto5Nne5DQb!gKs5`LT2DUw@PzQLwz| zG#RzuE#1=uyjSs)-K$0hWD zMf_$ocfTO_$+xrLWy3nyAminyaq7AVMa9)r&}$>OmAP^BUg z{85lCy91O}7Nsl8)cTW$MxbH zIWz^=iVTF`ar2^S$exhy&r{k?Ju){YU41xk9j9ZIQM8Zia&7(L4oyA;cW%O;{9-Hy zB{R4`7TZ}$tF)=VN=);=&NlUxCtCxnl?L6**lHphi>6Ydx9+$eIhD;j}$o9JY6af`tlA^mm) ziC7LPHx+Te`m-|=GF;y6q*q(9%hI<3<6B%P5__&a$n5k9GT>gn{gqQ_>yU4PEGf5e zC-luUU|qJt77`UlIVTIj&w8E@5EP59_(e012LJ6wvu=N&o2ANy zx90btljMC0Ug*^ym~s|CCrf`&JdwLI z8hp-$yLSlo0%mP%R{5j*Ayo=k{7_e{@%>m-i*m~{lPeg=?$hVBiK9g~=HL3tGh7fQ zvf!Z2r zQk-2tGdHr56g&00_i{5g^pcc`3aLOvI&J-*R_4n-C~xv3$53(%pz_MsUy1WDzcsk3 z&#zxf66a%v>fJi+D-s2(%-l?`2q$Xs`1M~e@**{JVLPIrmMz*<0ZTcT7`gcKT`+9` zn6~wl6P+Q3MPA*+VoL zjib(Wubn^ZfTAbK^H$aM5Mky5T}9b_0A!+RLZ?(O`Rmv_+~{xo?bgDLsswdS!b92$ssk55wzFvTUOVo*`}Q{J*M6R)(|q|9;)EFMgv_Guej2kE2v$t* zJdeYy&1LW2#cZ-8E=bZmm=D;WbF(8N8toB&KmawN8aorQL4#zjy2a<_xj-Ax z4^<)%3uNBIPW($&THjE$ZyQj)P#>kJ8`jvCZHdG7fUaPmHdm^<_jF!ZIb=^BpLgkE z%J2>W#D!w)Bsx!s|5Fv)RpJp9a@$t2GDw+QV+Z<-)65V>^BiJF5cc_81xI)k(hoj~ z&mO}X9!ZLeO-}H^{K+)L|1Oe5UQ_}wAx;f{@(vAkM%yQ=uFJPxaimR^mH4a&QQA9T z61@((3Ri}2^4SCs?+?Dq(qM$WQxKIY-wL}IvN#uRAeH1_b=uh(*VB9+CY`-}0I3S+ zmHyIOC^&s4Je8=}g~4vLF=3FfC>_d07#Mi%EGCupMXS~A&ym~zT*LW>ID^f9!?d~n zX~QKLx)b@{j{2(GEDO_KX5?Pt!Z z=geqL)aDppeKhyhVFd3?Vz3=tsqo>q0IKPkFTqXJ$YFOl&EtkJw?l#BMgg_di-xMq zO=#{1qnz1C1O`#;`}3q+;t_W#KA^4rl$=QwAC#~@bNyjw`U=@LHj|GZfEp)K9jm}ufqQM8n+ zsbWhLzLkCC*ZTH0nQtN=P`jFbV&Q*jC$_yLbi`srbMJharBbAJ+BWss^7QDAA zQYuQaUcm0lPrDt{()#i<)T*+=M`{4Eb!>!EylOKIK>Y9b83P8;f)PwS7Ldg8` zf`9toXM@%Yz$CSK4DS2YOox?E_t38qq;NN~p7CW4j(H_Y=Y+l5#y+hcHOc-eL*H+? z?Wz5+4lgb7lmn3dM#gl*(bL^~q+mU3Q4I*4GY(q6lZL;(Nl3V*vgW~#W;ge;^@_0h zv3}HN%mq}g(qu=C;!i=Aj&PL6<@QPFb*B}1K_zlyzWDw0j+euF9$&Dwrs@&`U;(It z_vqYDmJ@cCcfRA~F8eK0y3^Y7{ynSigF@&1Zv~l0hR&leWBaxmR#IduIjFHejoFvV z<{6I&4*}XL<4nLK$P#^*TTLAAcryY{|D@;}G<$gXq2;zVr;eB_4tDn^=5ubILG-$s zw-n6h#hH??ep3xC%Zu3DPwL!Hlst=2h~Exeb=79<>+{GVQd0%%nKDqYg z88>w=le<_v>;8oxpgRHtDOA3P8p*dJ9kF9OI`BH0%x+W+?n}dRuL%&eJa*+}| z#nrwOE|nw<9r9sQoM-R-oVRP%tIzc<_kGWAt>x@}Y$8Q7d!LwQmiB6C;ZP9* zFeH~+IejSC)GfYqp!NMboz*6((&@wejoHPXVAZYdA8uqhhihUzF2&?`Y)L|Czmac zdyVlA6|}2l^NasXgpZ~d%NoP3oTR%b$qw!)UvpoeoM}t1tRFTe;mq&b6kF)$CzV4= z9A143)b&=vsxC(RiOZ%}E`B{exj8L!Avn~3^?3|+?(;2k@9z~BRk8=4hi!joan%*n z%sy2fqFqe&^LVBCtIzwOVfg0dPfzYR=QVPz?B@lviSMKn&jk29v>La!*>f)n_u~B3 zyC-j#JxQl35y~jH#a%ztLPONF+We!1c5Q>B!nWBzv?=zmj;FV*t%9u*Vk>v+*}>;j zU-1qzyl-4wY+NNE_4&z*e&Co^rwisjsjuAmEhK@(tu{;2R~_l17QAVvX!|oDZ0gQ0 z<=c4n9lg%bje+yfg;^6{!o4d`$d4S_w99?L2VLTK#+2;*l)tWydNFsrs!b=NUFA1z zEx6N6!>h-w!$Dc(LG^5mlv27T$(@MR=Ak&-$!G5^71^e`ca2ON`qw`RLFxNWRT47k zQ0*!&)Mg(!7T;&EdD8ir%i^x`iHf;sdu1pE`dFw9C-G>zu4P zD@hus32NbR0ahHnwF1KQhgwuW^}5Lp-Tf*?Vhiu|ABx`76CGm}9s5vjQTfTf`I<%6 zd>c1Fmdjui)k@Pk$!*`ZW$xe8!#3ziq#P~w6 zo&7%J4Gh_Xpj*;NM-m6NJZg5^IuHC-vuM}N@(GMn?s)SB-Q)1`pY2qp8WX7=3DFLV zxA!Ou2+uz#2-4v>*YQs;OijjDnH++Wip{B*`PjIUOA`1VobIJ4tTx{z>Ax|l?RYK+H zTDVwoC|>K588#VQFaPSZ$Wxcx$l0yIan#Ag{##o^0;CxTG!vMU$(tOD?W`DSV~Urg2%97oJ^SSjn_1JXuH!tn{@1*yXg# z>_z5wOCU07V$$#Vj(wR6U?$BH1QZa>$z)zToIedzD=ZD@M-ONHxUNWIpERGuAJKxh z^j)i_S*xL``6MT&-0-ZWxU^zhQEp=L@D;=skYOJWOZx)BA)57#X;a^5Zf`~yPJ2F` zjL!wXAj-JfsGj+uNj7_WB|9|EnN(}D)pzC)y>Oq;V6rarFMSsrBJHLzkjB9ZPez%Txa=h)|(dcB>R*_)0p*{=8s$S zbWQfu&L(F;4141IWk|<78klG3=2;Bv1iiq}WB)Gl%1+9BUBWRMHid>!&)}7t$v3B! zi;v`!cRfpz^PlgOOS~W8^T#bN>o$0X9Vu+wjC^Tcolb1YBwug2tNj747MHobwB%1k zklZ)OPFNVZMYw&me^^HL(wcUWHBu>MPIHZ4cKFs9Cp6? zZ+_Euq-ZfSrfrBA-t;Y2o!7c7#hgc#b5cQbUuh3w<7IkZX19E+nGB3o z3FbHFZaW9Lw!o0M*y!cZu&zEbO+gAB!5#8L zZr0$8SJZ4ea4i&W+l-n`7w(V3v6+fk@rJuHP}}O2Jk4Y-r4DB;82;mE;l@kmYs(WQ zq88Skyg)yl{e+sTn&`otu8?#%f5j=cRpFeWkaQ})1Wgp{{O})Ti?XfG8%g#2 z{27wxvbh~TN%g|~C@Rc3`ODoz#aGM{pm0_JFQ~j}ii4nT4jW@RM{%8}57~(X(cGAqJg0choS{!r#zz*! z4p?wqPP7eWey)q6-qBe|xofwaUz!x=e`cSemd0#EO`f*mYbtUh5f=pt?+ziE%={Ru z{0`N~mejEgiV{lDz%@{(SZFYI^YZ6q7!{@R$7$*G83+w)F-Gw5pG)Oxu<3F{CuEQ_ z9&0_~4S%IpNPeo`Ys$-V*k85MCnLf^PhB26_C~aeUYEeeh$FF3l}lyl)q&fha0r5-+&5Cb1SMjKT7)_yuk%TA7pz)BLG@23PpxyicV9+?0F%of&t z#7Fr3uql17=qqfvq1qR!-m_QykWzqzxu7*ocV2Yd)`fjTFCW+NL!Wy>bU&+yw; z|32PVIDXPi*c@?=A804E8)xn?2)vNi;lD!rRw{;hKvTGU_|j`fqu66xukRM88z6w>o42^frca4W$>E;CpRjt+BNjuEz2uf!< znK4S+6hwOeAX6Gx-t@9>WxJelt$H?jBE0rlaN)A2&(H$B^~qTZdR5F-|wdOO_O_! zMizxo-OHD-q&V5#fZxtzCY~U%b@3C+EZlZs(#@e~BozJHd_{IDa6@dmk)D~~@+aL| zcQP?mkWSGBF~NZ8eunb#e7!J{?1HX86XrT=Vn-DyDpRDd&Av8Fi}~UTTxi31BPSs? zJksQluVAqy&%V%GYTpc$rv6r=;m5mzq^?}umJH5^th2gaqH)xo*bvv+z9=*L0IyhT z_n)zNwb0I=-nG;T+~3KmlVYoDr>SAml!gXf!)|*%X4*<)8M{PFaUWQl$ykZ3=`}Y) za_d!9a*_aRjH&6V&PDM;EkR$1$Lgt$S>ZhXxN?`#B9gJ5`^nqWdrC_CvG?#{?k-s(nIRu4{7zr=raCip#)=LZ>!K1%8E=3@yv^K~&P zB$N465YpgsY@B1(qe$g#mwP#;nD@N+a>kWiY(@O*u@;Szw>8tyUe&9(R^iW9X-6MS zs+^z7_nwUYLZltInbb&9^`?%?%n>8ANRi_Ve;2>IafaSQsQm~!};f->i*^g%sI&X7Kc<}QgU)I7wPq~MBG zdq>Jk#ml&&6xuHf$;It?l?G471_3?D8)1@$zXw1a6SK5FO&}W2@J&?29(tj|>%&1z z%5BR0`}l6nh&5KRx6AT>+{+IX99kC4)3_RkgUNkr%NrHU2u4j549==()ilppZ@;J` zq{(kjbS~XJz#vn|SYgp1{|bT-crx|p)wEqp?&DLEn~Q8&!&S^L-@S7C=#pzaQDa2# z7eeL%6M(Z(Qq$~Witm@G=4fDh@H78I6+J|wEv$hZ7<#l=$^65JVfEJCNAF_Uo1kIJ za~3GpFjY;U#$$A4=Irt*FFD@>iWhb6{jyc#0jz@&o-~$2oHi-n2ITLmv(qAY1S=+U z0Zt==kq|PSDWHm}aVlyiIhrV>?#%5A)uCVve_d zX>;YMn9 zZS`^^^FV{T9sx5}n%D^HC9Uhd>YZi&Ftzo41`As6 ziGXFb^GM`^NPXN-Kk8#TPYol2O0is@aOzvOvjk?PHX2$tR>$qq<)+%>(81oNQ}Vs9 z?@}fPLJF-$Vze9Hj%Ex94@V?U@9LSoY7xsQ_8j_VYGOVzT%bB>vE6L-B6{T;mO^Cu z4v)S%`Zvw898SH@#8V0KwM6s_-R5DqshXqTy*J5&7(_lpW>^ebkDa#Qj8{zkd`sB$ z;lr(~FPvD{Qkr(SmLH~@z7k*&_hR6#cvJ(Uu0IzUbk53 zJ*LcF7W2Ael^*YBY%y0hr#s=LRS<8ey~wSv#A&$Vt*>;WRxZL@MG2<2X!By&d~T(; zYQv0VVAtX&p3+KADRYbGoKn5v2(gqS+fpX`JddO?=EMd^`euaRsGzzVHF*Wf%1BGh z^HrS)^xV?stS@xYy9s|K{Sjb8o6OVH?{sBaUN+Q8h7Yyw2t32>OCzB06R5lfK#WeM zg7sh+?VP#i)WK^c2sXP2=okfHg*g?*KhoWV;8_`vIdB>(SUW}#XCx!04~U@q`3N`g zzZj8dxHl30S&k!sXd5^`T^;oXXOlxHI{nc7`~(ub6Fo8!{++6^1LKOab^^?B6;cRl zcye&*s;>;(6oMp2+tzws#Y+&QAIO!-5_n6p+c7k#{>OkH&PkfU0k44~(=du)m^xsE z&J{#l!y7TAIRd(EMt1^lNE66PEPF7EC~7rLCE2eOxQGdBZ%DrFv7{pAYgVo1&C}2HWt$lo}z{r1!1KSG{4;) z0Vf<*8o>mgf?^XfoM3h#gb=<9G}Vdu6ypBs^K?IEG{xfzUJEqUi&;XgI{-4ceOW{# zh7>HygiL@7;OL~wV=#EI8z144ATge2@si=a&x>G79e@fg$ACApz`S$ zS#Y*G@DSZENZ`ObF(C8cvc&jjR(1eb&-aoZaW?I532DUDlEyyFJCrpXV8#imUr;g5 z%4A|hz>OpkZvb0EN5BzRDotR7e}^IyF;H;hZNLDXD}-Rh4=~7Q!=0(J@fcZDzXKqG zs|Le#Etv2|^ws7?44)^C1-R`;789 zj56w@6X1axlR>b-9l%q%fC3&#k4=P6QB8GV+)?gMfI03jdT>i9HWRZBp3(v6(6LO| zWVi!r-5z*^L&_rL-~kYMHNXZfE`(6Uqd~AXj0~I-YR*fb$A1RF`Y`giIGOTPj04zG zkx@{=SNWDyNL0o5ExWm%fAtVO~cH9Ee(Nd z=vaDeI=q)^svF~oT6YHM?XtPMu1J*|{HBvB*8q6X&l!*zaCa1^qlGBE8-k6*{J)yB zgDn1~x^KB~fCD!mgOGO2Gwr|kheWGQiy>s3(p|XxdPxpQv(ai?r~JVBjAer zB~4(4KSby9mq)>cKz|dR-+|=aE^R6|hu?HeW1SdvR4N3SfRVw|(aU#W&QRjcfDKLy zf{nn8f~O3DN9c84#A`T=irpEY`^h`Atv8?T4rbh2QFYeUEM0BN*1FF^s%%7_eu8=`U_Tclx3!P(jX6y485 z5W_n$A>-gRAlM)#6J>qrOq_%aLJ6J@k&nfQg0t0tpSJJ!VgKpJGNI=4aCkaZb{9q; zW#|lO;+&)iByd?MG7s|*4ATbwqJyBr575h}!^^0$yD_FHM<_B0BZyx3pp9vwfg*D- znqZig1v~zKlfk}*pAg|&UR-+8yDDn+2S`muj3inbO+{H+jF zaxZ0-;z5CzpqEdEFH>cAV!ToPPQXLlFDU{T?hQp=mD+Vpg9{O>=gVJqfft($K>;2E zLB7VAqd5i2W8o20YxV#SP7e&zvB-o|g4peWpthm1zv|%Izf;%cJ?v2I<>|wJ+0)K6 zv@slRDLnJPboK=!jYCQ!#NmxlY!*ft%+7<5#HTS~ja8pqBsoPitgM2vrfuJc@7p50w=l}@dN-w+A4s!69=DzjY1By5& zS%TPqGP|WM9S<)Pw!S1s1mI=GBB4dB0mjcV#|;QnVFaN*M!u=#Lx6sMg`w{;eaCM_bbpc0GE_bpLj%sf18AZRg$RuJQU+uu+?1*jhY>*;b_ImEWE%Xf8&0B| zj#%&#q-ZbI%P13`0i&&nz$ZzWV3_gme_Vm!^Am4d{*ocwfbT$%(HJqj5#v|~h6yG9 z81QPtNItx5(Ugi*_+N1bIqw2Zj(19(eqrv=1LN}(?)kJrl6SkzgMO$MyVo_*VrJik%l@D*Jon7RQN?VnaicHe~FkX)p!FW9NQ$@1|O^_YH ze*EZfV2rsSF-Xh!F_{(jaPiO9m(H5{RcT3=Yc_HRTTxZoX(dm8{DOa@RDLPeoXGoj zaxu&3&+e!AB(b=t}{Ax4tGs9ZFGmD2&{@X?ib{KzReZv9YCzXteR#dTi zDf@**RZN_@D|->qB{!2evG*?DmM9mS)pfDJ0!G}h!H4c85394@SEQ?bx7EI+8dfyd zb@EG0qrdCx%DDei+OsJ~Q3kH3Oa-Yl4JF5mdN1A>B*#1`iL#md_>mww$?PBh++jT5 zCBlYJCH#S2&%F0xIkj)0wl3L_AXg`BW>7>lOk}sHklB+}^PpP&j@1hZo5qFPI(!1$ z&$4=W6Jp8|GjOs}!*rhX#s&=4W|CKnEQoB}=Fxt%k)%As3Gizn7frMa>J^2T8$f}p zI(?nR3Z+A>E3%VPHj^nxlrRr>wO9sqy6uy0bUZvF>+LYN1VA-h@*>%RpZt!M3F`(= z0dXZwjL7+(cubJRhmQO9XPDAT#j`^6vSRn=k0^ADSnA=OSUrpP2k%)g%f{_#w^kB{;0kVu5XtyXx2NuQ%QKY+dZuwxUXFQ=HfC zT_;|lU2J%vIp5~_Z8w24F64oNY_M7RRSv_}L;{G@Uz2i%ughT;TJB~usi&a0RGX15 z;Iq4G*y2YWGc;x!Q_=Ag_Sm9lcSs+no|0l6*JA1qdef$-?|6>+OHGM(?{ z#Aq$xNb4ApD`yMVAO@@f1dfPM3EOpy%BQ4?_w$ropz~*r)8*KDc0@~j!9_%Laq)r} zmF|XMzRw)u$(54!AXH5xUd@kr>iPXV_lXMeni^lgB-*_x7U!8p{Di7Yc0eeU}X zvBB?oh>KlGDAd);N3?h&UDRHd$el}PKx{8ShC_C|_o%2LZQcVLxq(>u%-NZSIpyW) zozK4a&D->#4x25$Z8W4OEg4lMQs`3^%Xo|Eiiv0^FFx)sO$oW7nUl?2hAeH7gJJyd zbg$p!3t_G@-C8~DK0veLBYSSWxSR3CF~z(uYrYd$dY3FkA7Ym!uP+leoz=NIzxUai zQj%v(A-k#pYC+Y{<-ddf60S)legBJ}>dh47hVj+S8N%#{%v7j0ky>$SXdRK&YTJj< z9AXcm`o2(@b6OMayds^Ig6#B)$CJ#pHu{9jK7cN1xhkAUdCI4lh9ZouJ>%E&?`eBm zFR@g!v$NjLis+9V*Q`>tcxpomTb7juHzGnc=b~YjNduWyGCvb$ zx*TEvm5j)#LC*{#QK5x)>jIG^*Xwr-m$E)(oDpv#r zhZ=k3+44G#cra-SJTG|wTcm~4*KzAk-CkYP^?<&9d;dEv%U66YKP}nf`;v}xrsEn) zxkWx~PEu6<^(fQIa~lN;`e(h#GWRQv>k~zuLj$OtgvLfvD?=E5>%9;rlP({;LHb@e zNJ>9Aav(xTS*-WVl%)y~K<6yYqDh{`GlOwq$Ie|2?2LOo_5n%1)DW<&0#VutdH_45 z`6~0F%<>>f$8JVbU)mEAGTQifbCAE>OCd=|&1r{CcJAptKqYjOhk!h!=Bu0%%V;aEcrZ8X&A3am;axg zdAH{e?lJ!=f2*h3or5jTvUj(`OKmSXI|ym4(XUJKRHZTUzuY~&5|XHu$W1H&ZvVkh zanv9vIz8Qksg9_e-b=wnI?)8`=KqL}c*eVUBLyYO@N9HxH`<&&f^Kk~#ppQTR`t~? z9*2)_Gs~Puk`*OKZgP;{t7Y=)>VaE(;CmV@Sn8kr%pMfqg(zv59eM~5(gpCIACfK~ zGu?g~7YYj<@U%l}8BUE(|7@F5J^#e|N{;5*!kQUVHB%YDS58{mIvZGejbgG-4{}d+ zS7l?p`<+YB)!FmgTSYfypPWihjumEK(IXSQYC@qE>(3;YHTg9*;^P~tA{6FI z=}7jo$PeF~{+v^dch=pN*)SY>&s?>4JG%RY2OJi<(h%&A0cnA|uD^I1aO7fXj#Y}7 z%=t-j5Av{V-&|n?TZJdeMRo^Eyn+<_AcB~0smK4yXvtk&`Mw>zIcW6b2YqN$;tFqa z{ooA+^WwgXx=F+vx50ALbfr#`doP1#D|(uuqBp1JDy+%;`0u(Pafw?SbbmbhKf&zm ziU{;({RQh88AQtE6oOeXjUPIp=pZ#I(9LYI`plfGCwnEtH)sqyGI$W%bfVe&zfv?v zhmQ1ltD0SD7QCJ?l2cMdOP{)kU|*P(->$4m3Bkp(PJXHC+NP0OIuvvex=YIXz*3h; z?J%OTEhp_~$czLu`sXVNt!28|+sLFJl}7%yU99Nx6^4;@X7K`j#VG`Z*oFM}a#dgUS`qrlate|&DA z_!*J18VWWVH;~khCMC58sO2fRvWS@oPlR0S^NOIHKi$xIUgg@%P^5pF=v;9eu9vv| zuJg?Uo@R&$vAwY$?)AGyfc~Y@$!d)6@T1+3dg0+2`XDlmZFy(xl11KyN^~YKYl)1& sj>^>muj{k1!g^6$LK3O=-o<+_RSh0LHrJ48%iL05QN8>$(#6Gp0Gvn{a{vGU literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.idb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.idb new file mode 100644 index 0000000000000000000000000000000000000000..93056c6c9d9d010eda43e8b7fbaeff05c86e56ee GIT binary patch literal 1188 zcmV;V1Y7$Q000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*I{F00000000>S00000006530000000000 z005)}00000004La%$7@N6hRP%J0|NhzGC8vD2|{YA_`(O(QMWjH4h{46`!XeSz#g0 zE<1yQhk$|yL51K!NhDr9D0)%!q>1Q3L;~If#RurYgP?~%M92Eunzb#qVVR2-)c*DN z*VWAQ^v-UTQfe+`6xCEza?azy3Q~+i5vZ#ur*ciArf`ihFw8+@)K?`iXk+ zc14s@?7=l&-==q&+jNk*O|LMw=~d=7z0TaGL(FYzyRT?`nEP!y!n~ATB-WyLS1FsO znA>zU^Em2L?|r^Lo9YAJMiX(s^&x*i9s2j~Cl&8P{o996w~J$gmz{@41N?iPQ5 z%DIlew&x{3U+jQxj^5@l1Ft5VpgPX3Ogx5p@vJ*t99&<2=Ml_>!IP=tVBnn}GykQW zy745Pomj4(Pb^h8Kh~(aTeYhCeNyEsmnqEqj?Uzl;fK)9{>f%&f}V!DcR4W%lO9?3 zXZcZgd<=t^K8)*`RH|P900960cmWb%U}TuVz`!6i^YH^lAk73~1F@%zQ@o2~h@+EZ zuxorkkY|`Nr%>~3Dx4L<{`na%x zrI)WNv;iprDHVoFgPi5)?i%mw8sg#a!VZ>;TfH<4W~n$-9nia;&R~}TRoi6dmDq7G zXaMED#Bcu&3L21lb*Ne&e}C`LfOudS`MAc1xcUb80K>?pxFp5Os<@;mGcVna6GN{q zUcG@KK2}yvPW}<`MlN8>?YJ;pDgiYC)ul=K`8jsn7>eOxiQ=uaoczQRJ07rV@02u< zpTHgiMV1FJEd3mPUE|$DJzaPiSb#!D=h`&Fl&}IBfx(f!eBhX0y-a5@OhynS19QKP zkq#*A_`z0~zgkiUQzZmb72xmb7Xno#0Ji3&vgLhbWuRCEs&NhSbMyfx0RR910RR7m CGbq&n literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.logdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.logdb new file mode 100644 index 0000000..40b57b0 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.logdb @@ -0,0 +1,60 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;18;18;0;0;18;18;0;0;0;0;0;0;8;0;0;0;10;8;0;10;0;0;8;0;18;18;18;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,18;0;0;18;18;0;0;18;18;18;18;18;18;10;18;18;18;8;10;18;8;18;18;10;18;0;0;0;18;18, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,LEDR[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,LEDR[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,KEY[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,12, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.rdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b484974aa4e87d7d07146c227bcd8f488e6cfa9d GIT binary patch literal 31741 zcmeGDWmFx_^9K%I+~MLEcXxMpLU4Bt7Tn$4gG)`}^7FywXR5ji3jhFABSCz&0D$f1!TCuwEu3Af9qdWjS((|G*+|9RtiPL+ z^02d#vazvzp6sljP)(jx!P?b=RLb1i^;4I*2l{^|SiJv70C-UUNB?Wzef|%7LY#G5 zd+bjn(b9Gs8hUgaem8pTDSq@>FAmd_a~pj(RHJNGSHbwI`h&}(!;KX2#}%_wL%X`c z^WAl39=nK%|3D7MhvhfnsnXp2sIgc{SOD`6da;ssKxYjAn2C<(BT0?X+u73cAaiy3 zxJyEOdTP5JB$5gqBt7xo&)>%U8Zb)|AAoxS?s|y97se7euZTb_ zZx;rLg!Vq6)ryn`d?0`!wTB8Xw!I9T{*b^CkuaBj8a&( zBa8Po>t&#SC);6A*~U7w*BTp@Ha$sr+lBl1cDra1z>bxi#PZTQ&Mkr$MG%0saX-|y zeHm{UWBzZiHB>-)fn> zF5j5=7K-4ZQJXmtxV|T~5j~KY3-lqp;IzIM1T3R@d+aS9i`CBm+}L@J`+%UOfE@&H z&iZ)v>G_FLcxC`=%`J^UpxUmvvTcN}`Tz?1m!IPy+XM_X;+^?$%ESv)@dF><0FwA_ zB*RwD@7wRw+FkgMRNQ6(Ppm&8Jzl!AMbU#eZ370cnR9yhtLE> z+^FQu((gSWtWzZW#$jS`wR&3>F_Hb94OxjUSwwm@lZop@*{D#|=>^@m03z?shd|?F zDcf~)FMqukHeyXT6shCwemmsDYU(JUkp#osDVegbcTDWZwOt#XRKwCeEX5U%-BoK6 z-0JX4KsXXl1P>-dc#mMQ(+8dYm{VR0P6sz~faOE)AChm#7if1o|K2;FC|t~D7 z@8M4nYw3n+;A?ZabwW10C1b|IUX~eh=g@^U3$Rb>GlkT+@Y)E}P8&B1`*ek}>dc|&*sn z%Du?#ift{PCcqqM0Q(;M_~C?hqw+{vQO&=#MbV;9!|M*?BnSOo*s{I4+S>dX%^yTGN@2x-KJv7u_6yr4mXbHXfn!pp@EOm zi}d$Gj+4rN!l;2i#IgYs`9!pp6W%4>u#@A;BB=xH14vayTQDTPv{WLfzE!-F?4(=7 zC~u06NNyGt_ZwIa0>@KF!7jVt3|{D+v>m!0cKK1pvg2AI`BS|AMeGYkB(Ay& z&d|11Gn=ky!`ey02!Y8H@kj!A)Z`V#d`l|iG(Kg5t@pa6F=i}VOH6o}{ z;dFVKHB{|6o_qV+{_ftvI!+Hw>3xcPjaI0kl14Q!HiWTi{6|?@( zcb)s5wCFv$rqfPg$f}Fu2Me^rDTvZ>eQ>6cBxw^bjGbH-dz_L?4bFm*LvDiJ5(*Kx_0(~?8Q~PY1(EhLYe}b6b z14oSCQUA~6TS+C9BdhbQZC)D}+Igho!YT6M*6+G7`0a;I7y{9m^$hWzm=-I*rc;{&LMI62L6uvdSz-d~- zg~-EmUm`B=Hty=q;ky!WBk0!ZRGpKiZSheJK+fGk@%ANh{~PX5OT`)@;Uhzk!T7NW z3y;SzYLn2H>+X$350w2_Ldo{a{;7G0GgAs4*QHmdai%GT-L#|IvPc2KE^}9O^PS); z6CYa17QW14x+ptE`w*wLDTMo|?3U2=97=cioKC61-xp4g>>Di5-0aCd1M2&F)XWhv%`d^Tz)JY%x7U4Sbbs#*T zxUWK37RtUNlk9H&X8ZSkG4Qj< z6Yfo)K4asZSyB}XR^nKVv4C~iCTE-WMt+I(hpim+LfQ+Up(OsFk*$luc~l2>k`7>nakaSMw!SC z{ZpoH>f0_J1dIkX(vcgtb@Z5e?XVGC5P|hKg(~MkD z?(Wv4{HEr3j`yeo8|_YGc6nH#+VQs3HC~x(bo(PhHm*;DEj0G^k$Hyr1f5F1LM8)8 zuPCo&_oSb!Jb!qabMfra%kjrepO!`B4Taq7ZbUByh+&U3q&-mNz3`l#JvaTfdRUii z#N&_3f(?5sr7eDY0r(dlsHHloyxt>^kz-tMb2tlqArJ5wTz#yhUf9%>?7XNk&>YmDC`LX0_Q-92J zaD+_1Q$_E;(7x-|Gcr>@BtHD*NyqO9%0Ce86m^mELAFmOr9Y~BiNqlM$FLhfB!En$ z&+UA-ly8T_^Um+kpH~I`XP>1&_+CN4zL^}Y;L-=EtT+BbWfH6g{)WO%KLyNG;AEd0 zlN`*LS0G{c8@nT$hm#2U53>GAe2(}(UcWNw{3nd%GzXLY2R?;Z|I?&E$qe`peDaWC z{_EW@D{=JyH}n6KXQMO=M{0QRq~zG#)AL|?b^N)!HH{cvju33cN={zJhWKB4>_og5 zx&J~jsFLVxZeG4h;D1KXxq#cR7s2`(u#kr=(8Egrx4Dx}>`qlfB2~?UI+9Q|Pk;C6 z#Jn0szkj+I-KRAyZ(E+ACyHw}(00uAXwS)4;+pqeS=W%cm0mBVkw1yX zF#H;HcXs}MoZmz2$#yi^F2?}UcYj>pD<9K0-m78rgKbiKPan=JfquJLF+zaKuG_0n zfLartXjtfp(n!(H$SC;R0LkO1;p1VQ4-cR>1{i!T8%w=RfWbhC5{p0AjrLZ+bG9L3 zkD+vs=l1LJrFBBLTa6gux}ZAO1XiY`2)7}`#~Hs=I)Q;7ef<)h$ETOXf3&5E#iUdf?}ktBrx zKN#9{F1LeXB4lMa#ma-XHj@i~Zbzhn&ecob2DeEG#q(lJ)Ls6-(7Z##QLdAH%fdO$ z^M>$~LdAE-YOD}>2!1BSoQ?U_IP!gI`>Y^VF|*8kE%>3okVzXW6{=}W%>6>oabA1J z42^x<;fSxKH}H7*0|Lx3*~PT)A1*2#6bp$5!;WZqN4Q8+R6aJpw-?Ja+q=I*xKpUG0 z!^f#ClKuj{OhYOTXCC#1LMKqstmtiY6Rg!#Bm}!>86s~x+uwUSLh&SWVh0gwCA0Hl zhvGzn#fw0XubXqWbE#Zi>vBqpYVku1jK}vTs^4j)-`B5YGB8uHg}n&_?!6OuwRm7v zRsP@3E+l<$$hh_fInnTW-3RIVT+=So!r|qMHkB=-fq{m_!~<482!Kahu$lRU4yll5vja*+=iFB!u4qM`1{j{O#iCftE)J=pJNn>(ZSe#U}c1fVrmzv%7 z%+gvAaocZdQ5NT`-NL9Wc6}%QfI@?{<5F~iUkbq}d2e6L)gAlcN}jc)v>+fb+HB#i zk1Ez3C`sm|n-1|S;XVpPe6f~y2Cz-Q33=tcV~qD>q$lOuJ>8E1VEpqre6Y;>16g1q zocP97=Ev*D>wWDp#Lo(U*viHd&&n?zf^X_$uub@;fUMC)B!_OupJ6diMbW#BYj-yln8pX4!^#n z&}9)md5-!Q@=6@;)Qk2N-Uw(8fk*uTYxTtL0J%dQ)Z* z!QH^?Y{yjE$(7mWAG5GVYI#hDbeBz>X>rcg!AgTRqHL9p|fP5dj5e>!~B7u*LZ z?Wcm=0ahuxL4xti98{ibLp4{iW~C~t6Ax0q8Y z$jo(>s$@%1cxqOyOUl$&yQWyg(bMG$9*X_lL2ldr_&3n_6CCRT1p&PCe%EdHwRV+IwA7^IG@xDzBki6KIit zS5Xis^3b;%>I1pq77%PZLsBbERGSW~-o%bjmhsbjli~N^Wq93z07{=)xq!EC+ePyA zkStcYkN1*&PIGO=q5HGVN0XO6H8Gg@&EG#jLTLsAK|eWSpCgQh3#86_*6rr%tI1Ln ztKY1obox&QnrVt(9g}CmfR^2J@Ah`k=BEOO&C85ppVOPx{hQzp{4YOO{Z1Q=2{&i< zNN+{tv72dIAr!YLgJ)c=RJGY656WGw^EIbI<3>I94x5Idal}=39e?r&li(2^E3G?>d`c> z-X*>F0}*x%I|M?fw(`Lugf;_FxhkJWk;S;mr}XgKeTAPkR9K}EQJ&_#v5J%OT|tJQ zxA*~XEmJ;IX`}uelZmW%i0eFNlrKb70@hNt>Zn>h$EGyN%a| zM4YgL>ECOdnToK@#j+C1!8}JCZ$#{*KEc$yN3*;@6TQsWHhw$93PVHPkEt0O8cj+<-Hu$ zIo|i80fzl zKI{KFsc(26N1wP(X&^(P6`FtUsq}6|%rd+G6zbhd2bR2u>%jaA+$W1HbW!vEjk|aY z`YWouCn9R?TV{_}w?5A=MTqDSt@g4(jTsppBJL&^W2E>u(=-;oW5uPMwb|19jl&c2 zcPH=l=o4g08^DOK*rm|2@RkQyM;+DqGsJMWk5%DD$FLfY9m{=zhOg5zfZ;4=XlY{%Vem5Ck)R)|9|I)F%>Ke=F0w%!iuQ?p#yYqSE z36~0l#1$;COgVKvH?5gaHK0$`5_ynKP77`3>w^yO!_Y!*f0C-KgR%6VfCps6n1R7x z*JZJM^0z9p3zsZkH&%iEfS*EKa+Xub@zhKS?}WOg=z)Pyb|X=~)|!SjyZVJ4;qCv} z6v#r7VP#(OzZZIktH98CocTfBF0(#wh9PD>EIlD-QdRL(4(6E17IX{sXjJ;AT>Qps5S#Mr_vzR*)_AnK4)_%eg{ip%uRJnf33DHxGH&PKVE-Z8 z{P_|0Q(^vrDdUMRNZ*A$com)o@pN5xdt-D;0-i3Iyu|LI@Ksl(2)3v-TfvIk1F9ka zntf4jr!Z;5GKlA%7eZ`3L(&@*H5QZ`Iy#?sbKxVm?&fHgFz_XU__7imu@#U{TP|MF zz)QSW7cYaI)dgtt`~OcQNLlm@T93~&&XEC4ECS7C9jxi$+Q`)>g-7Jj*;Z_sS^oqw zWEDgfnJu^}<*9Wj>jU;*r0sD;>r+)kYp=NbvF+(;pooh;MAMpaIGx}%c3sEps$MRzrv$5 z>V``=H6!%_@D0fkg;hgD28qZE|B8~jm+cCk_zvgOR(EB>NxpD91E%Y}atisuHz>)% z-hz&L3Q;j}ZIVBNSw~zG{HfLT1v?bn zzz#{#8#JAGH6R64^WF}p*hhf&qJq#8?zd>$&(B)Z$N1_)f_svQLUKd4*I=_#wh*kc8LqBp-%ssnZCudgOyS zAUT5?eY@C+7241IXB0)lj?LPyM?$=K3c)`hni8(j7xFz zC}l{7-{v0^d@zGSnefT$=g+G!Smoo%eGOxK)!>j1Ps~ZF85%evuv`7m$ddwYD|M!sl%@CW9-bQON<%GQFtgFu_Ng1&1aUPhBGWQPI;6L4ALod7z(z2|K=>HUgf=g& zaJ*OG(R;Xlv>WX)k8SEadLcVnCestPDW5mC^jJOtintmb${eCM8x4g z)SNX;hw37pCxIvu`E<`s63ZWylo0-&{lJI6Sl=ikz1HmeXB;KV2;2LjgY`Xz9e3Ep zLd2DnVb9aUaOxBjRw|u=YWBV1c|f$p+8d&-T!@$lktb&l!b|+mxa!X7ga;!^Xz3_@jNTJbh zko$k0@ex&Y0a24DvDcPi5_j?=A1f*66w*^|7Q4p;`1lUw_m@D!e;78H?9Ex)8OS`r zjt7vIY?Ioe;Z%p+oi%6#pgsPz?s29b$&f5Hl&ggZi#S7%ecWnygZr8h%)boI*Jhsi zLU+68`Q1Czpo(sKmBM`9(>O;Mo}NJ%tr-3nhpWH`^*Eml!3$BA-Gh2b^jMfmp0WnW zLSnWK^fPkY)N~2bp{`v2bLKxz2atTFqXia{>NGc-49_*Vrf;#}S<(~mLZVpW!bTTw z_;T)Sfk+7a$5;o_oUM4hA~S;@wY`YR&s3R&?&F8J+hy|5 zX#M;o%EnSt$hL5(M%{APtL!ee!}vgw5_se=oSDefx}5@A{cEyF-e8^sQtXJ;OcZau za3>WqOpV(UY8 zp7>oRaZt_8&}}De-^{DuhFAIl{zA)J0ZE^N=816J`hvqjxiCcqdb@GEVk`u29DEdl zG}0C7KRZVrmCGmjX^7UVuq`r%F`14y{T)tAUIQ70^!f#dHNkHxD)W>jM`s*QbU(cm z&hTO)!-L6t#WF~-!vb5elr?rm$GL3t>t8%v;gT8i6)LdeW~#<*T6%iXYQ-u$$Ef^T zznZ}5s`w=^Wgih$@{FtFS{a=B)o6!{9w9@d&Qxd}+Q6g@R;2MJ!d7innffEZi9dPS zlFVO-cAL>Ve6j5Y4UqH?LKkdRb!mO&ij2kv{z>X1eW1VB{&>}VS(dCwD+NXsS_w!( z!po5_&aU-cTa&WF$_T%aPlsfsq8rm2mgi}19oOE4Vl}`Vmigu%=L*|FlSK}20y8@Q zQwCb_MFWG=7QQh22yF)2H2NaHXxa^MlA2a6C6N|nfyM@}t<6LQOpFgOM5}=Gu#_?s zNm0ZNT{*BRzOjbsP4?IGC)kHk#8F4Dd|ydcL(xe_br4w**>t(8th`b*he5F}3Upq_&*>MH&;ZN)r`m1d65~UkqoLhb$t#)bp#v5=}^gP4!$Dp z3V$7!fKPQk{RfTG&USvRITCmwr&@l~L*1D^N%Jd#NzWt%BA=pM+0a(g9Ko**Uo2SC zjzOW%Q4O*T{KiWsr56Cqh4ol5XL)oij z{evLoJ`+6?(>HsDYLarEAaN@YT5qZMy?4SoJc5<}!Mb=b1UQoGr~CJcG}MHqDE3N_ zn3zycB_1B0i0GuO(Vj$7klShnx5CrP(KKv(BiibI-nFAnIMP?ph`-)$ws&Gw#_2mr zXbV}E@~Gw>8grwUoj7M?haYe)*a~Q=X_`1j+XOL3n)M)q-b(WIw1k`Fle~KWcfl}0 zv@5mY-&7sA^FUF9bG*b=3v4B^d=MKtAI-!L+H;LQeF$@dcUlx~ojz^*mZvv|pjg~y znx|K)s$V-(rAAk?l)gkZ)co=%T0GR(iaPn6gQ49qqrz|jW3>w#pnX!Yh_5B{jQIT! zU8$|oJ^BcpbQdcDHQm-d(3lIvJ!b7-MAT%0oAjhS!Dp+eA;!mVZJTzIlya+?G7}5U zo#=^H%Q`T&GpkJReiBw#EbXjcEen7Z&lUCeW|EiS<&O(qX_TOM-9(gZOf{CFlBvD* zU1R-qC*N}xe%n98Cv_)#vVz-37_vMi=>L61J9em;w6 z<{Qfj6c>yvKdNrC7>uVAQ_yh0xwAf-O=I`qVv1aC+}A7=-4pw5h)*F0&E_tyW!Fa8 zUb6NkB(RqXjn(>$Es*4lp*(O@%2n7lRlA0Vu9j^MRBNI5jt;seEioNc#jno98B+h8 zPF=t^pxI~!6~r)1M^3A1yDVfh(w(7h;2xwwJ=7TddJ5^c8C0J_R#P#BRaE42_5xU! zVjs@>jMNE&8uaq_y?-a1=rO&MI^_l+fYY^~W$BwKU}*SZR?*7*litrhz`GI|aCmKV z0}P~>0X8j}gtdiQ)dxCd@UQnL{Ceg{OtLNPxPge(CX`xv3stcckQ6m&hkmcDP4b~b z^y?;`=A=%|v|82X*XSUpDO##_%g3D)hz%j0EYIs!%~j-UJgiCPP!P~k#{=zXH7tY&K%i)Lh>(O0ZXqt{BVGy5 zkCTDlo#lqM6A*`gb+4)_P#th3TnSHPC5&hxqx?P6LzGJGCh)V+p1V0{u}ngE&~j)t z-M@lom;8+ zrVM0FLMGGJ{bE9@P+z7w5ezFnP^fwSn+qQvA*RE@l6Y8DR##ns%MyyrM8(#<;`TrJ z#cAPA>G0NnP?tW706vPf>RTzJ11V*2ou7cOXyq^0tgTGR#0G$cHIp1~sCh@5L#FKR z7o=Sf<5YYW8)fWBkcA0~k&dxh9ed38kwjMfh?Y^-VJmH7i2*CZm9*l}xiTH@>gUio z-X@`NI_be^Oq4ijaU#a*b>uKEUkx(#h^(J@zEEW7)`TmWC)_{`^|e-^aLHdzR+bZU zu_n=Tbq(U9qOzbkC;>D0FbzxuhqA7I`Ao7i5i#;FUpT}8S>oRS?#+BA>P*!`@NkJu z>3YWjP;Glx6n|@xtmyE99%`$JwnVAwutLJ#ZS_iDYgcv5 z2_8ta_Bw4W; zg)a(bV9G}hDVWYQ-6mdl{v=BX=kkRD@KA zr^0f`56#tU77bGtb^EYPdtJuiR1XvqSXGcEwG)>Bq-|v*Ls%i8KxliHl0oOkS-~4` zS70;wVyDl25h%9B7iCMCbNtjAM1Y{IE;~f0{B_q?fsZA@f&b%VmOU^uWr;DFmaaGr z!io+>PQ5Yfcghb_o4?&+81t%DUk1_D852m!O41CmkYo~BOCmHg^4#Xizhk*=EN|n{mTV&{Z^YKush$}F4&*MPK zMp~~)ahntWyIj{FL6|6!`ztlPON7hS%&H>;?sfcC#zMx;Sd4!Lgs*L{tN^@6hc zvlqF#K9=taf6dMklMKxrhLTkR>NzbzPwMwT{hzZFj=D*?u(nyCQH{SPmG<2^`Y z>nsl8tTyPJbveK5um0oNHh+{B&(t$Ok&@oJjT9!xlH@nFt18&AiGNfv0*|htSmeZe_bUtGhms@kc%3YOQL6N_}(W`MWv4IEk6y@D(Yjc=m2cSeHVSunl+WtQL~m z*x`>WLFKGwK7Q$o%Fg!2*Tf&eY~`V$i=(l3#HZ_z(#w&Sca6!;DIuy&P7GBr0ajN1y@|4l})}<7WyhD)ryZ zDn|Z<5IOHw^*+k(Yy;M|nfa8BDOEbECT?izq|WZfqC5m%Cl|HWc4}qo}@*kq3-RqsMeM8-P6ewGiF0BpI&}V_Bhkz! zOFXMZy3JH6gs*Ne=gDfX;X(Lw2r2@Ka0>c=w)AQ+fDWIA<>f@CvwwMffl>I=slztk zwnp|sM*J?-Ce-;JvAr|*zP>uGw6|TAW|DACj|_ua9i8r;=0MtUX`3FEHD6Cuu(&ze zy&tuCk*qi;-;Y;rRI6V5@UN6c*5`KjxFZ!;)G-M4fn*ic07-c>I#){cM4J}c#DX9n z9)9Ws7@8`yM^4Jw$QAjh$;k&PF7OK&>L+e(i4|O@M0*~|8EN*4QT$UGen5U0#Yduh zHjikod%4=G`9i2*Uen2;W!4ZB*`_GPli~&O$XFu%#PDG7O_8YOJHRd?2 zSx{5}YMQ3s+{u_W-?HJHgc_T^<=nMBYv|8xt?G5K=m2NvnYuRu>-Vf*Nnh|FiGHoD za|uEhr0Rr}X(JpcV*(|Mi(8i$-qWk^YRfsfI=w8Zf(E26c%c!|R#0Qb3YVUwS+sU|T*4ycW$WYJ6D`ussZ$Rkd!d5F^xO3r9D!n!*3yqt}-Jy030l zy$k-9;EMFntilQ>8AEzfr^)Fr=&aRM5jfY%uG6Mn`^d$n-Z`a@6kg}nN6R;L1K>_Q z0VXuH85>(Pw|rF$ivo%j@hMV zqc7nUsF+M_s*wXX>JpoP)Px&Le6{sQ#ZbQ0JA8q9^-~)NtD2lFMTjAu7FNnu4t`iM zmnfvL=l~c^REi%`2z98e_i*N`ObIIuH%XQuPjBqnNBHOa%mglmS;!n)W00xEat65S+UQwVwGF2dQ<7-@(8V|MID5xDlJ+SZaVIds>-J z6csru26yE*s?~%(*(}D_CVB0utW<3(_W02LwN{b%&~E;^&(NfO@Zqbb?64RmuYIZL zZ!t=czm*@BoWI^RkuR2USwD@;Q*wz*|(BsLee#{2C6n^0}xEo{LG z31YVO;mXaX`%j=IVq19ZQ?uEuDl+wIiP;W9@j4tLYhf$9CE%I58ZSwcxGlW9DaC>% zXwU=+JWwD|t3xLUe3{a%Tv}p_2{%4YJ`M$Y5&ALIL*o}UIyd@hSgU=kfrwTz0}&uN z_W@h0nWgN2Jr+`;m1k%d`21>!(6?sQ{o$_Xw|wNws}!XzTl7Erbx&Mw2oyO<3xLlz z1)d=47r-hYA0ovLYW6}Ds!n~Dhhlc?6L7Mb0IV!O1?LKKAix@~6#&~OD?g*kAP82u zSqSnR0U5v$MCTqs5%^xRi;TJ%u*w|v8;QQ4x(=hs3WtQ!Fha0yGAQH<#$E^@;AX-R z*p^1Lb`-e8E)*DTfoerH|9+`+AyQ-Z>W=caUrTrN4nVKDf%gzjUoyy4Z36eOA>_WT z;MtI601>=QvG)pC)u{hgW|}3b(Mf1e?Jl#@`jx!e%o`f6MJ7L00m{?T2U>Ts34>5~ zaqnB1xi=ufoi@}Ou0rhe<`+f+9)r86mDEyH1hJS!61mynd_V8W;|?_H_m!K`{d^Ca z+GXw?rRrk?4?i}%=fSu+^UopfexW?D^^vywcG9YKG;eUlJy9`a{Xr;~8=O2HXMELn^ zj5L&#Y{Z*2%cQQ}ING%SKH7(Lj?YT`h*UY=3bL3nCURT!Cc-_b&C1fZdx+x}yBtF7NfPWtx3f4~?KZE-99>*~qAN{4f@5F-Yxv{TT zaPgOez3^FvlNHo##9VprCHi8G5Zi45)lz|GK2l3t@ z^>FI?-T8T5B$mAC_esrm4Julf?0oqNYJu!*ewltBOQDpBUAVlCk@qhTr-w_s*!jJS zl})*Sp0`Dd=C6g6a}SDlQM&DyFUbRBt|$oS1Ibb=OqyOf>7`t;E7AVTGuV*!68YWm7-t%C=%_Focm+1<%9J~44qoyAKLXg&WFJGgVErk ziKB-|Da2l*UUXBL#^l}*iATtswmxzQ8?YSahag$Q8%Vc_`e(cd{-$Dwsf2!DG2cTt zc^>Y%L+@{gfcAIIgI2e5kXr)+wMD3|$DXx@RFKt+2Vjsy^F2aXf8hG~s&S_;fvVuWNu3;y56$!~0g($*R%@3dAxTw86jDUl>5 zDIog?@_6BH^Y)`}FO-ztdY&XM zA{q5x6fdkIOi0Z~oCsx%gmDtXRMeim!tWuXYpH!dMmVEx7&PdOJ z?$EqD|LuiOkJKe-Leo~@OVN>ZzaZ=RwC=2U;Z_)g{z-}n2!ylQj*Xz@@V-hy#hEpX z&b3e2_g6H#88mfyU?1@gH+Lso(X+$D+h%xmJG0X|8`4Vbv$HDG z59tW3vMD zFY{cpzD>Hi?G2IsdKCwp=6)xL>`L5@ZouwcFTR!)3++-j>avACwDSI9sa33or=?fhPjQoKPcWSeUkdCc`~ze>yI zo(4)P9HXUr{@oo*Yg*Dj{-}&uqb+s>y84A^7VG|3BCb#Wlib0u{uS9%aRa^>p-? zt%<<38}%Tnn{6XJB;??@MB^E+T6vC=(M%~9S>>dq`gO;hu)-4KuuLS@kZg2flld2H zy^gG5KvwNUV;#yx<7O_89khKY#Nj9YaKKNWIo@StW z42EA3f6A;Dinw?=Pqb?5GA`o1#tOy*D8~%!$rHt;M;gg*31H}>%gF5z{>6~wPku## z%RVYGJ}RbgkFD?j)J_;~k3a;Pj5B-gGpmCi8iUc5q8b60iS#%1xLumOAwTX$3KFzG zLA+H&YF`M+AL#Y7_(4cQXu7_5fTBJtlm4(eqo2a4|E22wF6|fM{j~sWt1;{3A41$WRpn0s@BH;W>`cI zz9<1wO4wKbqV3iow@MD_Xlfkc?e|$;T_HRX;H8h=Yf|#HD#5-PA1-X=}cc9HP-PRCpBng{mjTw9X!mAME1)g8uyz@s;#n zNe?=rGd4eT0trUog}55;?8}Ti0peBm-|qW4l2|$Y8j=j-op` z?#XY4KORJR?~sjO>A?ebylww(U4B3R8XkTEOP8VM+SjqMs@oSL@{Rqh@(MfR|7TpJ zRn}Z>6Xq<@WNr$S;2XFa8*Z(y=VmN)N4mz8;#VP^s|*;>KCBFiQfalF_WiN)k;{C|h0tA{KPsLaK`XB*$-d&e2| zhOaLghq@IhkBJVeC#w64CEj74u)1;{CJx6sh^iKBH|iF?CZQ}{UyETQ=6yHe!;c?UGHx3<(VT1s!@&`jzYh|=d(PU>-T#B z0-WB*XEj0yQ}`+hHjrMM78}Ge5M*3l9G__Htuy1Z8`Al-vbL7+nPLvy`VJosK04!a zEpgVur&iE0>xzk|K;}KQwBx$}!pS-{M~2QUl||H3{QSi`EiO?=U;Bx*L*n1F1Y2ajkv`wIbWM?`T{VzB{Yv z8hZl9P}hv1DB+a$j1`S62@G(oo8JzZ+;6>%>H(>eZjh(MQ^Z+}i@_%R$rST{nT^Og zchfeMe(p;PTXyhpsYPnn_CdDBrXfFvIsl{rQye^9*`e zamj7??la`C*>-0M?)gy+lDj}eye+~f54A!28D3&Z%6&wmHzcBBE0%J_ssMG2!U+kd1Ss>tz%^6!NJj9n8CGTWV6}_t!OV^q{Eq-=N~Dj2 z+2_7%iKpeO?%KRL6*)I`^#J#B3iC>4+D&mW1W2zlqxw`r;tojtzmU&}p0iscKQTgd z60+auZ=k8oi;2#>?NADAR3(YUResyqA72+VbLE_j$eC!5VYnU;WsZjz%&856IB^$u z9=J~e!$rHR1^QFj=}AA#U&VTDS~V!rVtM&jWON?q`hSC#5=eq#L-~FlL!79$B{)aH;>y@>t|I(EYY(Gr>)FgILlBfL2V4_LsH&K>I zm&AYU)0r4YW4ZvVv-p>|zm*W}tXQUz)J5c+(e0GQ)opGE%rEPqy)t>FE4h(|poTN7 z%tHJf!Jmlw3XucE=B44{C-DV{WXA&eS+H7;tcOBBY`rm+>Uvh__=Qz5s)K>gf|W>B zFlxB0e!-_vdGOh*^02R7exL?Y6{n;DVBke2`=2?pqbgi&Ai{s^koDJ7nU93=g%`R4?0*VE19tpEkt4Nw|g!}+9`>EFZ z;G)I&cEPO8DROw&cV*Mxp-0>~`5Gmp{weT$Mg+;fY+EaCJ``Y{AahKsH+3QgLiYm&xkT#c zxfKO;nVxkQX7mQ6oo#+)Whb*&YBhA>QJdT{{EWef!ORAnX*dj6e#J3XRAU=eLu!er zsJDx(E?7S=-!R>y-(A_Vn*vG~EiZFW7? z${6L2R`gRR;f{=7To%tiku|TJWQEU8)cut;?A^z_h;R-P98n5rC^l~EI^f~i6m`yb z-UOFpkmUy~MIsGZIMN{>Ap;3~SLb1mb^7;UDt#6}QUUug=;0xqu<&y=(-17q zSZgeB2HstBC2+3h5)uhH${rQxN#6Jhd%O@SFO|nBW+kx1NXoO8W6A&Ua#K~l&iqyC zh7sOEW}nyOtIvWGKt24Kn@nn&mMzF{iUNIbMTu)|lQQ0>;&QJEhB9nty8MD|414SN zvxrBm~+zhADI5%&Arz) z^?!}i{*6>YEzPTDXY(c7b1 z@JqT?Xrh+vsFu+#i^^;(4Q3f16(h<&IEJ^Znu*_e0NL9I_eVCzpD6v(Z{h^NCaBiS)@&!8Gm$fS9!!E4@5nf zFM4??nyvJy_^ZDeu+*}!9o6jKnrK?YUpgYV<*QI=)9Ryyo4R%F*7|VE_=5A)n_mBDSSg#SX5oUKk z2))kNMiIyPW2qCO1Y=XhnL`aaP*&Fwc&7>9bqaX;Aa ziA&3W*f!~Foq9;Oo;(UBFdOB!d_t-S6}U2`vn9DSqvibWe!|?La5c%6O|SiWC3fPq zf0}ON3ov{4UK>|uusz75RM6@Jr#@VIu0RA-iZOqqa`VvDo0%KvU(@}(^9e9F3w8t= zHw$-i*t#$B{S^8VVEzp)0DUST#%3q@%;2&jgl;q@|5~oj&}RI%(#VZ4oU9HZfCqt6})8-t~MJ7 znona#XK|{soN{kYM#}@Q_;jinnleGmN%)x_v<#V2@3YZTAH^2RS>acK$ge%7%VTuy z-r+HU&+SUvu`=JaC{fKLQJNEs-`V6Ye7kVDAJBU`-Y)m|@=#~$?6k|CKe5L2g2RG$ zTqdcnnmJb?_u<23BdsNj#Gj16C$xT4tVI(q%+N#uOpn!)d>P%Lsb~Vt@??;NN5TMV zQWGpB@Po$=AfYwn;u2ESLqtHn5Xz5DI`lSjnHM`~Ug~})#54rqp~-z}L@fr>h+$Mq z9AO3KGRmfM0jBdkGO0=c1)^|brsKL{3Os7&k4v{M;TVhhE;y?az>RhiVGYw!!f`DT9KgJ`sR#D<)1Jw}49P`a_FYT{{` zyLxbQ(p>(R`!j4AA@GB?Qf6)4J{PBN7&-cxtB;OD#FY5}ztop}q#O)oT^sm=#=PdHV}++~8J>a3)ZCh?54O4b)KRdR*TZ@uue>vJh^_KGm`o%O$A|-bmLKMS34_ z^;o1eWFukNRe>1Mx@f0VsRd@{YyvOjC454Rp2Z+77&4IT7W1Y}v*F0d88!PY5qBRB0EA$r!Py z;+dr>prjksAGaX=f~v9;tob?vh8I1TrPX2e%Raqf-@Q{Y8h7GO`jgjC-dO9OWN zRD4EF_7@#R`gQK>5`=`sC?fvXZw)ka<^xP=o~j(W>{(2>!{8QH3zq24_BnNTX2Fld z^$ff^px{;s$s^F+4RU-5J6J1OJkS4cbCGF3so5`Ix zCWvO{H{^+SD<66p{xqnB#gX}t+#bM-{UKA0$hkFHVi+jH)d@LBD#R)n@>9%ZGk1pK zZE)2de})r1*0!ryJm5H7SY41mJ$!QNp%b+Ixu|GIJ8GMhFubML<^?NUB9WF6t3Ym> zUVYV63-p;~sXt9S`0gf42u-w*6s?fy-len0Fb~?4^&GIHh%!@jVc9&cDYs)d?`Ykl zBOBE#&nw}Ax>YTPX?fJynrzr2KBIf)tL5s_yF-eck^r*AM}Pa%f^a=aoTAv322f~z zZOMKkrusNSpzXaiFUWhffmT3ePdetyA53NnsxxnQM-Z$x8QRaTo+&Kn4<^S|Dj57; z1!dJA>|chmsYYy5EK@Y<7m0o@BRe}&p2%$?WLGnXs1b7trtY|u2oh`T+Ams7%vj{# z2&LEz_iQJUp|6Kzx!Es%C#d1F~rK8uTicH7n- zJ>5EGiV@1MxS?e;3_*C0E4c<}u)z#b4&&?VP04(+2@77*sIN=?Y)iev;~K3YEZfsk zb>PH2<3HkfyIxaa)l}@(PFJV6g`nV%PxEVZiIaVMKbK7=&aC*awgHmNYU{biVs>%j zIv>}^*eQXO%67VL<`mKl)08Q&o+y__yos!~xY^))BWNcTxRlLQ+y5o>$JeJy<|*UH+%UE*H@*dMh9`fg6JNYkeKp zu-pgUc|4qef`|MQEg{$=IyXe`oW24ReyR8S=vRn@KlnqbmkhZnygRg}$?%g1Fb4K$ z(F__>yPs1ei_In|-@<7*unPF*NpJ#2cj8`OC& zeVwT+a9L(QQxlG!fA_|5q*<{j?@x@_W};0^qtL{X>^;O=u3i0>0rOP{!kyN{_LI0q z+y&qUe3}+ z>9p_(^i+;9{QPitUKV;aW%M|#b(Q+6VJ)Ys`x z%u46SNJPNLqi|0`(ZAbBsLof*egANgrtReRgrVrWBxE!L6Hr#W!FtUGK_sT0>=sC@ zIwo5UP|6SabzA|GR=cjxvv6lq;Qk4I5zQUA@R_7jSthRd3bcYDvjdKlu~0ieB|#q`6WmU;UxK)(QrdD6suM(m!1!7 zlO(qaaitvuNYI)wca5j$+U#RtGIo3jww##3=ddlocHa*LyE;YYR1Xms3`r~i-?YB| z(>!{InLBO6f}zv}W}&0mEaGF$B?l{sc-nF@C@2AFft&2<%7UNTQ(g80aFBic5#sqK zJ8NX_SunBdrDg}35FFVXayG0K&XwGK^E=n+NJ`KdRrXMj6MsqHgneQ-?&-7ZW4;o> z$_sXv9iy%kzj1Fe7Xslieh8{@{1O=*IuhL%OH}Ed{s$*W7nFB^M-P2HjwaGV#q4Wh zvb3Oq@CO0Iva}isx+@Q<5PWv2kZMh-kP5%}&QyiJh?GC-kBAy2_ap%Z?L(Iii)-EB zP}=wEq3Zy>VQx_!caAq9i*A#6wn+Dgltf@|Sml{X`p{OI1Fr?S5ApbZ)Mt#8ly_JX z9FTFrQk+mTP!_%70WpF6jgIwNq}CYrZc`GXn4cZ0_lqfa4gD+CC`xC^mN*>Q9y%4S z4_T`U?N`bU_M+SktMIR4Z6+w`A16=T^XkSB@gHX3a}?Xc3J2`w;fTg>Pg-nH(7^Ut0E?%X1U*!+b9uo(z^1FSDp@J5*&%QTw%J=ojqiXx1L5t zoYFyNk%)$6+aG8NqjonoLztE9yMsf=@jLsPltJ_@8rBTBpbTk=(XS31Oboa)wK^D74R@)ml(J`ig?U`p{H%UO4~S< z(j2lC{k@G^mDa|emkiy-G@=ENS-oo z!5A(j?dG&DZ?)ZfKO=K-`y~DPC0!810v7uGwJWk@PhahLcFCj|=M@qNjdz-Ptm#PL zhu^0_^EngKi5U=VX~QFWA)h0f;ZnudHp2Y{Ob zNManYVbDW@km3LSGKVx<96W}1Y$_jtUrseaDHkb+@UX3DjbmYICqFsbCLvy|ziVDB z{hA_2Bv;OE@i7dL*YA!jNj`dYiUd_V-bve7dX7!I;Ff!G4Kq44f1?Dv_;ke@>0Im{78cM zU6HWKu;vh|zl=UdDWAA^MWjh(o1j-|2VK=Xo5vu@)W$0ZSmB|l`dM&qjqglZH(%|p zy!y%u7lk6A%@5SKBllzU%BC^kykQK~G^Fr)!maL*swQU%u=%}fK|f8#=_CvNV0DMd%2 zo~O=JX1S`-Z4IG{2~vEnM1s;|zDZ`*!emz7JZ5gv!4xLQZE;pS#2fjKF4gE~MYd|G z^sOeX#69T_f=;M;O3>I%;y5#ryP~rlBKiT18?G6pMaXtA!k6)w-qq(Xkzr)0GG3vQ z{09uSU=L);Gfh{Ej_H$@f3Wy{f@1m)r8ekLQ3LI{l0Zd^<(m%?KKBR2*M42uDf9K> z+R=4BLw=uhsuQOl+x$A%^me3=id6u9Y9f6ii8DpMmya#$)gMN6o^D-FF23nhba(zf zs_9Gh(r7_hKtuf1ZGjQE)iwHTC7(UY^s`FG%o3x}r7&0GPpN;b79=gDUM_D52BFap zkXc&Hb;=x+?QO&AIL4iN->OB_;~+0&MWX08i3Jt04DK75eZZHkdhS*g2R;2b1oTtm zsB`^2j0_aqFv?k|6c#)Cc=$=pNOcV8Z@Y=HPRW}NnOk)*6$%nxr9ZH6wLp~FPC;8pu|3AzkFs zc%>+1(*)X)`3=xNr=qU&4>{hyWw*1Q# zb7-z!a_BZ&Z(FZ|`$PHaw_Kx- zqo=MD88g!&v!>CSt6KuLRWA1X7?^6A=>2!YG?du@<2uD{`pphR!Dr4gwm4KS4_b%R zM2`u|bOul62;ZT)p$KI~ejbiPI1l{r??dX_qjGEA>>9h2jRuMA(!ElN<{8w4j1KHv z$5`;*jZfjRjGR*`HlD_56qqYxB(8ic=rzR=8TV#U98+XhU0h5SIoO$P@YD48 z7Z>U(C1S#aq?&Q6RyC#-2)R=kl%_e6xKdp*@lzG?9D5%i1D2!rjZWC|EK0vwQ-?oT z^`iSJACFv1OOsl4p+A4Lke#C8##w+fjyp#20qvj(r-};%lXIORzM4Kku{sKS)nLfI zK80j6ARnGbDD0;1e1YeOa7)EIL3WW`+jYBVsCmKW-$ArpCWDx(#1a_Fyw2v~)qOgE zHgJ@jd5@A|)L=48Xw$V^w?+Z`baGD%z zS~`$bkTGlAa$i0AIqo;~nXK?)+~{y-h#pUPgY7@f7bq6Xj!_9(8U2m|JbWJoGDu{s zW*=8;7TC1fw|E)GQ^U+-v3JY`Gt|$VRlTW8P3U%wUmHY4fHN zhI9Oc;%8jzu2i2gr>GG>w1?$tQIAvb(dDB(Dj+hCg46fo_S5;azv5^A2ZiKbestj} ziEfZ%Mi>p@IHG>u(4e8>^Qj5ZVG%pXh4&77FLnyu0qVe*%@Vw0wqvccMnxDTJ6N?F}iV|xU1GxMhllO5|M6NIbGfOlE!G$Q*8&-^oqGA-SL_cW}KQGPY&B;APgyg_l=v zek98vw}|7}KBF8w#`bGt=Vg%94@utf?Q07Hl8{9KbJ}`;OMQ>BvGb5-ayP0t#1IuO z;w~GxP}oxYWjA+H{LAff$YFo702Sy58aTXadj_7q3I6gXG)ndgnn}UG$T5mCMY5Qf z`C@v0Gs=TfJ`_UZIn-N;(Z>7+wbO(qgbzmMPy=N)woTe97PF@iea)(GN!im9Mm4Qq zrw~t_Z?b z`{=7G0CY7uSc8en#PSIFtzpxys|jDo;3P?C)_i+;paE@m59B zpdK9Bk-b&%0Ep(efs_)^@aTh5WyD&;4!T9W!TKdOilKe&|YrPLin8B3ej~ca1LrMc4Mq& zvm_u~&z#K>h?gy+ly@`6LP{rFLt!mLr?-6-LNiasjo+at z2o`QIrT`ixIf#3uV47xXAY<<(+ZUYfnQn9$XK+!enV6@2CDnr@=XUsc-roHlsff7r z$SKC0d}vcp0l|5s1`uWJxx(}325?ol!SkmET)&{MiN#aPCi9;PsT-$7uQq1ZYN2Q- zR^aq)m(cKz<16m!E>DQc)N755OT}|*X~cV@@`AIHeirS>RW1hmFC18&2#m=6vQo=S z`&p(M%)j*bk4BS*>J1SNR0#hkYSjJW(<4P#5*;pQx1UmgG5M8QdRRUA|Bk-T04GL& zr^&*Rc~cIew-pmi6l31nEURo~OCBcDrp)>Fw!e%tyz46`&)`3B!i6plMP(I|XKgzp z2uny73<{9{@9=MU4n#4$q|-k8jc1V#B~nvD z7e-81#p3ComCT_u(>_1b|k`wqnh~7uMTnKRZ!N ziaGK;aXv7a;H{y0fB_3jR$Y1@6G?tuoXY^!VQz(sC5Vv0>w4cCSld*>_ygKoMNoJ4 z9}#~5xP@!kY68j|eOi!iYJVu<$7ynK_1IRM`^^70f}-XB2y*U$VsNHOFAk~*Z1^@O z_7r$_5Ca^T;@@)M4nN?H=1zgn^1Glk}NOjTi>TjO*}dG01brxX{Wgz;|do9 z;q5Ys$sg3e>x`VLr_A+cq0A!SCUKOg?m*~epY}xoyQH2^+N`c{N~MP`b(4SN*rUR` zh{rH8^tHNLD&BH+71A1HKuu{7^#@E&g34oE?q+K#0};0HxyW8|FvEVGrdaQDaD z9v{a`bxBJfc9*Q)4^6jq#eWjXNVBo`O@HKm9Bz*lgu+ob@DI2DbmbeNG7}nP7;mc? z%|2Monuy@Wd3IA`qEh%v3h<9%6dTiIgXIRsiELRvgy*KXlY^3!vlK7wqsKh=N6jsq<%N04TW(iAyEEk1-^mm zJ8~wYSU-lA?U5)1Yuj3TM7Il|O2pZzUBnzbC-JHD^0lVF=O!{cR?zKn#DB6iW2XE%*YIQp@z_BkF8 zfE1^G$5aBo)K^}8!=E#eR*e=-x}0Ib@41%w>BbM|u7i<^{Uxm%z0@~HALKkF5r(2j zO=c&If?+b`qr`#`Qq^HZwXbW4g^d1f4tItf%s*)zgD z^HeuOGYU8N3dRz?^K&$K-^?1m;GBeyHTkeig!UalM8keQ^Wewv{50yem&=?;duw^hhVh4quhy1+%Bi%_l0Yp%z$FD>7Zz8ABjup$9+`lwGSmm;WP!LA&z(`q{+6O1 zwCi+Ki>KXiN{@1Zs>(DxnIY}ev^OSVk!JV^4w%^q@cm@vIQIcGljUYcpXaMT?}6pE z;wWt9wZ_$ryckB_z&I_$MCCbC1a;qHTM-0HvS1r4mxo{>R0eDOPap0cC7Gzub^Mo` zNBf}MY@;v%$E|@Ale7p&_ zp}v~x&8-qoxE)mSA!yE-n^w~tUP>8HdI*XOV`QyFp}kYAYP=Pu^-WqvySU4C##zeL z=3`|PD^;{|1|7vB!FAOPoGI>lnWg>CO(uV@l!qPnliwD)!G_(bvebEWYJ5<{SGy1}N@(~(lv3U_Hl zROb)UKkt+ObqyCP&taXbJgE7C5=8Qy@^EY2jwG}>IvJ}QAY9K<0TJqyYYQ0 z3)dT0Wz-Ys&z2ona(o)5&0U^YD2SFZsFxa#?eixF z~xPddX%u?9*1P9*>dCGwMc>&am3{a|=J?sp=P5{QJ;e92fdKl+amdxaV??jvg#0AnOfR!eK~n^5LW1Mw_$86HBNg|@l^_25(= zz0;3Sd_uzu$z!;nwkWJS%%Rnp+Ce%v=VSo^|5kVV80A)%(qPlgjY$@Jb{;+VrY$wl z5S)|b{Xr9*ex?RmaLJY5DsinVUavhH>o!Loi%srMIlo_RmP65b{Tz15XP!ZOh{3p#9IUX_poZKBFcUA4; zK^N3I#dSB}mEJJtA=fEtA_nDTR0aR;XK9OWp+M;=TvRhguU8gEA)2}49%R}(h(82j^^TA@VgDt)BV0_EIQ#r@&`f(6xXnx+iV2s-DBL}JN-^DDRH zQ^g!FMRB%z;sm9LuMy0PD65k{|MQ`K+a^W?# z7YGv5F~4zQqN*dzbH9b}qG3jH?Pln>ZL&t;6Slg6@)q=On(pKC2gijyGoa09{{pe*`d0ao ziYiDTz`LCRKazE{cgqRpbIBV!O6xi_kE+k~zb9a*XWuya-Q&iK1_$208cyLtlMu*; zeeDA=1HZj0PhAeY#;J+&zOeOn*R z|FqBJ{HT80{CmTt+t~#z+(tzox({^P{n9yI<7Ofnmp}N(j~%`fwLmc4cH3|_U|aLV za7^HHCpe{H{_g2$&v$f$ae=&G)F%(5N50>?`Cw^^ zr{0<^Y@nPtl@#Co8e5R>PGDN+5$w6l;3OLf0OLVbG)Lr_1pJ0oi;4Vo6`gI)X3j)S z|HB8lqoU$2CK`tOEn%?UbN~=6M3q9i9!LCFxuOAtSC!&+^E#;DU5`KC z)OsURau2mdbNLpwy?wd$t^SXP`H9XU`v=S(%{xp9@Gjq~r{B~l)g=-fkFR-+BMqo@@ZntnlPO=a3w?~+o)wBHLV^Ua zej9%7B!-DIO&h{H$t!x=zQjSPs^P$4J4@Y>p=|s;Aa+4-j1ezpv!;w2`g;>!+(uXh z+SwU`r~ayAvwCfTR2 z3NfThrLzeqosOBuOzqI!#drKE?dQVw!_1w2h|#hU(}UuQz2MEv-Lh6kqcG7=(3>3f zk6~F#xYzD(6(X(-=+5)l#at;D7hhHoo9$%SF70UxxV>1I2$I%#AaMn`GmtZy$wim1 z7e+-zrdE^6WdyYgCrJSSa6ODvAPm;17zcAkTT*MRNH-Q#`?|TSJFXz-X&+Ra^#D2? z);BiTo|Q?2o|GF}F|VhL=Y!PUe;p9mLfhb6avC-Iqy1G^C?NX@$xF`zzU1oj?wb1V zSe0AoQAl^K#|NV5HQhQ1T9M8?S59*^8$6xALvkn4cy%wn-L^xE*Xws1V!v0wH%?D} zQT<49V=VRE#og88yW*;i5#yySkZCO-BASvIcuB(8@ocsH(6s68HlzRx^Iqph-~$q> zJv#t$L3{OW$qm+wk5|}1e(n5tm71TILzN<6Jp!jq zk6Hyi@#QJ#2BnY!S!7EO5GCYpcLdqx^tOYr=;^iCm&WI%?xOQZ%!_H@Z^vQS=MwF8 zWD~%QlV*2vXiy@Yx;%YgWY?oaMTIsS7-5P_?~S18MgBZI(|pfvWE;I0Qz0R^J3`^N z%f8wt6k#bJnA^bvEc|ks7H&Yy;3E-?!>3rf9A6i7_Y4g20ufs;#1GpCSgP6BFDq@P zft>~C1F*?-&2YtPjX{fS}LYC;?hd=mE@z|xIk23oR7-T9(GMSil! zH=aw=)@_+Cg^HrtjGhPhL-f$=L-!iLt5*dPrd-CX7+G07QB_hhh}rU?8t(Zo?2bbi zQ@=6Oe(#2#Y$9HU#7ASWW}!&%$qCfmUHP|;?OWq+vizUm8IAmw55f4VWMrI<(-+^F zfaC98`8U1KJm9lmG>$1a@;4&#Qp6E&$)n}jxG|~c$}*U}sU~9fVxND*t-eu33#Ky! z-oxTsa%@`+_Y%6A;T&H7Anw_vRALwDo?`(@C-Qv-$pkrIJi!O@VRb<`qw^&02_K{2 zy>1$jMUmmB?;^0pRo{Jfw&pFIzZH$9^Rjb9sqyCsl9Tcp(Tz2MQ;iLYSQ1f!L71YR z&2S)92eJ4Jl)uq;p?;LVR>pR2CE+et4B^n^o26jX>$Q%(>715R2g)o5sQYc-+uULy zP6AKE&iz^5@%{DV0h_h+l0@~2^qDg=`~JkIU}P+nLT+6J8)^reS_5KDC*CRPp?Jen zN@5{az^wjYIeUbUo}?T_r4&^hW=h(^NdRI_=_YVagmh-S-o-ylYzwI! z@f=QZRrFne4Sl3KVef2$7IE_P)$t6JUBaKwAXJF@Q-1${8Kj=tAV{S+D%NF^qKTohA+J~ zu2&{>>nIlcfqvWOO-yXE>LwAz5sny@kN_5V_bgPLu!0f;VNZ56J$|<# zMI`y$TVnj;%hk-JddE7~Q7O1d(X~4BdUK--lrFM4E@#up0tDs5jMZb-4b0hE5~9GM zZ}YVTeYAm9P}ZtUiOHhsSlR9KNRDm*Tea5-@qklJ$JGuDJmJ$CPlY)>j0!1Dxm`uE z*p2zriTnQEUxcI&A!=z<)DTklll-)r?Rx~N=Qb_ZZmlt`r{j7_2%@DI8tz<}(zqB$Z z*yDtc3A6OEB-O(25o+HZv11W6)_=igA0IJ7YdUAaKlwdSd(M)K*0j8iZV%4>@f>PU zoYh|ou3F)DfA{&sdgFR~ZE{Q~Fa%DuC8)=}nQ(cFl=8@su|!bdKKc(`-?2RQDvcX99f==>7t z2*2IhR?yJi{;*Y$p_w6v^}pwb$o}`d9LxWn9}@Z>^8&hyp)A*O9vqdGBcz(LId~e=ZswUmT;#HVarwK5a9;QcTT%FwB`5bY)Gehp1Myu5d2# zc+Iq?&ws>b+k2ES{N=xEkmKsX%BtG5t6BM_Y8+p%%JzYH0@?F+Uk^I*@ZX<4)z6B$ zi?h#&MXBa$DIqYh=UVG}Cd`2M<0Z z;uiObngLE^L^H^YjeE%?5lO@>@ue!j&}v4IywZ1M@vkY|yTUj;ge#1OB02D`efoQD zh1V~B0o7>uy0@&&`0Bt2qD^>t9Bn+M;D7*>C`qxUC?jzQV`2CNcs&B}Yl}O~Mkunn z2sQ`68SVpQS{8Z5Is%m@YfvXCMQzpQn`DNdB2rTYD`NDVlvD6H7Q#Z%!)EFBq%(WS zlSKi;+Zy5=qQFk%a+xyQX0b0^3WP+7-Rc~@H&iCSq{4C6DC@}0${~ulG<=)`O zL=`Gil*veT1xxKwalUyyNpK>r88rv6e+HAW1jAAUu%KS3uf9K+MO~u?!cNT}Q@L6s zQrPn%{7?_0u$WYT#Njt=dgn5RVR5RO2(_e)od6}K{*QG|J(mQogYE(?ki%~S8<%Wu zO-JmR81K@$5xPUze4lDz42Zm^WQs#ZelqMJsEPnDt1FJ(m#=Q$W3X;^VOl<|o@b1l ze@embV=Atj3vcbi9yjGg%R)Jnyc$CH8n=Y-)PR>*GC}2S6dzW)`E0*v02APgLdW^Y zxY-yR>nR#rm-s)p!Pk2_6}t0DIiuCe2m6#cA76g`5pt!jx*+zgv&Ur!+k$x zBWpMZomJ*eDNC|>uSztnm5WtaA+#o` z=`Aq$_omjot9XK-Wl>AygU0>@iOzNZxDD(TRelXf;Wa|>>F+P3bt_!S#<-SgG@x8V>OYNlKl9w% z8j`uW){>6Kq=0eCu4WoVdnn5z{m%f=W2K(=upA@J4E(&)a!1VW-%e0ah0Iliddt_= zR~%2b8F+y6JBvHTz29!h-iMWBnmPHNA5DDMu!zS?jUSs{qG9y>`!nzKixuNqw|Y*i zHP$emnLPzbF(bzrdfijArAk~8%(I`E1Xd7!x*dKX)pDzYEljD7&H;5kmhi+D!s>9$ zar{?9taA9D*Lf(K$Y0vJti9FOa7)RFMTY}uC`)d-Zh2Wf=*?+voy#e2bR^IPy0cv!sz7Sy9Sic z%<=Aswdqs8TqkNLNjsRahfFeUoJZ7k^QHbFy56yy>oY}Ns8-S0n0?Z6sylp9Q8Ny{6U$=p32^z9XwjH8O{5#sKVh;-T0&(W&SC5wKUuHM*FC@2C+Wnc>93SBBEEU3 zV3FNklkONIx%!erLJWAsoK3#s&!{bHI;m-JrO3oy8!RX3ZT(WdwcYunuPCCZEW&GVpw&wmVN1hO--~ z#E+nS3RFPRw2_hWNJs7dZLBmXC6+bRsLvg(gL3-vx;KIEOQG~JzolvlpJ`gkzyaIe zHk^V2HNtlo=GEpvmr@pkjfYO!Yr^Kld>nxw0H3+&-i+O;4*EMrG3+eaL7;OY EAFo@%Hvj+t literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp_merge.kpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cmp_merge.kpt new file mode 100644 index 0000000000000000000000000000000000000000..e91f4e8dbe500f9b8747dc07f6b1e54eab24d4e8 GIT binary patch literal 209 zcmV;?051Qm4*>uG0001ZoTZV=3c^4PMfd%RFdtCcZrs=|L^tBX2e>V@O?9aAFp~;` zAMfaZA}R<%7UAaJlR!qD<+9m=t`z2Cg*)jOX@bhcwX&r`+M$4(>4fB@(YZ+DRKT&X zhMzsKawiCe#ATy)opiRy$3(IipcZs L-zlOi)-{o(Q|o62 literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd new file mode 100644 index 0000000000000000000000000000000000000000..d9c61ce22b0dae0a5878335bf5cb44144a7beaf4 GIT binary patch literal 746429 zcmY&4pG$0_L zI#}R;EfA3Hzryy9YM8tHvUYSJVqs!nW?&`~akI8FBjRLXB4TD{{8w3+{;{euL~_=y z=0xIV)~^3-Y4imCH-w%E?Ek1L$p6Uynm*J2>+=M@>Vu!Rx7NVmH~<0#Q;sE4o9fOE z1!W_&cj-@o~w!BX60R3SbnUN-{&Mvm&SXf;K!)4<6w2iCQ!I@X~*o zFIiZg*t_PzMy9mOL5>jWyl&s(m)vIPvGg(vgd(spPc>VoQ*C6mXBfgj+ihW;6KuF;Oy|3R7J1C*ZFz{ z;s|sLfba*c}p2s$PYZ8@#*katz+c%aa>XQW z6!YZN17$Abc5LnzvqqmUphfHT+_(P5v%mJmTYx~T7vGQX^SU{}W(VMm5cB-<(x?l# ze>T#-Y;1JC1)ROCJ~H-wEFQdL8hYQXoEmgLPkm$N>+11&+>-mZdldNH_`UX91VMIb z(Z{CHUma6lKcbf2dcB)hcmqabFfk=Y^E3^5JO9Fk`~Gm#y`L6rF*-s^=dms7C7uMc zy$>09o$roLzZ!P^Js8#JF3g}>ZtpVuV26s+AAag`VfSWen4I$i>_QqmQwI0-H1xmq zYu@yIc7ZeGj@xbfo|VOT2!0y~-jd%1SKRVH8VG7T%1+uvLeqE&u^KGc{Lqq|+ulcW zG47T5Dr}GR=W<76UUl|W*y8{4<@9B6gr!jd>R)1)9=pAQ0#cPgl zgR}3v2L93yJdO)`^+(Sq9I2y;fQn5^sE6`r8K7t?LtJ;0g9)U?&wB644Azp+y(;lk zlF)gWwPaL5eK+fh{Mb9Z)&O6zDB^QXUSGSng)<1F8?!Hx7e_PI`dC4vR(`_&nIM%w zH(qy_=-h1Ggx>Y)JKExRr9!mNg+PA+1vG0 zK@OMKOpV&#l|1^ZwvIOn^I^3Ft9|%ejU~eajE`-}3jm98AO5qSvTYx#`x3GKjeK zZ_;;st#-K8sBtH681@)gcWAd&e=(P~JZVJO`!?y>9D+LdxYESxErQFnZo1So_e0>{ zQ$EPQe2Xt^a(3VTY~M?`q3SD{jrI+t_2OlTM$}GghC4>_&d*xR5$nU#H-ZExb?rKBURQIW2Qyp`rffvxL*9yi+c~{3P`w&3pe1T^TvBUg$#D> zzxw=sy%Z;e?{gS9Tlx+mDk!)e7=Ipn-D|+$$MViBK%~+WRES*LgI2AbQxt5lsXSk* z?%~?>SaIkHDe*J(*!vM9%mmc&uGfs{?y#HOOnK-@-W<2BJFrmY_x=5P+~{@bNw{!N z3rU-QIyZG)DaWOK^0db4*0Jjb<#l8mH?PnLiL0o__L@-PH6zh;7{zZS@GQ!}t#8$t zb*)Q%SuYO5z24t&45OIO?O>7jxU0KMH7ULSeL|`}_)9rRHdJpe%?Zm$*2U(G#Rl;$@(4T437kFoVyqrF+}&oXNv4yK zXW`LZ$w)2W0eP}9&Z%ejR?Khn*)}(+At|{Q zRV<30KfTVQKWtcIman*g0W~B~&pp+v?vliC=Fz(86as`BuZ1sv5C4p5eAnf@jPBHv zzq@VQm3xl+Oot@?4y|{dHvd;zOEt9y{&3RBWcki-p8Hj~&N|+t zidgD0f>>`So4(ZFY;t&6JO!GlvCL8$3>>|(?_sAH?dvo!M=SaH%S4HzV6Y%r^&6GK zzujQg9Jz#R%Y8*s_n89saop38Pq6d`?!P2Jm13%*eX)3*;3FOZ-U>7+TB6SW{=D_S z2f<|O9Wu3@ZpPlbMh@SLDVEHbb z%BbpA+RBj!`dnl;Z@bV*qUDbvRx| zrSr9fCM^2Qd;xxn@k@fHaGEg{7J057-!2+)O;5-b<9*?RdkEl7g19A!zth`oej8S~ zB{W)j$iLoC#QX0K;-Cqru=;W=g=#u&5ph1%L5ESs4v$dAb_FL$l0@5S2;<|afm6e_ z=qqlSDm)A1*4d$^872%kE-CohH?{TER<{c&L=VG7j$lu;L>u2ap74x!XCP9*2ZMs@ zacH*EX8<+grVhQq0IV}jO2IhNu8STp>fT|ePd*9Tbon}1xq!%I zw-0MQ7HbgczjyuQ&vX*W(vJ_8R@E4pwGl_PbQzRh$}GW!{oqER4p!aTr`3JbrxkXc z!Us#Ugp3hAv~kL`z*yWh@psy)qh$YfbX8>dj(#1CWhw;l?nNVn{5@YIDGx&=LKKCc2EZTMu{Q#{_4NSyvkb}q=OzGo%n0I?%)6dD*Oe=PX;X8B0Oo;-3`bP z{SrUjl^a$vtZqRLUz@SF4JUI~n5Q)~>G?&7FscH}e?J)5g*#b`k>q}8@#9!$e&CKa ziZl=Ec*Og47~&1n*ni)8R@`EB>-mCs{OEJ_GZ$|VDZ=%4ur%leQ*o@LWev4eEx_^o~BN$e#IH#EDwz@>!xl>L-q*Nec+4Qwy&KwsYzFl>= zgu((7A~}6xQ8Q{*!g-X-8Axt@GoI=v1w@|wA?B~#s5;CVD12f?nIOXZhcNay^XwKZ zBbW-Q*~m-wB%DcaC^@BMFcQ?G2o%ax#0r)qWfic%pEm=%8W%chkhPpnj${%A<6;tL zey6g8@vO{|1qDl!V^x0M@IO(I$lQlOIe14n5m#r4-lUWSu7V%Ow{O0f<--nvuoEWI z-5uTV+ac9cKsHK6l7oeKG)-ir+qYo;K}gDTMq(7DZYt39TgVo0fk;`27qQ6cRll`) ziuGBExeJj=%7vMrLC9&Dc^27KGBt+Cds$^VOO{BHlPPhG2ZZq?p^#q}17)~Fd&-&E zMM`C;jj*6$CXy#eqnX%cIuQ4{raf47Gpns0CuGwM+(fCH@m2=nKcBzzrmYWB4D!cD+* z-@74V#jfO^v)E!C*&Zz}-O)rOQ-KpF76wm?^+Ule%bgXY(R^T>kohT0aNaJHo{@G_ zq3;wZq^B5TKy$>sl~9ir2-d9s^{dD5Uit zC?`%Lm}R{Z2Zqv6ia9!m3Rq&)RJ9_r5?+)h5UQy+JwGvNS8?A46s?l|M*gFB7S8e{UD9?-biq!WbR_%$!THR6r#;{ z&*f$9qR`4{J=*ZLstEhizsw-ZTOe@VLOvU2<6?5km0fA*Y=Pa|>q+>YwO~~>;vd_9 zM;pL{ecR5^*Jg#WH#D9=Qc88Z2u@S7sg^(3&ylmO6&jAVogm}DmPvu$#=gvO_uq4k za87CZ(a5It)>YZjNz*Kuv82;iIqgL}^5!v{4#v*N(j?IspCJ$%>!5v$W{eT?J^6zxtbrbeIz1U>B` z#|+J6L9c5O3i+R*geTI4{DJ{AB5_|;0S>WV(hQ3z8>W-*8PGY7cq=Y>H6;_)MCUDp zP=ge=Y-crIZllUmAStBlU*;Et_}UbSRFS_JNmIV{Ew*>gPVniDZ*($*hHLx1nywgS zYlk?#TjO6NoP2IG?2-F8(L3{R*Xg7_NyW=oOm8hlMKFN+)N$$v99pjg9epMc<^v1$ zMqCA~x}%VvE-P}vr#W%j_d*{AoymlQJXB>1b0%Ef=HKa&O3bf%*mgT|aVgxi&( z^;!waM@5lpPvcQwu{TZSr7<9i+d&brr=j$?@3)mP;D6O&WrGCoD2%CHAu0ZnZpTy@ zeTt34bdV~J?>Gm4`G9sE3OwW)KBx*_#!7VkNLfmDm)#|NBXs1e$-9kbDMU9|kgs!< zqOtKFT~; zMK-S_jvuP33VbOj8*ufAN+6|8^c9r(c%&nbl z0Gf&e&gqPDxTQ^=3$=&!S^sI~<&VzY5b5qApG3Rs%y@nFd#Jw$LZ^Y>AiEkXVhz^H z3^|@Hx~+v1ZTImtZU9^sfbebM^>2ajXHGr)D!uX@NGwEbDUd8*hD>IWg+>xR73(&0 zn8uKw0#!m2lExYXQ+C;QD=G{tU8tzw)!<iO3&$EkKpIl~9 zT<4Y60>%tdXYvb97&Z>T9n!KHsa{TfNmOY??2}bw|Cic5m`Dr0a+YyX>0(9yjxlHV zM5;}Aa(!T>($AH$JstmK$xPzMvU%)$0yU~yw`sk8nfF)fK$O&Z7N!9|Bj3&@z3tQH zTV8zy>98VofZ_M(-@V&U-uka9M_Vv~E|(Ggy%HLZj7N9&)R_g@ZJP)@x5>J89b0lS zt7@PMNwd+E+h~Wj5Q4rljV*+ErDL3xc?B-fhaQ*F2tdftZ7hn-(ec}FFahBt8Hp1h z>htd8@DoI;AjNR?aY<=3LZyh=ILf<8;fP|crYjyqPc-8+$&OPDnC2OQ!l$x_52w6) z@H8z_;-qPnjRh*gsE`^Ro#0<>20yMedVnnr(0gMW^0u)q1a5e;Wm#Hq)TuwmS;O@X zzv2`3Y|DGUhe{n;R@fftx|!bnAVT*m_YhQ;6oQVb(oY%YQ$DYyAndsaC#@zSX~Iqp z!5^2LD@c7#Mo5zBE;5Od7ELJg=g4nQ4};3|z4&g1#fo=^Q?=>#Zjsx0tFzcdr73DS zeq7AnsOx{!1I`0JCop+5HP21;`X)$fhn1LwQML5bW^`)PR>jMeYko=4ySvwA_tR8Z zHLk{EwXGLv%!G|tsZW-UdXMU9jF5l6=0xe(Wo0Ayk}0r_l+)7de))&i;2vY#Dl295Tz7Z< zDe+bJT5Jl2t|uj2ib;5b&K-VFHyBd$(&csm{-eWT@_REK_R~{U7hW*$4lDOQGyycf z(RWc2YghDf$!l2gerd(=XHxLX6k%aCXzWWZJ8F;?C{ts)hDDIQA#fRILXYTC)p~pc z=15m#SWb@K8yrMXKjFfL+`n7cziR!C>7xa^PN&%!J@tvkTx%Yx#ylmeKqLqCCB%27 zxH6p?r$J~%;8n?L3mH{V+&C=wO$4$Q`E>TnHLMoM8@w=rhGv%%lfG*galI4^@2<7b z#nL}Dt3c*hxtn``;Ln=ZNkz(gd3nYr`P{_cPs6X1zu(XuDa-jS^A$HmKrtM-C0)Hia%7oBc)_71dJUmF1T1Oy<8F$W zY9yuq#4~9s6Ih5Q_w_bWtc5<>%G6GSDp(st$*y1#UmK75!rl=K0U8*QNX~R48P%Rw zSk9Ikr2zOv`ve6K9MWgxozGE{IFxbmCugCnV(f)JL!#}gOecvUa@)5_o-hQ> zD$)peL347y;|AZ$@xRr&(%yOWob=O3xffEzxl^B5qW14H2j#XLY=uMp7cz5!50N+y z7jiDFss4ouSY6aZ?K2dkk*Mgu%Db%h79LSOr&4aSPs-2ew@?&*sj6WGJ|{`YZKJ_; z3n}c&;5he>$Rkf8#p2U7gg^eyA?%{Yp|(Dq>7!^q*(j-nO-&xkp+3BH?x#J5IKan0 z?wL}E35b?sWZIbK|MAwiN>Tb@p;4<1aNM%oRU4|A@n@d(qa^8~kJT~(S~#6!WbGaV zP)T!HzRdu@3Jl{B*x6LS`8_8c*(`uaS=w*RNU3bF=j%He9Qd6}om134VTpnMZQVyn zG2@ubjjFmdg5=M9`|6yQs*}EAjo`dr2Db(u(F*4&cf1Dg^LyUUDK_wg;;h*iM(bnx z;!z5&awvvXzYl0-T*E-PND!y7kZulW*+sxNmq2hl{?j3A+)>IrR#Lqnk80{bDLa8J zCUc-iv$v|IWG&}x2&F0K*i1vgnH42a^{eFI1S*8_0*B7WQ#DnHM4Dh)-O|4xZpLzw zRyVY&6uWh83R2}|P$kH$*{tw&#vp*vz-|n7+l?xK6*fMtZ4Z0|y*8X!SV@Pf)@l%N zGFr>JYIjoTa3RVbo;tS%CJ{of<=O=H_fLc(bv$G%at`a9Vrbq{m0-o1gI0S zN6@LlV;SR8!3J3ANvWtbJu&oPwab#i#^yCYH>m(y1EA*dK~gc6T(pu3G=WoaocO!= z&}QI-xxZ2it-ZdbR*nV&Dqr;N)0KqORK@V|`b!X9*a9jq${j$5rVmL1_7JaUw0%qM zi_5E-%B00CgzAIsujDk$dBq~g?#MZQC=f0%S0?m>(LkR-aAalqK}3d3ulkl-QVnxX zKk>HA+e}c4@6ETTvbM^5JB8Rj%T^1wIZdQ%R15h6h!GC=P01@3qYh8^U<}Q7g9`=% z`hxYG>1CEZpUZS^!{F$1r~QUZ-J>PCeS3o0J0-Y&ng0u|BAy<4|IV>FXJ+dWtn%Ui zmlnaR+frAz^3!p{lw8>KUw4g!EcT#|FIM;45jXU4ua~snCQpd>a3*x4a;-w_nf#^9 zo4R(vbdo$DU)b(FCf|~p%1w~wzKbw(JGyN22WGiBhg;Z`xvcvfhagu=T$a1=dQ5cNy==8Z z&3FJAF0EJCO%T!MJz6N%CJ$!!1j2t<(?+VzIlw3hn zTw1KvYJ=?WTFZrl*1*e1-E@I$(S(YVTCmad1>@$_M4lI8$42^FI@WRNS+wqasyE}EMxOH2C8Dx%J zKLVM6kC>^g5M%Q@kM#eAk-tXDxA)j(_*CFWL^c<*=ZW@_rOzWRDyYlv1u3r(1t87R z1XFeJCNP<oEgmE3w+kv}A& z?o-7G+Dv2aZ_6xy(+WaB3z^^CG$ZdyEFdgSm#NwxxrNzk`u4Ni@herae!)^*TK5z) z`ia#D_S?^|wB?*wT##oVTr}F>)t@*i0s|N)9V9fG$!I>V?Vy=@0n(>T;-5LF^$+2} z%KY48q;>$LNX7^6oB5=oOAR^CfDwe%6ZX9$Uj<*WzwM|zL;!Bj+7l44EPRD4=fxgX zD&%KF^yRR_ml3E2bQKP{FF7Pnb^g3@k*7k*#1<%iN&cOQZih92NbA7gEo{~`i`}e zJsnd&zb$C#AmWo$NG9@6mFXn~OhQj>6aOYV4J^plx2TeSb79IJEknyylZ#}awF zEQJttO`7kuA&=A|v%bD#yDDOVARRrLuY7)W?xM9y%KfBbbqm3Lxojr#fG$)`x=?PS z?(^;A?cgL`D1fZ3%+6tX)BJ@E{+CZ8q}XQYjwBYc>ygC@uK$fR;m;M&aYhnec!{as z{WrdsF;v=2F!x_Z>2?4#oQOI@AN3^h+o``%H~{~_OFQ!*UVwhf?-SL}D7)jv2$8UY zI%9jZrM?Hq_Gx0e3P}%Pb-Z#6Kg?tOY}QOVi*Fc2;O?TB!>;QU*rRZ}djZJxWf{+# zR_%#aw%@h@WLb1;%~_|HuL zGeo~V%l?n_%RA<@muw4GYD#*a4nXRHNi$QLY@8M)TsnU8Z_b&69DwP?J`2jBPYc6} zk?)9+kLd{djHw%b9FgwtCkgqceyo8B2`l+cBd?O;xYTywK^w-6^^F@u8M4?zb{X@9 zmmQ*@mL{u|geu8z{A~nkUW$uTX7DMUUS+E`xf{7DbQek7DI+h`0hl$mAfrf;R?bq{ z(%3G@Ahk;I3-7Ar6GT>TNuqrvVyUsKMEK1=`AAL|l_OX9zF<)gMcn-?UXPmcIt%FZ ze|hZjY_Jz3Co;F*8R1<_8&dF?Zy;}fZ7$KM)^`yRq3Xixzp|ogl#Mn zH~&L4WKMQOpar=IsUlT(S%-HdV=!|7dkq~Lw4dx0Jm&4)9XW%SnwDH*3p3P!@`PE$ zD5IH?+t@nO5Y=r*!$8>;Og~3c?J4EOp&Rcjh_ZuDxO=nIC<@XL)s9lTQ}Z9!4I=PC zncpw8=^nG^U1~Q=f`g&Eo%u#siPsMFWuYC3tRctc$af92fPn1v&=7S-z0jy8!qNm{ z>_GA$GS~WMQBSmmxK##0dJ;*Rj;(=SLqTxdR_@tJM637;%7LJXqIiUI;#dY)wULZ; zM+0lKN+g7AuZZxqwMn(nPLEA5j*TFraH{gOiWk9F^KGVm@s(te1Kx}>(yhc-1 zr<@0f;utwG4iK-z1ib7NJQMz)N8DR;!#|>B9N<`OH;V9J<-#GE(N)qo6F~Grw-TtH9v4dJpX(SP7>hj+kQg!L0ZswPldmD$&i>{-BY{QGF z=}!reti;H4UL}H2h6WO|ktTy;8xL%>#M0?Eg0_XTv9G|AhQgQnhwyJIwU`gL=Z_BK?%mo!GC@ZFEgw_v82N1?wGc1pK`$t8t90E0 zAAJgtToPnju9G>y^6bqQtvS-G}?neim3Hm-4e5(ln3=>?bc)4)H zHI{@EuIy99RZvWeV9tHG92VD2HtVL2+DXLXbPfZpi4h%Fm4qE>x1n-qwkFO?xY33q z(u8I7LpaEQlfy_IrDa3w)>WqTmM(~htkRq4xh8{5FBzCNCkDC}S4mI7Cx*N`B!`7= zk_hn+No+8z)dr?eC}NBsn9X;bR!A?5(MGsQ-RsLXojX>*ng#sJk#?!x+CB>q4yF|$j20|S=*?_%=AE1P6Ta?l4!6cPaDek>Rz2Y z^LGBUcGBFY#?9!q_$HcDVX!8~JifWoIeev~a~ z1(r7T%(}4J8F~AG$l_cd>fEtf_EjCPj=|ZQYTZPb7i^Slpwv)jO__GdN*HhLRDg>3 zTR0wu*<+iha?scc;*}I%=&8)Le_XkgRcXEt66R~>d+HReAPKUr>46^llTCH-Py62c z*V@2}sKy72PQl_X4pkv5?mg9S^khGo24j0C$3iCMhpkb6yZ@i|$9fhXa5A%gXaP3~ zXx-fyGW7NT0KZ3zT${4g-ktCnPqr z7FU|r`v6Zg2WU+s-s--D!2A$0v^ZHtOmRqS%!KR}?No?}b&6;2Eq#`!nJegHwfTYX zuz7g;N^fTrJw(B-DL#48z3GJRPisOMVk%q_{H&VyKZbIY@^p{OBK>Hx$A{D&KhH8YM5;jK!6jB<0m6TVkEuX>Wl0g2?z1jJTD~ z&F}=+y)^KV>n^}*IzUgAT>DUyEwKR(Q5>R2+C2#R1lh>q>NGozK80Z5o8j?0qul4D z$(9?D6Ky6cyl*tQy<*cv+CA|>svo&Sw0F( zY{`Am6tB;6VW|>Bk5z?GB9uUsaacFS>?zeB;S#ZY{F*GF#paE)b4 zna&kSTj5#Y?-?aylPUyHwGONRJ^-m9QTWs#Xsv~s#p-&~9K+XYJ?es%{U;EkbX68I z)v#p05M2o!WT?|t1z8)_MdnWS3VY_+90+?Byp%Bqdvm`A93kGe!- zhmuU^KObJE27Q|V*V*gMz+UO3B&sH|Qj7#_ zwjY5lzJ-ym{n7si?~>&kxPVR2a}n8;dwXs<5(}=B$qDxyF3w$hZ!4GH5l;zU9u=0A z3O$WTMml$IEf>oqB*#>twnJ*A964P}&lS=S&7tQewx{^|&~_mieN`4EF&tQ@yAK-O zT9~U5@qM=5xY;U5^hO`U`saop>?{l36oX3aj4DwX0P53tv1%b38n`7!zz!GfTnP|+ zq;qQ7A#EP|$F0xIn0<`&xb{)HH%gWSnJk~A#@FAS?iW7-tW8hrPcOaIEW@NWh2}Ch2Ih?6vBX zGF9q;!%JtDDP|gy4giya1;>0aAmyS`Eg|trUa%*BgDba1SilA4<}EEmDXbNY{9*Pw z$2?AdilW zm?q2q{y^Sa42qdGa4%MCY3x$yrLQiGvlNsF*jAeUA$%1^U7Q#srJt3hXk1|GMQAhbW(Xq)S7h#@@ zMJlhs8bfk@A}1GV`V-rDxvbl#+aOyVp-9g_QWM^Lv9TeE7Pi>D1wHEDj=Z2D`6!@F z0rFyyt)nLvhBV)eBC`wa&VU^KY!~{8-sq-=7A~)h=4<1Ij4qS9&`9+G!;k#9eb7lq z*_;W!tx`-AnU_a_*Nbv;=3NZOxWE#sg`lQW2*)`@ zAf7U6%d0L#99EvBKziozwI7Qog~6#<OI=y)3>-TeWUKTn9cB)puY&ZK}6l8i)GwiaXi zFgJW_t;@!GQg~ZnABIMT<%i#_BHpXfT@2e!tY!L+OZl!ojGdK=dm4A=T=DMS z%HCngSGQIt2tFL~YSeOBN@{q#NY15hw^7gc!+4LY(9`ppa*Zm@5w(j!0XfjXx`U55(0Qu{MkiB< zQz~2W|MDKT?4x3Ycj`KBHv%2Hgx`BER#O(P7r2m2us|eyDZWjFr$pj&HTxHggP~bQ z8Z+quiw{f{!=|DknIRCk2H%gWWu1(a>#)JapsJi7Q)7e|5Z%{jN1h)~O%8qbvVrBT z%%*k4S82>g0C6ZT9AdFR?1cgf#6oQYmuOM7?qCMKsnmiECLA8h?tlUVqAI`^axq8d zf@#ES6rVQ&zHY722Fq-FhQ3$SVBNn_TLJoVHgFxwAkf4rrim~LPzl{r*3*$98(ftL z!^v4yp^6@2Lvf@huS*57tGBLW3x=0DEqD5H8-{T1Yf@c=$g9Rea$P6J^y&292Lbri zHnLOup7#JjA1pneRxk~6;b}Tz)!Wm9W0^+W`}zNO7Qah23ZHMI%?((r6Y=<655c#n zqOUr1`Zo`H4j&lT&nYUU{d_$=x{&4b)pf^|ScQ}g_W!KnvjKyS%)7&*X|2O32c}^` zr*E?#G~0^G0ZMh^VoA2{w9IHVM; z*TF?_Av0a%pLhydiO~{TN?cpi_h4lk&55U>KwA)A%8Qm%M{!se z#C&Kr4`|;zamobtjS}R3+@kcI$oFOKYhd3urS4$)a?5Q^~6+ugq{f=stD6=G= z=#R-z)+b8^lV6l+4m|MH)+v(EzeoU68U>KJ9}cb5EEhnF-z%IWlJ9QO@IC`FwY)!3 z&+?Q7Mb1luEVY&Ih$P?cQf0d0v*5cIO0OjsVyl1U$mqSqP-2+ zIDzU{%hPC>Nzp3;73*>AQ>7&V@uuczJo6-$!z6*WlGm|qgJzF}naL7kWL1J2)plV? zd?O{$!Lk^Y>7ZDouU${jtpRjW(vDuqnb|Rp@=>6lEr+qr&Dm4hZHqbnXgR;5Sc2-X@9q zU=8kCo1xR*ulLI(9SG^PFrzMVMIxGJh*|LG`g_-qUx*r*x!o08Jm$ps#`%`ImI9@) z3exrvW^ut3-oR0R@4b(=x=1cp)Xcuq7{BWid^U8=tU&tDp~&$GsgiDxgX2cI-i;-=3A}VPpPf4{t6g?++33Y3hGjtTszo>Cn81EFJGx$Q>8u*}H zPz^qlX7m_d&^+J&DLn(&*4*(Q^P_)L(s^b|UL>ZDh-t#3>8z8fWHLq?`dkcWRUtF) z5k;rD`=u7ehb1liNn-yHNRm`0Ql^+kLR~pQ5x&+aoYj7UV@d|DDM1Ten8uFfE-H0n z%Nas~BR3xwD%92XQXiuG_2STGQr-gQtdz|lNC4>PAe&(js*!>=mF6zni*wqO>C#=K z99_kMU`0%2o)OQL>ym5zV`Z7(T<_mQTbG3fn{H5|WyQf;D}sS^j1M?j%#`eE(A99r z_nNmGgRRl}^WU+UWAa+}M!v!NYQTZ*GVIp2PKz1`crgJRP`>;5Sx< zw83tCB@Pbh*FSWQ@*yPgoY2Wf$96(|<#tt4L(rp_Wgl`ul&8YI_CBissW2$v6Ey+9 zAfc@w-j0vOjE6$>t13Pxm4Jx#2fsR%fY@%(p}@jsdb^c?)~tNqki*AjV)L543T?1i z2BBs)0s&sRlsK|uH8UA>l6e3Q0v}4K;AZpZElNkZxUbIuM-OCJt^R|1tZY%GS0#<9 zp3ec8UIblJCa!WCw}o5FiQfDX5$Dg)?;L7|butZeL*T^7cOVpb0Wy6-EE-H_{80Px zLf9w}eHq}0rt|~o_CMA1&%;x@eP-izSjo7VGBea4RN&Esry_e-pIWd+U;pyM1vrdK zETneJ{)&B%WL|bd0z<;vL)wEB0!lsPcos$yf^)+M10GRY`^O@<;fny5Dy}d2*ws**n{nwR0RkOGpm2LIQ)jf?GrqcHF7wUptt!8 z0UR2h__bUvu>`bQ5ya3YTDNTv+M*OAZg z$eEuI+C%8g`v!UO?`joDkJ(Y!qxs&cB#yzwe4N^VTeT2_m-oGI(uCU&hAn-<`21um z-rAkfa`6^xCkp6wdw4_4c^bR>KO9o|>(OVxMZwnr<#I2?8N=mIl`{rAtcmb(&J;qL zR%JOYpiLWGfylvy#WX4mhq$=}8Equyyo~qPgtyvug#nadZ>e*zQwuNwO}S(>>jad? z9lLEL>L4{!9~((lKJqFJ;LLp%0V66=ZVn2#cN4~Rx5>#ubRwZ0g=7@XpK8SS<#Skj zdES-t&LsrJ>->(ftcx8(hXCn~nMG=F_#WsCaV`}FmOgcBg+PcUJ}MpX4vlY~FqK8n zLTA%c$&m)iy$fZOUV_PWA-DF{{(t|<@PoPa)5YWZdEl6jHHCka1i;Lc58I}AfK5Eb zsEPgktS5>Y;w4g>OiL*fsG0ps@a6|{35OiuHBDu)1?*;an?zAS*DG(ARKgjzS}Hv* zSGXAYd^clMMt}gno_yyBF%wC9flK|Qio;`th7aS38$b}7 zzqKX__ftTC7I1(COe?gq$MBcML*H1r0W*oHx*F}0cy2dW9QWqdAR z{^pL^REH!JFKDR@xo~rNO#6lL{FrfEs0`aG6nYB4$)5*)jAF3P(9DCEYyHBc3jov= z_$(jsgEd7K2`#G(;F%!H?*fpPiL!eklOPt3_@US$DQ-&+;KT})@Q);#b!yl@_Pc-C zk4e@4(zsMNZ`%#J1l731opAX2bNdk_U}Uud#NMO)YIErw%(ze{#kS~D2}T%;1nd}h zG)zHz?2SsfeFz&SKWY1Zft= z`3n*W*n1iTjkte+-?J8{&RC9S%^dR#dVQCLcM)SNgVZ3AnH(+_+1!_Zi(F{lB-n0? zLh*6g$rePW9aGDm8lVpA>7^&C?L5;$9pEL98DU&XO;ty;8h++-5aVxPP13@1ttnyk zQ}S!(X3sU;iC+MzzOZxl@;h<4-8w9>o=Z2X9#a89@VL$Ac{t#mkl%}5J041$$-s!Y zFt-j~Fw^^b9id+yj_j4b0-xcJ;*D)+ATn*d4Pt#&?_veTEBjE0`q!ji98yz4a%i6^ zhj2f(GkZqvAb-590f@}rZ`%}T)icajor`Y|{FuYC(-e^b@+P&5w^!~tBn5J3HeHE> zA`8%q5QbF99{5ta@eihoO?WAJBp)fw1xF$7P4Y-xeycRh1P^>9@5{L>9!9Ljc(&Qx z=UUuJ539CAn`z|2f|@ERATkN9H?OfkQCxt~+-eF5-UMUhiYXRA>ezII6@#)g>BIq{ zl(ZH=CRXIY(5bsfn=mCqkMS>P6=WU#=_#;HQ8iv`#y<9LKI#)HzS?#>b$Aa2d%&L# zwy_7&PDkfpG(Dt@#y8!=`c@BSIz_`Z!mH8uq7SjRyU`OI>bC#m z;M0IRLkTH}x4u|rwscu(MII~lnVFI`;6qO%Me9yKtwa6aPhcfc2t@l$bEDBm3$WSE}Ny>y1hn2{9Fz*5XF+r`;d$s zvih4NB1FNdgyU~0l;GhX#YgVSf+>6ddi4f5ggrDq6yeZ_GB{*{_{9^&o?qnN+Kd@Y z#t8$Q9Do0%j!Nvm@v-wu7s>{az8nKy2cD-|f>LDu9{|xnF25}lL!Fl@3!xZIPfVh) z3N4ya0%=BwOju&T=pNd!vpIQ6C8VuogoqOn`psfAYjzD!g!1_HG#m#fkr7dMQ(c-q zqHt0pT&@{U0^jSwoSPA;liAd}Wv6A^P-BMu+|$(b_81`m?^^S7zgw03UY}Y}YGrDa zUqIzMNl8LY28_6~G4ObllNL(!NM~OTy32s(Yvxt}W})15y*?mJj@ohgDwUHO<(3bI zp++PJj3@;jYuCBzv5nhhByeH`@`<3on52 z`@)yEh_N*zCU#r-GRg+Dd^xh)0qjd-@*Q}2Gh$@702&iyfVI`FO4`sR>~;;FsGNR{ zGxA+0cDn_y*SS#x49J1>d=k6u5q%I!1no+}AD=w2TYT75?ZLvgJu?FGnDOlZIL(SS zq_jFUVazBEow5V!za2BapB;LPO3ex68eCR1Ov6uM*Df|GnL? zz!0H~D1fe+LOvp;osH;4A&&I_#-*WgBeQ=tLsMY;$#)4~DrlA-rR^Z+N!XVXcRsan zEF%Pf5e6y=Q8iCQvJ*xe&wvvI@d!T_AfMz^PAJ-l-wm20@wR)Kfh{H7XhwOkoq59X zAn6c}NREjNsS)BwfER}2Q5ZcaC1H`%9BRrV-K!w2w4<|k_r+G{{mH0q~p;BH! z;)9A>H~52Hy^hHc*M+7vr-1#saqe4o%zpidZ|Qx^PIWjJC^^k9ah(N99>Y|JKl+v5 z^`HOSEyptxNtYiYMrig1gJ!x4IF)cCMALW#h)9Sk;OdYphYA+45)R9y*b1nI&B6z; zDxAI{QH;_hW(95EPL_Z8#rf8x*l5C6e`dMxe^ z7X`bVAZ&xo#x^bxLk$SFv*a2G(c0x^j&&D0QinyW*q?VG}_x zS)>d#l0YemyQL;wpp@z`g|gpw+V~C+pJkU01cLewz8MCB2G*x)C}SW9j|ed`QX+bj zgJrXLujz1=A6`6kz($9H=f!j_`_%)}8Bs=Kze+pJishI^>Ul!>!zgpsRXf=t>EQ_d z8Q^?{0B1z#Ls$`x7Cc=`kV2@2{b3%aOWZui|0p&ymod*9eu^=F?MJ`&9q(|)tQ>x< z@IjGNg%1_9WJQ~fq!IeEhqO0@poI`6xw0~)5qcv;t*KHAb0f71E?4-_nN!Mav9xmA zBOoe#+ip#+L(LF%wwh~<3Lh#9^JY-^e>04#Yr2UG_w<0;%SzalL|wmYH*pyiq|Y4A zb9nkkg+0Y5ZnwQf#~G0xs2NsTi20DAvH&8H5NraS8c;S*S!1B|$^ulj_oX8##vV~d zbsXhKiJy?JFQwg>jX8_mj#XVUr|{QeD=TN4tP-FcG6JK@Ukcb5842e1|Hh&NjC7`Dp>{u|DrIwZP5W4Pv_bvbLfBAxUd{N$PEVXcI+NTWR z5%h%K#Ep^^bzN_GxJ6sRhA=8qD1*Y1fCN2RPp2{&G}8#G8ER+5EoxXcBL?AzrpeYB zakU;PoJrTMoe_^)?`?rs6M46U?J!a zOux5FWAtm{?Mi$#;{=^i;4hs+5&l#Xfn4_iWIKlw$(lG%n~vt*Bn-5-4MRM0@1_#n~T9 zmRHSR1@%dw9XVIdvywVxM-wqz()yMX+(^=g)ob2fzLAIO|p0$yjf(q?q1;RsmqWTd>wP z$QS(-sId?C1PS}~PQi%gr)a!L%z38&7;wmvHRgmdY&ZY_A+{0w-6~si!stcnSM=Zr z2ilLiLMAWLAx~HGA|du^?xs;Y;_O8N%T+HD(jtVV`86gl(f}k0o)7&vbSIJd1xg1> zz&C`#_{yXat!SU5u->GQ# z;`>2PpWYGj$O~4~?&v5xS6*7ovWsQN*zgXULKEs)R(5Zuk`hGwY(zp*B{NS{*}J5+ zi5|6JK-nv_vS-17vU9n#aJf-zHRI_M>3Zk#Xs6|UNPnG>y~m{+^ESeo7t|$k8;xrd zbs2ZrI|r$-C+BUHFZ~GH9T|3Wx%#}}Tcd~U;f&E>5eQNsO9S3qN~HLH;g0l zjoGb>4|XJuT%%$MeJnI*Z^98lw|TinYjAFcE~X{P#c7yfAY8;a(p}9APiD^ zBq~BL~F`_lVS`%;*{9(mlEMqhgt$xL(pF}aOmxt5%*L|s&&&)Ux z+dd){0lP+4>ldqS*RPNcNcrxBR0NC=fO+d22Y7!&7$aJ6tg#s#8#ym!#7nED!1o2K z7v>#g_NWj`O5-mT!hxUK!}r)sp3?Y{H^=4&Oj1~lUXEa#?S`PxXiJ0L77Z2Bn6pi> zAiO84rnnMtEJhZ_d3`lXK6}=#-m`YPTrZ#W5ux$WhSj{`TbV?|>M#AtKYZ&$Zdhrx zEXymhGIVIN#CSfTnR~W0PDS;EpK3QgG~t6ojqb8N5^gmB;q~f-pBkYd1oo?4=`7-e zG^}iDAg!1M#HrD2vzeNPl@Y@BK_M2h@_k3pRk}vur#7|LcLHn{T{GL85q??-ODRDx zKiodNOKC*mr-cw!A_F<(k{jTkGNHK3>>?3U(A;L^RgE5`^3yVAkt1yGxnUM`n0z+x zQ}e;i8{HqfqN*js4g2R-%@NjDO&h1X=r3_ZHG|5oO=!PUH2ybI1&F|e+vyAuxO#{{ z2qlD73@?+12x$m|b+3I`bfwyDE*RxxLmd~ii8Tr<)%mAz0O*X`kdYv{su|U!}u*5Ru zsp>GAvH@-ttM+nn$Ev-u*eh?BD#p(01r<PLRdC%oxR=msa*6XF|bol|Hr430ye(?k!6?3|MJyddo1u}LnKQnR=CUeKJE zMQ`A9LQ(bBpOc(ZaEyuLhj}jTh|xKPGPI?p_!2pE&D6;`g@FVm)vsz)K(7j%EIOx9 zBTunhD9ck)2aC-H!sMI+xEPNIUtotm$IaBqIaRuFky1F`DK00vWt4y21@5VAp$dil zEu^MAxLeUrcORrQy}Qo*u&M2!YyiVU;cNuqE8{e$5r5^6&41$YN1^dyIZ9AQjq%wp z1&)8Kt3_|PK;(K-+=Yh7XWCql)*uR*-^iU zLJVC|#$$$0d4Y^2x_IdoT@iGbXAj@<0;^1M*(f1t^{4A6D%{GP2S9odJP8rHSy|P4 zrwm{70+30zWW}e4?5^zX#8V2N^8z&wkN_)`QuHxg=TWiDS0~@oMg2%G?%GF+zlDz! zdj)t6iujRY*FYfL3?C^@v5%CSkHbg$bSsYQS9*sF7G#@=h ztZA9RP!$=j!&9mnuThFP7V~G7^&lvJ2!??3deevg{m=WDk8}M7K0dIh%LLWATS22 zPz@P(MTuCkvMLC(D_0}8V~dJN&6`xAZx4Qt#07cq9kC;E_M-w?mAz#| zTuy*Clqw44Dw4B6uHx8)v7R}F&vgCHx0L#Z`ydz_Xn%pwY`WQ~1P>Ai?Z6WQrr}9s z6Oyf<&)s?_LE%Y6U3a^1DGcoMGgx?%#K-kU5le}mBp!Mf!2Fc3|9WGsUx2#=ovXb8Je1)ZM_ zYtK*PE`s<)&SeiUxp0OK@Uv_<1PcSKy_BZeqt?SAV;N;8O5xXz6=3s-(O53%G-#n@ zWDTJRgK{&l(vk0^HZV%r#1W73q-r$4@(dY0*i&;s_=U2HYANs>`{(>5QC22&_d(yZ zOu^6w6zAs4!LYSK?Y9|RcI}w`PSN<^NHxY&Ky}j;&FoBAz_H8+2`;i;G1oO%UnVT| zkuM4yhXO;q+nJK8VYL!bU{3@v+`UW;%aqYVhTX{;Z4_)fR^rH%;p<%t-9}sRK&In+ z*Ok6SixVnGDlE%&0tBRv>ph&zBU?IrzqCA=5sa@*G=@0){ErBhVfcu!*M3B9Zrc0f zufH$ZlFtp4_7QQj`G_#IeMH>6k&ozU`)aV(DRlfRqT3SP#atGsFbl#9!YT9e#y({~ zvSeNJ#y)F>AGrU`3O^KUi{=)EUo!Wkwp+Y@s#`9tM5ppyh&sqle}1%P4_d#Q z#y*AlO&95aZd+V9x1In&df>9}6RJHMCKU58o=+hVt{*4F>mQlBn-k4lTyOMG(A-VR z1MJs4KllCmMK|zW{k&ZGi?5*W;wWrsBwRtiXA`77P35`^!es`D;+bi9k}s6)Xl z4!cR}SF^E}u{Ux4koM(xz;RuC9hyC!VZ++aetD~&Yc}jOe4tmt`7Inc1$*n4(H90`2f*tjY`PDqhxgx=%;g;-SgaYFJOBlIQ*8#H-pZbtkW z6O_vWM)o1apHV4mlLJZ3j}Pdm>Z7W$=fvy&RDE(iQtbL;+K<&YA)TJsm%5GAdt6_V zV;49U2b@r;&?mmQkfE zRCj1`r>9?(5BZ2yO>P>ybl()5mGf2!UBp8Dgp&O~ElH|~E^?usdr(qeE{$Khc=yxq z^=3OS-N*jDXWr{VStQpjB{Qi#K{Xb(2OJ;sUJ+&AYw?PLxHe&Z$;UK)ML}NcCb+P_ zqC*N3kJe`^&5Zx-Q$sjf>=8#l2FWVbq_;Cb48o0+UtKF zNvn*J1X?i3Po5mr2E2u7axjvxU!k^-xH5LUt30AOkBUPZ8A_0&^m(BDaCRzfy!I&4eA*#XBq0|K8jq;_F3jg0-8Tr z`X{RRH?zk`!T|S)cmem|-J|$Gd_m~)tTnIqJ6KKanBv~ENWvOVoDUwi2J}o03W-5y zpOL4O0&>uXxD-B6?|sSCR|GQq9okXGJDRP@xC_SGtW+h$Km!RfkfIZ+t5{n_M+{U@ zfgPGua9pqPEgi8GaI07H0PR?u#}W zlO&Dzw}&JdQHmSh#N2>tYa-?#9}dQ>cj!&4xh;YNh&&X|_4sz+(N{P84*q!9%Ry>i z2e&nrR+(U8ufo=p+nL`ydd;2d`Bof|+kV8iDnl(Btsfo?RgPQ?(FgD6bKHO@EUxot zLY_1DuP}ojO;v*40&#_>Yj|oncNu2bzLD7NFaONl&-mlcZZ!|W*lo>sw5kcjS+S^PA{14)5CXzmN>puEf=a6}5KJwqaJ@!ACktYSwtpD$ zsODHTHDM2CqwCIz{ggRW{O4+$Z|T5OFhONcS6IHW#Z|b~4W&P#4d5ACCA5S%l|r;0 zZk`peITafSY$45#iVhGrP^M3A`IhgY=t@F2$U2Yb^K%Jq{awyqX8rdB?bCR@M+sy< zoR8~G&_=*Z5*$LDK5>4UPvLw-!s9LtA&=qXVIeod;pPG@u_aO*99HwB*`2{OXmeNa zQ+xkt*gVL$d*p92!JUs21~Zz^WQc?*6(H)BvCeN5xm z%M%KKKsV#L0>!A%g&cK|V>c6!_@ux4BcJ{U-%`=6DrCoY%NiLC5PAKYoww*;Fy%p^ z0A&w35FOF1AcTBr2`L*ZA+pi|F=Pro{Y801%0?puq7-QbBt)w-_5S$O!t79MfB6(c z9V}u@&?55XG#>ASl#MnbF{~1hpbS-4jT2Hf8sUJEH8XuDDRAkoh0m3#K?YYBrtXo5 zr!E~IQQSl}b?(@>HT!TYw2~uU6o$sQ3XOJ`q;t|nQwZ83ipyE zX#V~Kx`Lhu&y&%VKbYfv8nvKAQ_g*z-)j2%rCq@BZ&TQ>D=Sl5q&n!*KZ7?P4ZNw! zjJfn-<%DGq4^%GQ-|XVthpOaXmc^+?&so8^xf*NpUJ)g9vP*C16{%LSSIB6=r%Y?B zuTKTzFTVOWKkXNf)s{eMX39mx{#W}op@9Nf%A5sGMh_nS59M?)U(P}RB3$dEWxvCZHkxk?nSN}-H2C{zxLZ4PSX?_uLA$> zgKhA4A`8gmd^vo9!*aHbY`;@8_}@qsVokQ3?H4{64d3bOU2IYONpvWL@z~BgJm4ma z=5UpZ@L8kE#irEso~m*YzUxJgTEp_muoBZ~_V8gJsd5p%>?2h!!l%91Bh6KVoWr=r zhNKdf?-x(0V~s;B0{GPmq(mPeo@aC&ERaA1FfbPZ(xFF`)SYe29tMp%)Hcge(H2SD zG|IAE;Cd6UUVKOM76EdHOw-L(G5qTUNm&F)C8}Gt2=KS7Sp=9SE&}d`MSyA2BH;d` zEP_L|Fh2xZadq1kg!x2TF2JaHloNJ`z$5!?mR@q;y5R9IoCl+^9B1 ze`0M4l#7U&!mLe^K*w4H!tY=9{erb*gg-x&vgp$NOTmeF!D5tpZ4If#5za@wiUeWm z$O7Z}Vyf2jrSykij(%QdFWk{gr@D)yokgr!8v}JbhTyF10>4A`i=7j*7zTEYxa`_c z6GzF7-7DJ3OS8*Jj?S94QvF6ARiQ7j3Ybc0PNYldW{*xSbiwgCCGr(Y6!FTCt20_HUWcdmAW7%nQd0e-XpiU6@EcV zqC9*ldyn2;ql)rDNCJG+695IJ4v8l1HHL2nUKuNqh<5e`*Hqg6hO`=rtsvu5;If`qlCamgpnD#g{x5g zWZ6V!xZAANZbiNClJV!9D>6gWrh>blTGJkB#LYD(W(Y7S88Mh4{NwH2DEMFBm)p4orLUazeDf&05yaqYJgCfgXuYk=byXxlC-fv_MoTyX@0q>?fKB0WHjXG&qziC z)eLD$8D_erYyY#v-8npeH62QR>i7ItpZCw*ek#eEba+87=pIAgOO|?H<6W%*O`}hw z90DN&z?Bf)1tsTVyS1z=C#1??gw@aJ_2`|DBFPBR7@omrOx;Ok<}@``1|vkVI+jO< z5wqQonhjHBFv9(EM~5Ir)Iz>#M5+u%xE)X?fZ7WBd6dM$atL=#mB9!J(-U_f%qXf1 zX+%*Oj1pB5X=(MCn{{#8i1KU-B;Iv_LX6K$?Dz976vTpq4-Kzqx4??;sj zidz-#$E`u3t3J)_(bO?UFlCeZ_FMD_OZYfgbV+Hav4MIi$k8hso>DTUQ~2MGYZndd z4u0&zusLL(r4oEV0-Ti1uZEI4#+V$K9BUv*jxpI!o-pJBhVxO+c5;e1?g za;(C$o2m#&C_XsmG&ElwbD~c8aEdB47Z?KhVco+j#M32*TLGB@Z(6g9bFmmtS4GNF zJ0j;E+G?CP{FK%BBlq9*4S(5F#&sY=Xq91a!x~`^5Axxmbo(fW8WAw`h)N0}I+C>P zDDs$XM=Y}NgAKbfCJq-_3?d_}Q;v+k71&Zk7�o9TrZg6;slPQ3N2`2}4L&CLDrk z#96FJR;sS)srn~ezCvRBr2fH|xSo9~iYN{^G3aR0%V_*MND(kY5&&L41^HryPxgyg ztQ%(S3hUAX5+dHhx@+B6SV}2?Ap$AW$8}EpaLgel{y90|#a@l)^GgW}yDd*A{gvYN z@;ivx}^jz@JaTGgB=HqtSQjj(0pO@Rb|m95;k7A;&rwJz-V3r$RZ9vk`NH z7~`*b*KhdX=eXZf@mMCo3Ob9hUBejnrCLTgR3w-Y4z=+Yu)`YNcS1_AN(kfwT!q9K zQ?aH5b3)-uhM?7EFvJ+s3z-Q;(J6$*(1K=#dSWd0Tqnad3a6@wV08YXOhkK`(#GDW zrZQ8naad|q^qw%@W@_P9HX@qIL(0N_LhEOh+diUjc^eXH6YJ1}vfGrxAAwQ=+V#Gz z!w7n!sGJRyG-B$QFfbKSfwnpAqZFB8l)wtn#N>7Mqn2ml_cTg)J88dxa%%SYet>17 zD#BJ~tH%#xTvUY!p|EI`9I8#4m2k@8sdO23@kpSHq9?4z`obAwe3cmEhjx6HMR2OM zan>2mu;KeJJSS~Z-q?9b-~ROf_@19}tM`&vsR2jeWE+7pV$QCEGS+L)*b z4iFwd*f{-;OU3$Ju88FnU%Yh$iQ*11IqkrTT5T}Xv!spU4vD{P zr9-)h>Sl@XsJNqaa9MP7B`QpQi3p^iQ(0&ho?p@e z@}z^Uvm#FdD1tsnf(y}pueq7Xli25~pb*g?x*?=OW!DJrANm24d7(9LJEFMloRXcM zNV(p#h(LIdv)G(+m~s4_tVoZoOPsq@{#V}gkifo13zuDEdiMLUG=3gO3d+4H_4W%i zw$$J8xV4tsiP|nd!Km%F1$CfUq(JvI7t~QCK)7HDoQDgRl(%rfvhVsh0{b}thiG@o zV3I_*c)mD%JF4{G1?f z@XDHjHPMfOX3ZQFrnUt5pa1&*^FvR$>OYO|U`b`>0jR% z)#=Wv{|!{9JKfh+KHekT^fdsIeaAcg^h>`kRN|0=1H4yGot3F?b^xh-ghMGSe zA$kb6t?5TbiOM4yb3pO55hWG2aw1|0?8hq6i7m~JJZ5}5Kns-q8+hjJooo70nZ2*Q zM^Fo)ed?NiRIk%|j|}Sq9SnCAU(kMuPH>t?wi-Q7>9}ckq^@P&k>DGeJBqK!`rbL^JCM=saR3lKtv|Q==z( z*0fVeuVSkIh?`eaTaC$8WK4*s5L%p|zG4+b3x;28v_RS_59huk9#MJw6H;=**3lj8 zRSS&RW)z5ETF1$GRk~zPl|g5C!Og)7$}2zeiq1xtM$6`;zMW!p-TI=>+}}NBbU9Xj zBDpCVU1(gOH6M_>T_m_$KGEnxydJU(;tusS3wF^7qtWHI)OuIsw-ZLA3w}jy^M&N5 zQ7TY0y7t2gNJLDH+$LfdlLZ-#u71hnVmKn8vSN zYAB;(ZbE8aCcjLo){AHeG`WjeWpR&3CH@@D% z&w8C^b(O0|(HN2@|KO8JsKBWNxO8oW^E}^HJJ~ z{?Ua|TVAGxmM$h(w~|g9JS}@x+G{a$TJt7Emd+`hzgAK>&sNXPSv`fP9ud=LtLJ*G zo}c*HH-GAzy_$q3A($>=c{!el)v@3)#a%fB$0enm5pL1I67mK~PHRSpG9iUsCDi&9 zfK}A8bUq?woe=^F1{;PWE_cOe>Vy<^MmV5guHY}W%b_R)PDowE2=}`^3OP^>LYHdI zVs=96B1Q;0waidW0vM2dGXjyd956I)z%@d~FVPmFArxK2hJ=OBvK&ZoLXADu27K<+ z>;VI@!m^}7m1+`3Ia_jz!4k!`Z_fsGt7MrtMKk~ zJ-*XQMQFC3BS5%N1Hd~}-0N?l@pIv3X|K@Zw+h&u^mC}Wg>n2i_;*Q`c1TvYwxOF~gcIs%Ffv>VJocMN19;aVpG|htli7n@{%@a*Cul}$>M64l9rr9u{ zPz3L0SZzi_3Olq1gfvfz0eZ>$5s*OY@j2yEYnP)&{kC;#$(L@Fu&)u_;5L zgH5b6cM9B1A!`n|1DbA~>Rm{U_ZX}a1*dXHFuYYP*v&dUr_|Mv_^G4!^zj(n4%{`Y zc5v6LX^~vM`0HY~^M;>dx1aulAA9fTTA#bsg4SVI(9>3QrL$+ExY7ZGEr*KsX@q-t zZKReNdTy1b2~Oy)7JMym1i>i-;PDzfK!CMQ=q~`d((4VYCo>rW<-Zz2XScYUqa%Vd*Movqt4}jy?{I@kFpyWs7CQi*o54@EMhj z*yp|V8M&6~TXSf1=$+RGIt)KPE1y@dd_Ju2KNP5+tnTvA3T9cdM;Pi9$9wUA{XhQZ zS2)L0oUL&@1O68@B-jqB5VtAE6h}tr`3|U`rR%gDDhj3%B8eG>J9Hhy7`HGyvGkpg z9M1?*(|#wRKJcghP*xW@2U@v!@}E2+Ii8IOG!b#C;7_o-TS9WYN{EmLcqj;WsMDNA zoXvD(X>(QA4PCyo?cJ6m9O5u?j7iL8!}*eP7~g6~_9IhUq(01O&LPHA)JAo~R4q=7 zi(up4Y!dVJCu)7|r+xLm_%shOszGdaY%z~xeWfEkTo1Ko4ekKc99+}tWRat;z%a{X z;74RLHZ^JnfnPxbJKn>UZOy^Bj`eT_mm%ZAh(~(329M%$RN5)I^-!HvZEb)YTmN5n z>#bK>D3!df^^YdE9w3++kON;Iwapbfwmu=$hLG8NB;Smjipl9}1zr0d_O#gB{?Jo> zB{*BbSn)7>*f-hrc^ZdRhF9W%7njEam3v-RF}~q@a~S01ELW#ONU&_2stWqWG^}^R z!PsVuNnkMAyp(^@goL7zDoz0Zh_&D~8IPrSwY6Z8;+2{#!3fP0a^Hi;iCqF?*y;s__Z{aXWQT?~y5rZYg4sqJddEB89Sv-jH+&u=H;B{{(YL-Rkaf7Nk^ieE3tDRr(1FIw0%h<$E%5E4vp3wwJ@D)8y3nYr z&5qa_zIP(PP)EA?j3ppz_YUQfy^M(0TC&hsT5GBg)O%mkp@rG;>F3$!e|BiBZFuYa z*-0C4|Lm}Pg`b@^|CVozo6TpZ&A-dhEBNdVH2+4*Zgw+>S2nsCq&tK6l5S)9!~)=( z3VsQGO3^PNpH@}j;Mag3B&bmEvz+4BP~Sv2A7H3cdfcE-P3rN3mOATQx_+|2c>Tf> z>-9^Hv%7iFdmJCAU(N=pq6r!?>Sf-sS-GEpUg`9-`tv)|d>qZQ@x}Z3=xx49rIVz) zcDVkg+xAAflZW%AnRlGOxqqAx{aR=?4yhH+9~PUWf`cGEKiN+YEDon$xaybEr)9fu ze*&%2olV`r9(;JU!mEnwL5~|UfoMbb1{`(Jp(?{Hg={PE?n!1OD2OKDv0(dV_yKPz> z)d3>}FoHTM!McarprHtk7E(dC<`Qo!W0WALjp*>-721`E_UMFUGe)>Y@&c4crs*vS zfFv2^$eW5%}wzbVHAOnH)wH}2!r8tmRdgYBRp@!QqP{yoAZRudqu5SI(tH|#uNJLkN(p?`PuFX zRqc5UlVq7WTCm4@y`WCKi1z(NMe1ll)CzW}NIj~}94&|#o*dyRqXiMelT0#6!Ng=i zAVo-AO;c@Vq6M*Avn?Ft@E#3r;Jr#C#_%L+YAb>WRk+zBEfJ>hBpqg$8`jHaHL{0N zcoJDfR6sz9ra5h(S6qB%=%AAu%{x%S4LzcyXg5j#wm?A232RIX(?Qefp8_m>K%$UR zF5&BI?kK*W0X}WfsP<@!oo7F)E~pSTN8IIMR1v#weO-ofQpp|jed>uk+5Rb+l|LwZ zFGOGSRGT;#{k~-*+OHp(+9Fj@8BA5MUkQ7n9=1DK)JqqfH1N75C(9_X2_c!>P#|be zNNyM@ALkREoT2lmUOfrqhWQ_h_HbpjoK=Q%zZ|N8ue^A1|Cw{Y96SNmTc{2Avsh4Y{kdY82 zTU)~94NwS>5H@+KifFPC4<-XgZ@|H1;OGrFm<*h}0SB{zlQ-aSI&ky`csF=FQ;o}& zTZLmKrS67y@GRLBU*l2nPJt(aQ008_1~h*yZU7~*sznb>h(9=7lupl@s+my4`h{MA zU&Tc~E&zL(ur{$?uXu`3{zi%*+9%wr=j7effY1k%cW>GoFxSCa*3c2np|xbmQos1a zU;fSi@~DILQ2n{cQsG`@DhH!rtZfn(t(FHjzUB=JChE^cmI^=uB1ghfdnVH+ZXQ`G zT(;^C9nh;f^NY+kz+`0f) zoG`Ie)Q8iK+S?Aj9h$pIEOmfsC)N#|wj(!iu7&`IvH)@5lO30ei*Kjzx>D^1e)9HN ziWO$B2k;|Wi@c+bwr_aqvzV_kLAR@%4H~wlvbHh*t%scJC3ahOUN5b;&zU5rAubf1 z;{XVHP(m7?5UsNx?>SvWr1oyx|W-v^I-=GN0?2IDl?aFvx^V*VpO!5GA+%)0w>hC3 zCo3FB2{z#%D`_BvIE@oJ`U8Z^4n-r$YF0=%Yi`Dk6HGmHK;4rNjRk!BrwiBPPebTW&^Uy1$1{sDlpDw zJ|gQ>4t6;nPLG>A=K>C%%Df`GQ?i?i$>?m;g@%luhOi9kkVWh+G~*RsxMO$4R}rwc z2-Bp(WpJngZ5>bGCzMY}VHvFPh%RQ@Hjev**PXy2?Ja<@~!-dYL4O$V;kU^+<9 z=wVHV0F#|WuZ`H_8Od?eNRDH#sP%uHO^2&79X{dPe(Wp0z{Rv?)>v4krj3wTaY!K+m{}8N4JVZoa_Ji(fQ1S}@6n>PO$MTv zHbTI)S&)yFsF$NGIg05@h_rDn^1LHbBTr2pYlOWtxP$xy8)B8cbd40#Mu;2)Xb>dq zHsjQ$kd^?5{GI}x6<#(?n%q&5D)Q$+ftTyfA&W%C)#i}Zp@Wn_NZC2=nTrzmGJ|6d zjaw$94n~OyQTshN4Sy#<9VcNlG@H+bDc5Karh?!&U6_{d{Zw1yEKF}sn9h4eXJL9h z-5S2>(|`8+zu#ezYJrVx-T{q_)DMRo=ww!9n-_RH=E1@^Ms@PmI8HV%!b}qELcPgl zYj{SRw_gKcDTqt}E!7$3R${by_sdSpx*<`Rwbhb28EszVePc#qJYh|$AI?XNHt!y} zU${m%?0TlX=MkgLi_8gBB^Sbe2}QVS93D8-{c&9z z)if@Ja7^eFMK^iiO|BmF#}ySl>pJ|YdS}@cU@n023rC7Iynx}IEOX{K90puU(*{R4 zQu@==jdIw0f48*9LvRIX&xb1RnWr4{ewl}z@_s>(TnV!8dAH1aPBqkFd?!}~2=e)& zHv9Zi|IV+UdDzLRibUpozG%~azNpN;D|l2(^rhPrU*_rBOIbQ+?d9=BXwG{@XYJ*B zw3na$Z@=uHf8bc{Yl!EPtAUhiRUs^((WHf=VIrg+Gy@psDoLF%MG?{F1J*O6h|5u# zHcH2G8tP6DrRUPJ_PwvcL2@)BM0k^~09e^e6uK3|-KVB(G(t~;KxN!EBubuUgq{Nd zH-m~>8}TI3=webE)Qb(AaUUE3aI1xi|7iyE~MbAog1;Y=H$O2VU|<&aJRj z8^lfZ#f#oZcUw-B;)>o#VD(T71H1}-g30~THHuoL6^z(fNm~T4HJviGV(i1U8oiMN z@QH$<*rI-RJE9m+QOTbtIJ}ARykD_IW_zUG!(X#N}FAf$h zli+8WVzEORcyWV3F=6gw`bs4G%_t9+H0+6dVU=^p=lE z=_eZ#c#sl(88$$f#WCltWK>m)Q5EdQxC(a5N=B)*x@864WTk<`Rwp;D2!yX>oauk9 zE17>SYZa%pE17?)M<-S?&c4p9lmm6(SVk2n}H2vs8y|!|yKm zGi2ZW5v(H?>)W%gSyHf$)Di1Y+-n(9WKpIJ)xUy21q5k++4uQ7TyONJpqLnng~js; zn+eyC6YwQh2L>Kk-0iwW7oj2DcF`qhNXMlehr{(oe@wSobjcsnaW&=>;)Fe}H$p?Y zVbSBb`;$PB&X;|^{(g<>jp6xq`$eDb9|ZaQeE&VJH@^RVwdfNx1O)l~(F2ROqn}sx z2kFzQyExh-H%}_P^|$WeXy4b}w?EMbW_ark_A2pKca504WX2vg>*clBL`fO0rQIe< zG*RQOa=k zAHVO_XJ5Yi;#a@&xwdil*?X_td+YrR{QuJo{PN$^A?L2Kdu7*b;`TQk^bdYW~a=lol_h;nb~f8`}8I zqRtvuIZ%KezSLdJH1>~17^^MBmgFK^Xzjl*4bDig!&sn7G&7w&!F zRSa_PC4n|!?{08a-@k~*?q9@XJkO?^IISK^XYoP>n&2~r#Qmk-TD_%9K@6JVjx=}N z!0fj|H_05CFilr4c3b#6}|4?3NvN@z`K9`7v9wd=FP99 zQ3p7CH%n%rvJ@dQJjIYGhY}bagdtP5K+T+x?A1nujm4Bvm^({0S)^&=gyg(Ncrc}w ze832SaU&QVrWQ(Ht5N17k~bS6@Z!j{;myF}g2y^dO?A--Yj1NHt_MpI!sWHc&A?Ly zBd;A%{7Nn|Nh> zS00b6BM8gyf`XBx)qw)D<@sri9_pyKPnfJQ7BGUPm zlGzXwRAYr=`V~=V8$Uhrib(pNmtS_OOO+bY%~U6YPULsP6V9cL-uH?G;A?54umAgB z^j*L5>#HrTj!Dxlikp&!C>WGxFbXA$M1Yk;MY}M<;`s!SeGTV$Lny{u31OVj7sfF3 z6Tv77FPl2_UX}Wa!Hs;9@Nz-s=r54~Dk@4TzKbli8WGV-pysgbUKNdx-N+<(24rqWdvd$`-tHT?`yTJTG!4N5Ll7w{k)Ved^JpL4L6DR@jL>TGePjINA7lJ;?m6e$d+mKrch9CSd(E@P{O4TrF`nP}#vm&x zZ+#UUA|>ThVS*LlbKJ2zNMU|LEy`#BVQH1D2%jTp-4F%|AW$VwQdFvs0>_y8xmLRE zS5zv~aj$i)K6s9+7yoo?s>@VW`*-f5c}%)!%F}+T3cDj;EhY6zCdnS9dr8^$C!cCo zucVUdzJtlVs}p#S#GRgYi&`@(o;UV)US#pMn?fp#S+*>A&XjZscaWjAa0ecJ zVs)6=#YcK)nh;2^Y+eGyCK7rEPI%3etMGDTY;*V)1;M;IA%vmrHVts9dof}88%9X) z{FaNK|2Mn_qc3k)t#3$P2_-znG*HLvg5kNv+a!>z7?}DDk78MxPy60Vb zxC^3M2e!9xcA^iVRc_(VqoyJ7J!(NrNp19HQtSH#5zQQ9x+5SXD^^BGrY^T=3zQlR zhz8iFktH2mjam$*T1j08L-M-p1yQYo<_!W+*4sV7j?J1;t)u0G!@3}e*sr~oNv#8h z%d{Ag#qF@yYb$HfoR>}NiK@<3Wd-aJu2+?-qx7t1QdM{P;M1h^AW+@q!%+3a6|R4} zmZEMIbWqeYRq6SuN`s;f?=>{DKsEH+U9S4~C+TmZndiW7rcZe8`DX-SQ=uKS#e%)5 z8ek+g=MDwip&t5<6f#i0Ds>bSBvm+U5U_O!l{8jzLCK-Y`bco^KmjO%YYsD~ zS#v>p2)5#Gj~Gz|v2?qljg0-9w|9trzns(S(`>mYTn0%~_nt>U3uBe6-K zO$SOf{9p(qD79>R6%!W>VM$$1FOPvzUh||hN7xH}A6vlhnrGE+VqZMrr&kTj@^0{w zvGg(Qj|Ltwr`*|+tX65{7SVN?5iI6XozL_7gFpLAAN5YJUMu3uJgTF9V{k+=%}($GwAns!?eAXWzdYzJJhZ6vKo%Wi^$!@p_RO|ZCV$fO^Eb!k?l zT{l5EXiA`j2d`vO%2#kBY1T~;91=|85X_2M+|7#gyi5?RUOM;_Jus}WrynDpmq{M+ zV>OG9e2Q9iKel*YCJ9*T)pNqd^D@bSLE)qJ-|hX?xKlBjE|{S^#ll-I#F8gwg6*%0 z^`}hKOvBoj51wdobM2pOMf>aD^nIdK$Z}iQV}DT@Z-F*5Su>4xKqfUtTvK?}rYo1V z+jOz<_DJ8y7O1bQO=pV`-lp34G9mLU>65bFh=ri&qp%RO5uahGrEe+aNF=p#H|dja z{da!zhkmc~H|hIgEuFeWQvW3ZW9&c1d`dx1m9I+r!~~C^L_&y6Pk)Ub4aN{I=tdlo zgic(5Ao49RkV(*uIHnmuK!`~WK)|*ux)BFpa)Vk7O)l&xS(4L=Zp0zD+-QF)j6H}G zv!EMs4pLZy--#d6q-qJ2Z}LZ=@<`s+d_PrxhD>xWkxi9gfJ((@hA!- zXf$k3Y3Gmq{S%a@ggNEO9#Nj1FlWN@qMN5J-=~{@&nN!iS3T9bmu-#+)dwxQ!Obe= z5;Zo9tVo$){|V&-Ag3bR|3mnY8q+G^kXI~D0z?h3d;x8DXX|q z2(wKWRMD&e2EGmp_vKksS@pO0##MU`?57}~DA83!5mh)7gr*A483;n}ET1w}(Udo3 zM340;n*Zm6f9XSYCn={dH|WY})dD>hZtbf74g|h}Y`Wp))_MxOa|{0y?@w z^BIx4-g>e`yx2r`F$fF2;Y;S)Qea2*XoudSNjPdymTJ=rNN=?K z=S!yc7~Ihk`ED^`uDL)dMg}Q8lLH!|=W9Ny9;^4I>M69Y1`XD)5LIhk9lmmDU9}8X znN{QwBL_F=`tQ)(2~kUkoCUR&BWH##b$=Q8;ust_e5Vo9+~#mqw4sC-9X~eL>zd8$ zmhZ}2bE-a_(a$sb`2z#}eDUB<9ly@Q9(`Y0yB^wJF+035GSRO4BvwothYOy}b$Hz| zlGG-#qDQG+G1_$iWfY5YzS#$Bk~c{1yPbxb+g`~kD;XOMN$6zLqg_YXgG9DiR?IMK zes#`$@8L_RBs$Gn$&~xHd9l024!Ny-C9_?(fw8OzcGiq{2XRs1EQiC=k<7QpCdMM_ z%udp%ub{>}a+^=;WYyh_(R^xyRL6Tzcf)#H%hm}YQw-Ls*VE^c$iGoy5Vt!gzX7dH zG~+-nXN-@$?bT=Lk^w>5lHY}`_OWP?nwpWC=7IEuTp$1XfBIdo^ww3ys+wvp$W;%y zFoL8sah9(vBUKtNQ1{ZYG>08XB1NINAm9DE;+C|Oz-oXVv?QuskT=T&x62(BDL@^| zRZ%=HC>bg1`B-c?`GQ>nAlqpUEg2~j#FOk*Th{>4}W z+F=ss?Xlo##s98U%26{9B)sO<)iY{lM04SdKU-O{yaRLi7AVOdH`XH6btAW^wQn

1d1qur~g|1weu_)mp>|S*c~g0RlArfJ!2K zOM>Df6hVwpA|bdyAUm@zW7P`o32A;H2p07A(5Y&*+=3>Zf=nVehmKV%ko>SWkt^<) zzYXU&YAD?VE`m9{$@EMyl@GyoZ6>UQCf2<$$b;Qy>TDhR+b84yMya_t?x|5S3Z?lc zU>rJkaXf@6jOLI@mL0_i-R$6;FOrc)W3Gnsdn$((kJW>a`OgQWk?um9v% zevKo=t}ul|a0>~@is2fBTVzu>agg*NI3k+DL2N`cg@e$DWD0epBdzes$Ou{vu_J21 z;*dg+(uHr%j1=Q>^^lJM3^60c9*r@)TiZA_g?Bx@&d!4Oe)7{_{kcBxPSI)Rp6eD` znRF~I-1DBEC+egsxIyMg{P>kjn1@GOzCz-OI;jdC0d5fY980T@?1FU9O%MnOrm~Qr zLY}M@q)sxy3S9ulWH-? z{ph$`q5Xu>PB_nahYt83qCuuad0`vLc#G;(Z2$_6oukKlv|Ai>`ZuN|6`prH`bn{t z*%%FuyiY>63j!}q!A+EUx;r>uJn#tysxLjM3tPF!g>RP%RgXHgeNE#9hjAzuOoV|n zR47Ug6Lwgfmg!TXxv)RR9o{K-49*t{q|VR;B`F@~@PlP$bHKgm4Ch)y5{e>^^>qAF zts!9(Of=U;E{6$v)975L8kzFGoR~+Wky*WA^R9P~IlgE{D?CwS$Yo^_gEc}cYMWn) zjxX+yQe2}N!jc7UQ8ARTMllC;K%<)&nFCTb8JDZ=Yh^c4at3kcg!Hsxa(ss%&e8Ej z>PI;*&?8LbG7C!T;Nu*nPGku!aEnSoiQ~r$~Eip{~M)-b5ZSZT1+7>g}b`8O7P$auz)&3L*xYf{0P%0;~E73^dgu5CFTopm#K>^Yo zMC&>qn|n`=OpwzK$EyGI@>GR~O{mk;72Y(rx07+2s_>>Q-)2jw@V@=qe)@xc*puF> zIa{w9+{Wx&g&l!ArhNnEl0@Dhy5D=kcX7Ry=?|}|3r4ScizZa55ToS_emLJ}7ffDT z^?^5wwZ8Z+n4GrbMti~zfaa%xu6C50@Lmgp)-rdwQSchia1TiaG(bVbrU3ftU!@bd6zh* zd{yF@D!5-0U`)yknCnJR%(_W#L4zpiDl}jfIzV4CwHR&pYi1LLu!9|#3DljT$VieE zc(XV@Su#SA2S*Bt|60#;aHdeaKf^PH;td*@DKy!s2qwO%iKum+o~%;&6LEFAQ-9(r zIwn^+CsSmyyE7cJ%|o+K%aI{O#Ov)L8-4TOV+i|l01)tNdp++fH}k0gg0lOm{>RjC zZrbv#?PNIjQxE_6tDYDwlAs+^b3^+jaJo78N<|X1Z3z7mN)~LgOi@}4=Rk)}CLmTS zz#rcT#&8bp?<7lNkpv*&MlgnRg;yWYTrkbGA!iKdLXl*LibX!h7|tD6$gE35g#th; z7{fX64JfTXR2$o0)2~k99Hz{b9{rvRnTjNc=GKD7MqP^Cym`$O&h6Ps%Ww{jCG8K- z;T+hXa4jV#)~O?j-mf9zcFACpl9;8`9zEV4lrxZsW6axEqKP-?uv;trZtt4u4uM}# zf6-=DkZ|S1U~uK#we#GPxPeDMNYo#%(x@$=5>`d-j03ve&G5;;UtRj>(et`T-)LAp zh8d5tRhndUuiIcF(Bt$+t%lRKzd%VL5{fKP4C01!YLey(VJ>uN${I?)X^a}h3#=*_ z0OrIv&oav{MV)+<;{M`N`2I3S&5zG2%pvX1H#_d1O#roeih9xQo1*R!#(il9c8j7Z z$C?DhCf?sWi(02zjq62Rv>N~4H~f>|^C@2S@VC4_E_bcszIV_=q_N``_w{eT(BOcS$T?#??UO|kDAu7zxVDR zFH$}qZU_7)&!>w;46h<=RDy%Tn@tHPv0+(0d2elo4fO{Yu5dg)8F7DZ`@7nyUq}Kg z?$75S?$7P8Kh77tw6$Q$`bAPbVzJ`>S@no_SrwUHoT@pn2}OKe%@O83-A281Z23Na z^GDzKe|`UFc=@QZY&zJFv3=x3e1F5=cjxH2OvhW7-z&pI_N&JgK7>JOnHXo z1_W_X2uKgGe2{`;c^(Rx<#umWn(IBOjgz3*922Zenrj@q5tJvS2s-KIMu>>hit;Gf zik0(n1Mo+)Vu(SIw}*qo%Y&2cwkJm_698?$Je?&)~hDU zqt!CHD;%NnA&yg&e|p%LzIQ$3pW2oEo4!w!4*H9a1Xx^Px#TaXKaf_*Z!|Q*utsXz z+3@5i@1>LE&)y3(M9P^X2Y!+YY27ubG=SWL3KRDvsGzR9f*Nm7Vep2A-971+ccn$M zA2cFg(GX8dMd5TER^H@OW9B($e|I_iX}74g19Q&)JURPMd*wI1@!?p`e!m1cs+dS% z6I&A*ZRuqC5cGv!%?griDJWDW$pb)aCwHA}=@5rUw52d(S5tUITZ#$C2s!B2_b_-w zTe=-2@QAh)`iUcWG-s(Z!^xrnHR?n`j=+1zT+>meWBR%b!6=foMecD;=h zWYT>wlN~eNLX+lNe6;J5vk7_f@JdS)Ix;$zCnr^Q*qo4|U@hLV?x(r{6PBk96^HU1j&OoM2IhU9dJuFL&Q5tVWcodv6o!&*b&UC zcR|M=@y>ePIdr&BoTp-e5pwp;p`+@pjEp44ZUdvJdMhI%(m$PH1X1-mJ``2ZHr|mq zxae1=^b3*{h|+wLqsmV;&k!S0P-p!tij6|MTJy;_m;I?-Q>XT~SyVRdJNw%w+mlo+Ozs1mzt`Z=`KO!FU+2GOCCXe}z# zMedqqB{tv|W+k>`0vXO;53gHNVuQ49(SJOwZYIP&?V}0hI>c$Y0SjYxu%ajCL!2t3n`@Hji4A6+qbKImo}*mx07#11@4rR z)s6Gbk25#+ebQaS@Si-b_L_d)oUfkq)gKsi*T43)Z~oW^W2V}3AtPffKypoXGo(0R z(_6zDO|?VEw=0-ALUG)tM^kwP7cN7ECzt}F-J4E0XNh~Iu{;Lk|o=^A{<*; zDQv|84aJH;S+$sTmM5y9o+DNSnMB)pz@XR!kE;c1E$qGCW6o1PG%alvTnKSG1=oeb zw0u>%xlC}2Rt81OBNfng9!OAZl2B(l2I{!(PPtF0e)udl<{q8#%~?uNY-?^&@P&5+ zAmiqUBxwFUQW-W$W+n3VQ3pQV3D#%`b>KKIl+Bda#N*-^K!@@g+9jIcGzQSS zI^Ai}9q$-X2R_|tVS`ir&@tCtaa>zAnl*=x0d&Q20e1?lz(4?93wFwBk|OP#>#hYm zFstO4eAKX3TUtM;J$wM#*77M1`*`@^(zs6^IQt1cUJ9R7uW5boFd0{DhPky^Fa_7# zeq@7~0A=&X73{7{b08~D(4rNLy@ zc}$U(uc@gEP+yWAlz_htr(Ne?6jDMLU>#C^f-P_~xwv)R=k&5ZrZ)meV<`^PBA?)y8NtxLmPh)#r3%#RXzxCz<&w8jt zt@+Z1O4NFHfk!;-fKJKOIRSxohuCVc20LYRWY^n^b*f}RAnz~lSnXWcEH2iu(RIDQ z*kFBc9)m#IU$(u~VT1FHofGTh#U^!5Kp^j*J120#;?I$6nRia;)v{)=*F3pN$uw-C zXSF8Nu!(D3H?hkCu`pDo_( zm|S&x`+Vl)aq9;B^v*G^+O;~|+?6i(y+Kd=xy$HY<}j0vJ^fc=kT$542`{4FERm&<}e zaY1_KRdBh~neRvVJeoapK`L1j1PGdL6f#*`;aLj5dXjshsho3-0-`_8|I`WJmg#xb z3Gw_FNvKWO{x*KNY}$ABw@=3ZjZ&yTnFmt-LJ`;A!+H8a;#~k8VzQsI?e$)zE!Fq6GTnmh;joI#`3tz3GLUvF6R8v zstuY|5!{y{WBy~Pf^0>n-C1L5Xp>Cm17?EGy0gao)kaXBHIsDanVVCisxB%-X4DW> zv8e8w)LmT^+QX>bG|+PG*0rVqOV|8KD!_*BRLJXiE>}J|KtDGUwrjvdSkT?!nHhm8H*c+ ze*;!lTyf*6Yf zml}js%5jd|pbLsOZ-Pr?R>kISx3rK+P`r5)JnlIrg2ovmxEn$7=8IsNJ5Fvd{G3LR zrqnJE3fOQ5up;vDrSII&QB0{xf^Y@3aLp5OeoZ<_@#wme-~!Z~Xjqf!43mU2Lf3$h zg^h(V`U`N)rF?FZdkk`u+%3kQV+ut)`e=BfHn*?0kTz3oqviX1CbX$Q2P>zmB57Ja zIPTo-u75J?Y=8Y5|D+4;ZNsHd9ikR{)$K1(b8~0)X?%CL!*X7p z=__=x=i(Zxk+Mqtc|NLYd$~rIr)V@vRH$F|im&|pKi;y8nnG1uhj(|krQZ*}I1VnB zH?e$`ViS1lkW;=ms7X~AE=YxHE24tJnQh2=LdUd%9-AP*T(UtMg8Suyb9bPEd_|8< zAh8mqnq=X`QlQ^Ldt-lMxtfGn&XhtU)>T*S~DKN z1$a11CMytF@O98>$M+$Oe8}mYE#=7_dGY6fVLIvq#fS;sD5R$9=4rR6)y*^B_B?po z-|}C4*>8Nob8l*g&AyqZSt|PQ+A&NgdzvNvZ_YSqj>I9}BMH^IxiMfU&N#eW;3Hbk zIRy-h4GFx}y>Abl0*2jz**praODBCfec0d}Fwk2T!6gT7CZ|0H3}BtY_Y}mW12wI_ zVhk8S!~{-P3gSn%AxH`s&>%}_1R=b}Fyc$5fB}g_5{Iw^jUf){ET>!HYT?v2Ixe=_ zOMoJxNmM%-{rd7RUCXIo7zDyi^6&`E#=ur98&9H7#{Z3y!+kIfDXV(;p)js<&M?3g zLEvTuQzK+bUdacLXC4Dri|f}O1E(rsw!DvTr%O>iBe!U_ywjZ{U;-vMN1Ccz{+X|M z*AIEBPTe50yu~S!q*fsqmpRfYYGbO-1aU7(ZO9OGRVaANS0z1s0vJF8~WVu`+@dl#?*x_JgiFOe7y<){REATl4^{N+OMHqE01{Ji! zh4jLIOb8PYkZowow^-2tgf1wS*M9Z^EQDh9cOVv48yzGGZK{$04VOT9S6q`I$yA+5 z;`f5k5I-DoNlEGj$#{1Fog^F-lHd#L96bj9wI^o3l9?FkhD+|nj*i=d)5Dtk1xItl zt2yc+s6S9R6)!VFLBDffLAR$T0i!AB=jtew4>3{KFN@G!Wp`0l&!aCD=*~gkr{XYJ zZO>AF_P59W3wL6482D_4u(riof_(8L(qYABy2Aldiqi%a3e7{>}sNKNBh|FQz5h1s^2o{6FDu@lN_7!=D?a+fq0O{eGv1zhF~OEwOk_CEbbt2DAk&zFdRQ%mNuI3LRbw_uSkLLXQU-kLJ5&W6%b*I@`Q|R`0R_w3E=%TYLc6%CcNwJsZM`Dsr!gi`+pSFA( z@=&pV+CP2bEg$2FGWEhtu~(lA!$nIW2vd1PBe+Kx9m2s0L(v}$?mC9GH7ina*rC0& z)H8}GyX$MsR&AkbT3`Lbbd}~Os4~bA3{ltbL)&&3XSQI1f)QeFpBjh z`R2DVjGLpAbbu9=07M$-(@#(C`ry1Kq3^akIWVvZ2!MEp&|?S=0{-?T?`d;A<1GS@ zGs%*9l|Wk8O6GgC;M{fwHE`(~NtwQ3=ZV%b9YYT@*tzloST#xHM-{`pUu_DQ!>^|_ zbC@K?!;sI9BJE2oH{Vzq~hO7!T?XJ z%C-j$Xvf0K5e~R#7eP4SNWWIwpoOt^@M7cs49!E7)-))gNeH&kkH1F$({O_q^|hbB zi~$Ur)V-m4iGH!Fi|^gXR^W_pH*smHF;1rGD=*1y*~V$h_u0mO_K`pR$=~u}wYyvM zie?*i3m0p>U^fMdNC-VzL>I5M2_E*KEfGPC-OQzr7Ij916qQ9!wTbt~R)p12vka2d zV#&%^NRH7qn&4r>epx;j$Yg1V;)2w?CI|pGQD+c5EIVK_z6ezi{W20wpntaXwEK$G zyCzr@RDfv|BkF>wh=df8YJJqDN*om=X&Y@#U_v;wl@%qeafRDN< zH#MlU;{rev`Q?D&w*@(|jdpZ$?ntH)H?(sf#WtEG>@uo_JWu4u)PV@+8E?>b!wDvk z#Nb+T;6SAW$#{p#G@WZFM}M4$@|qJccco+q z@D_0*IxWq}B+p`K$mTjc$rFQm>8>EM0(Fc=Yvo|@LShb(h!4#HqKmA}YQ`Kb{2C4w zL$VMWs}&SQRx+wMF`7+Ka-g7=vx={O9o-fC)!Y3Vv+70A;zU13Dyu4psp&X0S6rc} zM?-9algw+ig!O|Ov?ejxHLT@dPKwLq7|s8S7MP!#uj^?=xjq^HH%bm8Q}9A#9&?Sk zkaNKJ;9xx^T@j3tLRZ2L(C?|xBVJVAAzH5vvgOnP!R-_=DICQU<|7f0FONvA48ekd zG&P(*tSQdVIVw8t0@~|?^%X>v8)LmZW<5FV6t?;FFseMKBg(gvVw~;c-Py-!w`jJH zw}VXir2p;T{I9@VJfSSz?Vto9^Pp`*AgwEzC> z#99G3;g;~yC<(W&EvUp=!39!f%muMjE}X!*{tzB8c!!v#XE;b&W zlCb3MqZ=Obi~SO00`h*LKeTN=65C#WAUNTKKA2SnFcmaw##+I}VX-Et3cm=T>h@KP zwStSo?#NmJ*4%(jpBYfi({p?bu2N9dvO3x1IvEzzEqndQd{RN-SaV=*R%( zUo`3f%R>r2x#1TF0_DXK^19B8gMYObhaXFiBtM#S+&dR`nH z@47F}5S?9{w?S%TFz>>2e)O%8s97gEGYBMCRkq!?M0tRz>FS%K8i8jpxT+Ay`*S<& zkDPu?RIyxG#Wa*nfAiiFA4!aK5GAzoB7|$Cp63 zUcZgd--Y9EFE%OB2Z6l5#K(32wM4;nQ;S(O)BrgicD7B>*#&)h?>@HhaMrvXHo?qS zH?hh3?3{Y8!CfbWg76-SOzTB^i)CP3>cHE3wC)Al=7?+Nb@*C zJKZ_PRlCk~c7-FWbH)6wFqY}wy}`S}U1mDFg;4PcIUiv+?iV+BjbTX#}+qUkLYxA5`S zCDQOi$K2il)intq-~*OcIujiAFRGt>1+-h7S@o37!^n<#Q{rD$oYl zv+rsv@#jR<7D*MRl(DGUCN59WxIA^hr@!S#-uT-cj-W9}%O{8FB#d3?^GRVI-lGaq zS$OcU#H+t#&nBFk-JUcnNzh{lIGbp^k!-{G44DNg=Uj1QhRkubL23nlCAvlzh+ER3 zJ-EX;0)Px<0f%$5;kg7#zjZ`5J*|A?HOL}Kbl3Z|DYnF_62X~Ko7Ae!PsFEe)?b#w; z!+FXt0=ln}^w17RUmUbhgG&JLMwFbSdPRk)dYuBkR!NKB$VguPr4y*>zn_Z5ZZ)&4 z(ih21jN^3w%$#RR8P5&=_f*Y=%pmOZg0P-2KUI0p zMUcBIf=s(btpS`t0_OntA2otQ;61tn3`gB1$5mgXBR-Fi-AJvI)LB%wd>r?2!VVu!a)&3eK z#xO~QS^_)(eQ$9$Y|T;QtoGB}UUj>m!!aXPw^s#-cg37o4^V=g@8i5vJK2xxYi)9~ zsBBJ=@%=`lB}E&A2t=6gLWZsr!OXlEF~h5u$8v!8v3actu;pPr)$mTR>k>6hTfQ|K zjGF%Xue@{l(yer^mKv?4FBHUWnG?>!F1eU}Cl?blC#YLdkhz#8f}MysQV_ST=wrls zT3jV6t}U+X(v^=2_7Ez{hkh*)4mF3S!m~qf(by_QHYo4XkmJ8v6rM{0lg(%ZFsnlF z7bID$Fv&Vd4E_GyVNQ&iOtMZABZ+_(ufm#Audoi=I!bK2D$wj8nG#!dlo(WWzD8$L zw^iH82UWr`9HMGdWooezt6f33L`1r08q#F^L`4_h_JF1~{IReIetMh#IgI3Qh@Fc4 zGD!HGgqxDUWv}~7KFm)?(_5~6r9Sw6l(YK$Z~n^v?u*8>?_fGQzTboC==gd&con2* zt;$H+w}Mxp0$cEE%v)FR>JEJ(t*(sPw}MxJGAR8(u7f09X({sxUe)mn0c!gC*JbT% z;8i4|+H=g>x1v`o4YeOzQHSN=d;FE`)(*T0ZK*(W#CDpCT_LRj4j5UZbee*>DTjb- z4{QT-Rv3H7@s(LJ-ku{efRX_0N{(t@YR#%yRsXq%8it4ENFL$aBQQv)K~ml~#=5K5`s|yJS(> zE%kR23P)(ST_12-6O0B8xY!mx6uUl?^qT4)Z}S#yKpmi8lnB1Ur*d(#C@<@`8+Roe zT~iMhRn&E5e7|uO)>JSNfubZCJSs6V!K3Q=%{HoWu3#sYjw(JQMC|_nmwO9^|wXL@7S!NxBvA$@gl90yBOdq9eK`RJl z18&rs%n^A-x{xMVI8SisJt3Z1kSeSS>N#RX0K8j4sxT8gt`?yBW%2Jw6_r=yvsndo z95Vz#65LiZslrTfi;-Zc;W7`oXQZFYiF{m55?z|?DaVpvJ!~&zQ}z_>E7rth5ls@8 zMW_~I*^}REzLXDHdvrd&cJxXU2tX^RB8KxERiL8(I@nYFSI>Rww!=eoyA8`-eaR;k zdQ{=K9R0GtFlmvv{e?$3PyRS9q8Es|Yi9+lctj2W>-9-30{HE`D3bERjA-jVkMLdZ z`GkM{bw2syfc)e3DO0Dq1*pIppaxN=NWQ7QC|?y6i3y?`q);(nXiqChb!vj38b|C( z1&ze0>HuGn>a+?vJvlmXLdDBZsjs!TfTuf27=vM%VIKb7^+@lN9y4Z?)87c?sf-V^QT{QSZzz&ZnS>IW zRY=vgs7FD&uJ$>ziTl5MQYM57Z9Jh% z#8h*y2ccs9&P%AL0S0rm+i zsGMnmEO8HOHVuIZf_?kOq}f3!HK6VAO{tYpso8(>t^Ys!XZ!2l_@}C&OsM>Wwb^#N z)34oPdv$mOtCvC^g@*;b)Mu`j&h2IWDUa>Qt9@U!i&GCl6wg0{!7!7-e#?HsU z3c1$<90a7^I8vkumttIn9yP6cJ;w9tNoJ&ldq9oah&zV8J513M))k`b+xR$zy&zJ; zOla1q5W{yQSu8DnEB$SHPS6iE%O*&TI#&g5DKvF8@1OKE`vzX=sq78Gu#b$vzCTYMn5b4ko8m?VtW|yU z;43-@F&38q$F;MBF}|5n0Qg>yNMB{}EkNL6lvhzN8b3aG5Qa{(Q007bo75v>Kf zDPTfXmHU#C!L&6?Pa0{ltf3-EMi1Sm60SM6IsTqer&g6yA+XYoWk~>*zYkaL(yGEL zV1kEj($7_ve2Pl9>g+~Fk9ca5Z_3JkpmF`#azY5kKf1bn9)mi84ghI`ySj9JPw^Fz z{K`E6rvj>O+7lq86@LDte^0*p2%EdKPQfIbvp9-7Vgf!iEmv8{iAB zDjr>#_?sJ@@4C@>>=w1*#9WCyPowj1{@Z`=+y0Q_T-1LtJ8oV-icBQ=K%}(+%}En! zMW=sDmJ|^@U_4YK7=8ZzmNw_aN+b|Cv{L3{HN#tW`w14$Fj!Lv+;sm>81n7hr>=bw*QP>1FYAOd;8R2Lz%@E=zk%kc=VO z9<7Fw{l+El)&gnA?f2k9b7~F4yh9JJ&VAjw78XNBp*q zWD3cS3sU0pA}z{Lw{UbiT6ImM%sd{D;%KhBG`b>3R@B$5q^e*8 z?T*cf_Xun2+&kPOaNNhcem!reIxFhkG@+woWC%m*kqaG1~%kI$KAL{gLT=3;&CwJR_`;(G_UkIXa^{CfB~ ze5q^l?=?>fMX9|@_pt@Mdi95JEhSpIqv3DCEoUM0$Nt>R zJ7kqUpK}bQsCKA)Rf-BG2s9?Srl3KV-Fd&XLsig=np@%?=jdaOk?>PHC7v|NTCT;Ak*5EARBzVsO?8h)i2Ope&J`>h z`em<<H9>9kY9tIpdP?GEPo08kog|2 z9uUHea0a#sIp9D~A^AgtOzOF215n~blyOu+pUHMmK#c;2r9G+P2095LoBv>fHBRX{ z#|6Vf@A);pr$Ha;Y%qP)VQp}AkzuLvA^13u^Ef%bRvz;ah1DsQ%<;XMpA_!8IR#4&(6Wrr}JTzjTj-Z4eMX7->K)nFTdIG)atpz^{Q9 z49KtczWI`3m&+y;EgSo2>vyOsW&D7x)iv2TD8>`Sh3nCpBTSL;T__z)wV7!9i@POgr*i!+cHje& zfEv|CdT{AU5hG=i+bwGgeD2r0Z;fPlo-ejSu)!2T?d!4Ig`hb(`qv+dxsE4L#NB|!EF^RWBeA?mgX#;8$43YynHZJw10d+gY z0|9kv#2TC8f%A6l)8y;F(O1A_$_9pV`7KZdx)Tvfgh%%?qjP8gZ7r2Cd|zg zDcs-4ZLtHT)I}Y^P`jhw>itRCf<7kTx2m#^J9WJ+tfmKU+2 zFPcUuu>hazPp^6U0rYxnP|gdG%&R+A0$~ZZVFH))&3{1qtOV(o~t? z{;&Ya9#>p4RZdgog5rOfB$!<|364uHJJ+YFvm@oqHIWS}&R=(}d!?gK zGise?{puB|E9G7K(Jhkid_)vd(c%#4E`2@v?l1W#l`bjfvhlolXu7;1O3T&>1bcpr z;+@&c(f&muWiGV8-xk`F{*=bfj%KDtwD;9jXlepACEg|hs6apWW4`;B-aD39Mi50R z5e#sF@2^_S^PytoN{V&A+>^Qpf)cS&mAIgoKNGZ)DNsWNeCdKTP-P2a5fBkj<$wyQ zs5=*oiRFWmMd8qx`lk-J3&zAUSgMGNg=#|WPJMV6jEQAH^mV`l!yDpeG4>7=;z4QQKZkqF7cW4ud(i zjG^WW)%1>WOR5y0J+0j>mb;rSv}EF0%hr0der~iLqu%!VN?|%0bv}_HkJYI>BVxPL z%5$6P6l6{~;Sppq!Nw7p&4yj#>7WPrNZwOya`?fL+VaGkB=EzgTpmk6k^KDz*yWHm z>Wz6iwdvDBssV0C+feF=+p?n3c zOJ!<;+vSb}8<3J+VKBNx3@#`o6yus1!ejE@*ouR2Oevt)ibH5jshB@ z_jfo5-@U4sM|MVqp3W}!f8bjIswW`)-HNk0bGqBTQwSQP!VW{kl-xxRO^yaC)Ut(i z$`WM-D1klZaklMe?w!IXa7G1Vu<{k%JLNfo(VQ!yiJ1dNLrVT!YNhHIbG_sr{QucM z+h702KdG+igIM31_Ao7Ce`iL8)4Jp&Z&_=&5|&gD;eBl0C8NR&6TCZ^V9_%p%DvUT zbAIJK`IW!+T_68RuRdRuWvFRCv;`hoFe^4-dN)m-w2>9ANG~D8 zD$8K~B34-j%NMcAQjlL)R4*73)RR0z^+JrC+P5al5x(g!ExUaTqVEgEig|>_X^4JF zz=ga9z06=tuQ@_vS|+^XhR??I?u_ZQTQnQfr{Da{#bOeH_tL}+P}W29%{mt$3;*wb z@*Q9O(T=%S&&Kj=7L#HAF(W8ozBZ^ArU)vIazV~#hj>s0k-}*NV>n2jO<)_=PhfW6 zKF4G^9K`%4LVro?(hV?EIEWxnpiclxut_pRdCCrLKc@Bt;Lshm7B5!d#~3aRsP{5B zwXA`VmB!RotdS@%`UN!Lf}vOeg|^xVg2uL`3yhX^)7FL_hrcCM$lJOqx7fS`&BdySZ z1PX-Qj=l%TQ0@_aSfN6K{!6ZCE0~{_3KDYLpL=r%M+; zmBB{$>f;1!T(V}9XVjgK7!s5$ctjdQ)Hg<`U8JVrxDPger=b%oK4!6EB2IRV%U9?v zOcfWvKoV3M0(pONsq6miy^cdKfJ{dvG*oOxdav0|jhwdkZXZvBn&X1uaP@SwzEmqd z*rawmxf`)O(<3qL>={iryO@Y^-nkjmZqe)+O}|B_ct+p&5g+j<{xh#Us+($_kwwR) z4IV(r4O^6`D9Tp_#$kehxD!$U!QHk)ClJ#NVfZ}9;^h@%n&Gg-bYEGqvbOl4MFSS3 z;%<#G!E`#n{KnxGR9^ZO&M~GLj>iKylc3iAO6F?b4)Q;8Zo9sTLgQ2^*vj=UKgS&yKo8#F&&YVUaQNZ zVs-@k238@dh&;!_0qh&;z)r>^D(0I11a`Ueq zRq+e^PgUy)0C-nu{;8@@u39#3xlO4DH8-~@jRlSJj@O_`ZMbjpeXZH$!Ut-Pb;}1z z#9rtCy)HdyS^n|Ur+?%}f5-DbI;Ky(YiYCWsL7~Ly=!T=DJej^uvwoDYUrp>y%`CN zkXc(~eTqIDRaHJH*$*A{>7dS!`gBn7MtwS{c#}T$${UJqCAlY#aPW)e@|a)T;|PPb%pBo!@#a6aiv6Qs`IEo&`yJ}1=h@9*SUc*N zVy{4n9*;U|jzN7L6~~~yjv0(WeH|6Mx1+95E^1uwsMu>eDw;w%iHW4xYddO>GoKXu zpf{fs`>+X+Y_DZ7D)^$$1VqI?Yy#r#88!jw{tTLcbc3!o0jL7SJ9O9pt1sci0tKPw z4vBIruM<+*j5j3kST`B7(ICOhN-zfv@I)k9o1(kjv;^Y^z1)^=+3VRB-K)^(mJJOx z8iUttGBOF-7eja2Ul1A!G%+ZBDak~oO(PMFhSX?$2biUknpU9Y6I%|g&M8{{rX?J( z>1Hd3j7Vx461v^^gGC3pl@vsjZ_4E{D?>J7X^rFj0P@KQb&z?UWYewRfFf z7Zk21)~P@oHjaO+CwKBV<~NP)f6f||1sId2)P>he)pa9PPQ>1YD4y! zlH1Zt<4YzR18yEt;2=+pLESk|4UL>^%n=RtB8ed`9gUo94En5uEr*l`t#wUV@+}D6 zq#+bB4(RG*5o5CM7KK;Y0+*$-UH-FFp72bIwY^eV_Gxg`lGD`}}j4Z^d#?zbMdpY(04E3t#xM7R2Q{ zg8=5Q6sJ)4>%j-|6sN!$6h-)P|Hr%k>Uc?Js05zu%upRVdI6~<)0(d61r+*H*v-wh zQx}O|0N90#fkjECT`_tA)xPc)B*%>w?)9&WUI3W)i+Me+Fli(UMlWEvuALzHgLUmx zk{PUPM=#(eb#0n4F=}c~&smb`7-%=1{z-*S1-O}sw!gk!-=}_49W!@xN#?Z7;armW z!l%Ea*oL>f^is74EOCGPAN|xH{8%r^C=jemA+x8857yZgI$Rq*6Y5jlZAqdzlC&YM zyAZ}X2}jWt`xJA5)TbthOrR!b4r3HDHtu(nLIwaJByqr`vKH>MbZF{RJ2X@|YQ`dn zFueIO)Tbu6!`NV{9Un0y6Z$l*NPTL8d(XLg< zb@D91b_cDW4lYrZNp6oD5=f9_ho=!GM-ZuKkhD z)e7}0)o82oZ=Z}FZIBdZ)}@|bBgFZXD$JlRz0Zm@fOXe|2#>M0(7TQL>)wQ%mLP!2 zt5Ox;z2pcF@oaw9tN!`hU+@l4U8q%$yTyT13&1? zXi|^H*>yNzs8O>b-Oc*)uShV(LxY#5Jv7}-69l2}LNxOMnIE03P`)bAOcTV!DDn?e zj{^SK96G8;%%A7@M1Vavn}(1oHmyY7EKqU{t4Gj#;Usx%P%<>fmZX!NWx3=~dY~80 zlA}sbb?uGMkFTCp)U$uH^ zEHJ@TLX1bE`NNQv)~dz8_JB~-DP``1C#9vkS>j2fGTTDP_(>2p2Cju&@hB; z7!fTmNVv5r0{%Hi_$E(21}4WfO&JX;2TnDD(efVAmLQdF_!Fc;N-M^|1f1H%mZKvQ z1*9aH0}~lyL(9t$rIn0<$q`pjia5yQu(33+N!4jK`f%7|3L}3Hu4L;;G~AXuaO7#Q z!;ad#W^{}Y>}YEzMjF7MiIOMV{Te~2wJy}wy5X>1-Hw&|@-Llni_t--Yd0Z^?t2^5 zOR{p~1z4G<{EczXT<&=s%01Ie%p-_<{=hH%i=X}xk9)K%)dIR4SKBiUAg0+JRn@~& z!`Hi%y`BhZ_GO2sCa2j4xcgI@eTce0rP+s=`(v8D0&t;=I>h}c&2BWwTQuZO>wExW zsx+6ED}vxG72Cb@VY??=acbm9#dgQaq7VT+9VACJWz}A)uFjce=hC_=5KHwqLt3(^ z%)9A)h$?bUv!5|F^jLR3%u&_dMO8(y%~91ODW8A+=e_GE|GWdWi~j*Dy#T9j+ca2R|v^BNWe+UYw(0 z)%Wt>o=AA`AStcT9zaJ05Srv9KS|>*?I&%+ktb>v2GU zcO%W2gy)A}rk?MMaGdn}noajC?W%9Do6qn}FC}EjwXX3`@c3p*jLNuL2qH_$-H| z!V*UG7eNO3&?ziMa!e{+Ko%6nH(xP^rQk3pD;-%tR)urT1yfk6RAx9dvVN+^Trh>D zYt$5FMa;)*&r!@*+3$%1kQ8DT*t(yWoTM7P+*M~)FGY=+POR}?SGP@9fkpdG;~{GT zP1%eGuV>UJSfzoa9!Rate5|K6hHBcv3vBc-Yk>`MBNd)K%J;Fg3U7#rz{&Y*cQU!nR&&i;c9&ZWQfC^lwj~Egh=ZS+ey@L zTd-|v@5*Mm%!eM;TcS;DHnDCr{d}SY^qX;Hz!cr0Yn7Tn*|?=_*MQc-!-$ZfQU~J2 zTBa(wyrrQ^j<8@Exdvf5z+DkK`y_72J1`orRxEpbPVLBFp&|!ax8p8Pt`nfKrm!~%BVH3^q zfhMU{V)fhI6ciH`k?Fuwb=I8Rnv+`}7`-9C>Fd(b6%wwQr{WGz`;7uSj6B+Z+Jy&4-S;lgbCpB6llD zHE2JEm34^@&?Q;MZ2OOinG@(Sg(&ZU%T}k~1*sTq#XT?uxI8k#h-l(;c~WuAhY)JWaU{&;t|2+taGXOSA}dO@mO=$$;~I_sgp#h zx&eo4PBT>o5X9+lWF)o_DMi&iNzJUcR~98;AWq=8k;-qHs;Y(l`N}2E!(Q8N#$7&^%F?uXc=?B*)-ElH^K#ldo;f z^xSZw@MEY_|J@(^)9-wTXRGy%zPfn?{kzbV3H#_O70n|+uxghHx=4_sNrJ9YZ(}_B z;EZ(lM`No;&xmwasSq5RknSoK9fgAf(ix)bWxd&ebX66FFWVi-Znj!ANA??CW5}o0 ztJ7VqRwp9mpGtek!#+4@vuN+ieKNXqe=|sr2Agx$XUtXKXEvIFCAfy40=wem%uacP zN4&NhI)!81b$w3ZuiJj(m;S6fg_`NLh}u%Zo{b)xOt2zgQVMezq9bmH=Z?iv6f!#J zTx}E-KKk$0 zdP+GuN_WiHQ*yCZS1(A?zLZU%S+=l0{6UM6$pnx)N@^dVl@9T)qR%Aixu^N0oxtu=NeM_p3UdC1wT?zS4Gxy(S;>?Vo=j%^(|3RQrQ$JW1^zE7={` z?ph<3)qW+r!?*{{s@NmgQ0)y<{6w{n2I_&)HSzY4UP9W=*a6X+@2vLEFuJBy=0RsW zGllB#o1_`^FsG7J=w3TZAnalSq4ob=XZL*?*W+!SRO+5VtwGUewKnr~r#iD-9xu$w zh`vym6|JvM2uN3Sf0At{IwCQ(WlmzPydx4{G1P~=s6_+n9nNU zZ{5O^B!miZ(m`fX;27nr0{*Uo&>Z5;qs_C77jQx94HLxoEEHkI^^WuyaME3n-n#))Q2&y>Ho?={gp z`|{`aqJc?_oku${Fd0O-#&qEz$~DK02KWaZmJ(9c%(zVk^(UZ! zbT7n>VXs~3+iLxjK2O8{jncztpgC?lZSu$9d?%(;kxe|^XDF}B>@$RR=cnKH){Dv( z{`A`dk@yt0@Uss;pLUC8pW$|fpMU&c_$Ocdb0_4Q*BuV@n9U3`Aq}eKHZl7QE42Jc zEpm_YT&_$-pJA{`F!~Gt38NUs6}QXI#FXeW>@X5ctT9TACD-8Rn0$uS)@qUD@yh2I zeFo%9ir|8i+OuHt8Bnid!BiR)$y$~Qrpag6f?EwghmtFBtTmEMN|cjEgAai#T4E%% zXkIhjo%Kc;>UWDhG6{`jygh}lO)=G-HRJs`t`C}O;7{wcGsYWK98d9rPMrm;4#Wp! ziE&O#)S4j2o8MZA5jDl|@=Nj=1>4%%%|U2LoSuv=nP%NONsHUhM(@7yTR!I1Kr`3i|} z>J<~*A23CP(G>!nqE}pyir)kQH)h710Nq6?pqb#Ltf&VKE$mjlVMtIvSTx}gL)iPV zfiGOGTaFj0xewk~YR(g*7Haw0uIS(cBuo>lxrDA+J{5^tBrkArciPN|^IZn82B0x$ zZX77`Nzu=n5ycs(YDzDTm%Ie|ak}Ox&*mJuJiejJv|BWXF1Hi9{G$)~`EU4#j_=mo zv!y#rCYrcAg8ESXgym5SR=(=gQ$gV`f(IG0LTfJ-TC#4%g56<{xsf7>;fU&)yC5x? z9U81p2dM$V*)F~ME=UVzf?MqzL1AyT=oDU4J#~TB5~=H0&`p3cRxo_Wc4H$oal)qk1)9HlBu2wS{G#-JHi#v#Idb8$GxGMg`MTMpRG0y2v?7ml4)aq= z)p%lKgWOY_R*9(^oSah3;}2lrO#&vSYQX4N(kf`YZ0l7`d8b@Vgr?n@!s-5is!=pJ zW+OIbs8PKvTC@k_EjmuWykU8V>QNWk4$o~-p}wXa`R3BfJAP`HyJr)99}m?i=Vr6$ zYKxCdN84ZM>Q?p6ut2`y!PWpzo@|YzU|mq@i0y5S+r4f~a$Fd?Pb`$oUPV1c@fqOdl8~wIJgw#*`rZ57dfK zJK4cyX_JgpQS}@*D{`8FtY8Jg+UC%7Fia4HR>XQBZMjAQvk?>r!z2Mo;RG8JC1BKB zD;Wt~V6DM>AP1L8m?;%1_z_zZ(Jr7myh7Bi?V9oK;3n!M7dRTY`Z2va*%=U{S&eD^ zpa$AUxbO@6Pfhe0;vZHE_ttGmOC zRmgQAyxLvE?3Z_A#HL)k0yAy-)?_iB`zx>htnYm31g=TuUvkJGH>be7yn7W~RBaRz z<2i3$U7I%X5GckA-n+V9bq1)!c&@gtf{BY$p`f39#o*ZC1uC7Z+vC1q-CZzL-;j!5 zld6mCmEGAbF`nBUSREHZ&U4R)PVrnJ%V+s{NB)L%k|~}m77mgdAnudoO;uynk){v% z_x(&K&RML-A&WK5lkRA}IoO$loevDQ$|wJ$fA+8bkXv6h<1IVT;KXQmr{RJPO^o(n z2ZEJG2=Nozy%LR(yFXywIs0g7P&{zB3;Z>N3GJah*dgf;YFvzpj>vqrf*$NZaD5=v zKLkN*iC`!Fm=Nrsw!VVN7fF=3TJ|KdzIp>d+IvK5RwOZn6LqG%CbOw7iGkK4iSvc+ z&yw-(++*#c~Y1!JoV$7m0NZ7f-Qwvd}1Wr20GhfOg-4y z7Gvtc&bAa&zn{-<=(Co=AoiKc0b>@w;^#hFmlAH{D!CB_yvB53!V%U(x3F@U-XU-^ZH-E@pP0=PoGsZo{sYAnc9|& z=Ms5Vmdp@$mRX|ZRW0>Dv)hB6TPYzu)VUQC(u18_F(Hj=-qo#BF(F+6Mw~{`>6{{A zuKgMZ81;2d1qEm=``7B6s%Eo(u%J}~z*U$CS4wvMVQXW1b(QylPKFs(c}`R%Omle0 zQKLh)9~JCcqXXuW#phEQc*{HC8c{T^sjM4Myqvat8=#sPyTL;(!GVpNG`Of_!z5>ts&nJi;2w|j70F;55FVTXG|vV`RTsP?glCz5N- zcpj+H4e~%HN{wM6$nY>j%^pDe%UWU|5oEkQ?8%iJB_tan$kQr>szsX#ccz&2%fhgw z8!@3sg|4CzP7#8wzOfFvLqF>l7mWWk8ecZ!_x#)^0pII>sHqG7FO$SZ;^M#Z=MS$2VGh@ExNpO|A4&!5?X zcznqo)Z;5@vv11famYIwWDPMPEV<#u7F1>Z_Wgh*_a}bf+uk`rH6P(piXz2G@uZe`q%zG70%%avAo;DmN`c=T2=MF>prs+v2Xk*u1J93xs(a|T6}i8-dk z_j|Ct%UL4VrHw*P~%3`d|VxL)B;Daw~Q%KHG|7Ql|ATQOLwFzsHB=PMNFG;5CXK?F{$R| zh9LmFW&~5&Ae}*xi6T(-(?j9vYC=9u(JZ>wolH@-v|0NXT{(Cd6FhdFqCD&lEAG+V zNjkd~k9!}+y3R=!cT#-enw1aVz8|=gpZTZX@a6x~D~F|wi*TDiTDQ=#U8mP#f=-L> zr#o2%LCTGomt~4BaSHtv6WrIKv^~TrbSF&^t%ZQYzy;c`wn!o{HZEV`9I3OaAQ}|J zO9XLB=NzfCOb}yZ0fgm>Xe)1<1*o%35Fs>2=#YwK-kJIOJ7Dm%ThuzqBQ&1|0ztETu>oS+JO?zway35vYQD(9+Pgz!)aX^P?l+f^-B-kOM-b zu6jHgf29l35imiNZ`cKiMzF&YEZKQQIs#SD>Z-8W9G036O-G;#g0fAboWo`X7Pl-Y zj(|z-;H;=5vO(>I6i2`$x3KTx2prJgq%K4G3YT2+N+yZ9c&v30=!}tv?a|}iDclxp zfy0e#CFAWupAn(Xz`X2N1Td$cE^Te~=m*k@;^+rZb9a7Gyg`^ZNQQNsC!3SnHPap1 z9hlJL9il%HkA9LH;x0+1(@Bw_ZnTbw{Oe_>)X>I;DrVzW%T}S-)`d@v5p^$&_oyfT zaIXNb#y4soxw+FTiP@on(bbWgJT@}%xb6f5vpprsA3?fTwpS&TR( z`A`1KUECkl*2MQCEDEuBASsF(-f^B%q`BkBzeIB<4483$$z0U^+h$a`Jhk{h_MYo7 zWR~}4c1Rvyp1WzcXm&`Z!_H5EWqr!Ge(_)ay`D`~@6a5Q;wwH;uu(`j>@XclEJXRL zI3y;xuS~5NJlJw0q|C$+KS9b&4AB#$%)}5oLCj3tgq(nCKg82bZC$>pBK%(Mq$(~WD{Dbiqoso&C&2`%Lz56p$YcgTN%o^NVgY?{xDWM8U2%w3OXDMYaSOY<7G((g!Sk;F`Z%Fk#)Q* z86M+{EfW0FB)i>O7+w}(I2xYg3$UT;BubDI0_aRHIY@>F`Qo@cFwrhR-!4Z*c#m2nke>QjMtkBzJwkCA1|2waP%Go z+8yw2R(rkPU7#TD`>)p*>s{KPZ&N&=yj{Nk;bOgy`)@DS$$MDy_s0G6?_cA5k$0=- zzusT06MYi|^8VT1zy*sx2N5%*YXGfRt8U`kjkzD&d-w6}2Ho+3v}Q4O6BOLN``D_& zn4HW_6Xs?<&_-^H9Vj^(Z+h<8XLB2jgRj~3QeU1b*ad0#%MJ9^;OwNchIT;yPupzn zb&k<(tI=Jho5pr!m(4wCY^sNBnx2NbZLV&J8fe7fiAsUQkNCpn3opNL`P>`j_gvN% zqbg~ajk~#^{1Ev8lkW;WyD4|2U#^~T-=*a)Y>X=Rgd2!kC*2k9TH2j>Z@Gb8-`DR7 zz2?91(LcZZA}P{d$kk~1|JXyW9GnfP zIlxKj6m2n9y6sd7zJ{e1P%U39JL9dQ*9>GraY~Ns4q7UD&9&_cu2Y%KZQe`v1_6Gu zfms;t(t(J^CMh47g-X39K*E|qS%!gG>t(k^E8QLI`s$;VPAtr|?W>npI(UPiO0j`i zeZ11~1`Y5^PxJ9|WD)&4cz5armcQoSX#yjr{>#6Za~AXey28fdg~zTJ%(SBY1wL#Z zG{WoO;`X45E#2{`|ph^3@>_GcsHDHgHMMV`Z zyWGn(Z?+=Z$Ke_w{$uNn`bd6`u^NC@Ni+jO5V_aR5IXuFwqiXr=5d}n;7#pZNyXK) znf_}`g_xy`S+;8@;Mz2ZCqd3wI)J0cf^#i=2XnZ%NWF9z$CAQ#$+m@P7#>d|{XqI8 zMepJfW%(HHa;jR4-r1R*qIabO_UuF3?ABOs&c{j7`$+De>7E$9bB05T-qpN?O@v4WempX;F6fGe1c^sBDpL@oijANv8mzd$To&pN z%*WKYDm-*kDslEBzeQnNx9eixLSBJuUk|OnZI5i zoT<0By!4WL>T{TMcVSY|*M9MPZxM5&kKz`E+^&9vHL9LrI@4}uSo67$H<#5O=M~*L!kSkYR!`rT8%G>^ z529#E(;ip!HPSh{ZN#B5nnrw$J!Z?bp`4pW2!gFr9EkmrO=@{X_g--5I`n3_0Fpcl z2JeNxrUZRx(kdxpzmVc6mrW#_E$ZtzxS+VJWDWaZ^V^#v>;NX|M+dL9Lg(%1qqbfR zaDregi}5ditrG5D!6`ghlPz$kCVs2IU@`FU79}`bAU8VCvN_E$QH)3RGc9@c9L4WU}O@P`WgQVwX51yQC0HfIt)_mU?I2RNF`o{Jgt-z$`0+6)4Vlw*xs%drBK|G1AfOyER90qiwm4W*_jDGQtksVA_`CmnWJ10}8^LnZ+cAY;V$kv7H@alc1|;yeIJXr*f(Y$M~}BBjK#yOz2>Tm9=Ausk>?oMo}G?SSHc|x zPaAlI9o>lVuf4TaPZk8pQ|qhh+1yEr*}RAzuVS8)ds@0ec)LAapeb$hDpwo3N&wg*4E@+D#WsDH&16!0VQ%*Vh4X6(s z7>7z#1jAh$K{rezL!R7kh^2}3zF^(eWYM>AzX2;A(ETjM4)B$IE>4mO>v_q|K(8se*g_PjCmvbw`kjp$aOa z3y=&z3usZ>4^5R}f`tKvt#NBCoexbfzy!g1LdXL;&X${lrucnDW)Dmd#RoO7K$Y(_ zgmyt@531mCiRuGaM4P83E?iKu2PO&Z`$nMzNbsu{5G0vBsFEuTZ5E5YfpKqsj#9tK ztwPJoT0Ad89`?-@njXKS%h4iuw#BKzesCEmQy^ib|JoW?#C&?jgNKHeeu$3-lYUS+ zRIo^m{_$~IU*(i~!W0=#F;E&jDJ6EtW!H*;{l&8?-cz|37if0`o&q2lGM=`NmSkP3 zq)ZyXlK?mk+5FS}l@?KUUdC{uT^$ixN13r>QpoDH~5j zzVJbfKAiyI!w#V9IR!a_jz8Suus^yNVSYllB%h;jKxrU!Cz9Qf5xIiyL%z0Qe?~=d ze{P5UgJnql!u)lPdhW*zU8yF_P{+lKU zkZs=|-L7#t#W~d=0KKO;c-_Re8;pKfZ_v7nj!%5M+56@O-vR`M?Dm4+b~ocvo3!%e zMnq;0tI_B*30mPx8#b%l(UXlq5J>`p{q-p zl`lonp18T@`=K(@8o%VyRo`zV&D3ed6 ztd{H&T{|_%gPA22sRp^4;~tk(MGd0r)v3#^`L}%qCwDge(~X=|XkGj-jjNcs98SZv zieu}>M!eTxPOeMlr*W98>I5ZpBk*WIk2F!zi?3EldfTf}NoU#xJ#yd5Gf%N1H z?N?I@JdzYsQ8gpCXihQRPKxRG|GuAm^XGf}p5BZr{SgdZY7B%Hn#?v9ieK3Jo`g^| zcF`Xg=`!CKUMILX{q!-&}@t*MXJuG&TD>`p=``6Ff)w+nW-#5jDiSrq$1 z(^5aE#mZw&qwR5vRCkGAKfVTUZr)45^do8Bo2E-UxQZ0@h~23?EM_99f6WCxQB^bS z`J`7p@#C-but!_A4F^{mxKCgyS?)+=Si?JzawH|nVkiQ$%PF=H1VF9L(#24u4t<5a-nD`;6e%ro$ju*Q zws|^q3`NSJk)i{xtWD;{P^5tBAqab(qo7ek=>|?gUux{MY$i5FZQ?kgs>+=T`@S`E zXvvOZ4r`$ptz&a2a_&$hkZOgjIr_+iWyEabggAosZDJfTo5JaO_Uyo~X_4e?3a8(q zQ{dk}@RP6jhIfx;<-GWJHsIF~o-3NdK|EJ9g@bv%XbQdl)&stZrqIi8J=m*QCG_fB z2YLk<4KnVghFDJodWHcj$jLOcEss9|H$mTV4|eK7a=Apw?4*=nw8Lsj3j?NqVHmDb z9lKoDX&^2Wqf|BJ24Z>GXPP~V^iQ{Dl3P=3CK@JLCLcTY05#1KuCBIG3uItvwv=L! z9ctM0_WaYpG!iCoHq70$8HME5qj`)UR;9i6#aGtOWKDC{+M!$hPmV85Qs5 zrpH<%)ce4-Vba&>i0f%uy<>PM*!3Ic2mj%R{x@%b=|dgq3Sb*3;_&)OVPg6 zK>YwYKsHYkU}X{%1jY9+f@nHnS1Z9r$ldE}s$W4+e1BUJnKA(n?)T`gYy?H&*@|cv zMMe!l!fH|Gsr#Wt;n|9CCA3GNOgAfw=t)KN)L6rKqlfm;;84L&u3 zqVVh-7i-RdBqZq2IY(7^MRK>s3<&<3Be0W2jpD5)3qFFC3 zHE4*ylXb10Mjr@44{=6fMbozJUufSsKX}Ic;C+}HP<~xQ`|4AEY6ft!_MNnR>$0;t z^Uwdrd*Ah*;BLx7t@hpRkx>z)3Z<#ZdRGNe`(lLo)k-zJ-a|??YF`e7zf!3t?K`AY zllC1_s!{u*(o&|pf@!e*)lvJRJc6>b=qkzOWc?@ZrrsZz@gbcm?q-o3(5a*Pk6Ny( zJNclh$Pm)k(tWMxs5Cym-?GM(3}`xE0mGN+<2H8jUca-&>?EX!H zy7notJgE$QMIq9fM+oJMF71+bjULYtLL3vRy12FjqMOzF4A>4>zP*Cq z$~yts1Y5NC#C}*?xszvo@UXTUJh<$7!)UC<_onFW?^SBvzUSXQ8UHs*3>gI^ufsz> zGRc%KK)LlcpA`7I4XH_*hlD^7`*r?*{_skY|ebW}}~ z+L~*i>=<*=n`)tR(t&Dg?og9g^xB@oJDQ_YxmU>*+nKoL0o1UqWKc^NJHYVJ(F;dO zhJrO~(n+gpf@4nnpDiflT9h67HeC|Qz*1m0aUEnVr#>j=nlJ&U5nF9JnAUS7h^%qhs1ZlAPwJq50N4wfZ7AeZA^f z)yc2*eXxJ8eL?;rPi*P671|dWyW5M5HsJ1y%zwPa7kQxBx6?a|Ue46}+39`7D9~MK z7G<++s-I}Trq@qo+v^V;EgEOha@1)86^x7)Wpiq57G57X0W{Q4bm*raU$*`DXqLsB z<@Fcz(w@{TiwlOgs@6}?8k>7((SV9@WT5vI=NoDIH)xhk!(BFf8AJU>w*7!xoNsIv zMic86C*%i&K-yon!~Uy_?K1xU!*)b?e5i1;kt=$x1bO$vtaMz+wHb)zUIko(1rKzW2*|I_m`U{%uVWIFLZ6GaO-Ar zg=^Do2dl2#R5doLg2t<3nD(pW>Vkb;S4>vao-N$~_@Z-+t9Gp|TikUX4g35v7u~zU z7^Qpn2JZ@YnMcDev?Gv@71R1(@FD;8Z+QD?l4}P)N9uNPQrawzr1fj=3Vp=P{~rOA zMA0y1nnHMtV%?f6LJ(+*oWptj>vEFIAex}og=3OdOi3=_O{D4KxL%O0Hwnfh7iKW= z&{8lg^LRXW6_Z@-t1mzf%(xf-#RX%M>wu92lGaD;iIGHfiCi!xxxl%Mb7PVVJt^(4 zNhlK3nmHMPl5kv`pOff=;9xQX$;8=??qK@iT2w7}2Swz)G>5>%Gzd|I0rB>1_vC=& znnw&|YS)bS2d=W#KG2t6NRsWhPjm9Bi)S&L(Y9EWHmNV1j-PsL7O#<^MZzF{75`H) zbKJ_-^C~M_Hr1eP=$s>usQgo0m7o2azE6}uBxXtrc2)2TwvF^$3uh5{N^l33jXTl7 zpJ41z@}I`=Cm2f<$yQhoNcLaCqhS9vT&fOJhh1iMS_PF&6Vd-+%IuUeNiCd5E>Cqh zaJ8=0gHaw|w=Sv4+Wms29X$CRx6&W?YyQulSp6l(zB`vHy*%9_X*mc}s91MP45brY zRK7xHjjGxNeLM{I(AdxgsS8YSi}qRx4R^~0=fOd{xgb@62_n7*JvJrLRRhwX` z5;NEY$fQ}3s@eoA2Rg8KOO1*B(7fAK5F9ds&f5cWr>fcosj5w|WEhatlc{X^$B3#n z$=!aX+8-$iHW8$s9r#}1dLqb{s>u#beC-8F<6%%MQYQV~1{@w~&B@AAREw&nYir6z zJx$SLRWp_kZIJ^Q75V0JcWRNtPo`f(;^`Jm$$2l$Fi*v-L=7pSfCr4w0YC(Lgh+^C ziqKk>D%&0*K_ey&MN~(M&?J2oH6$apus<|njLY2O==RN|etbQ%X3a>22l!r0n@3q+s(uvjaDQy=d}bm@1i1#qrJY4#AY z#*ho`?Mgy<=ppEcAy)`yb|6vDAxaFn_8WvK8uhe2Y#CQTRxgds(7dl%XbU?K%1{ZH zO%APN$b}sE0#U5kT$3ENxim;>)jT*6bLC&03daxTyJVKtpl)v#W=t{R9Ybp&NckHL zt&G)nyQ79T8rmi^*g=m%c_ddJ0x6X!+ioa3hWf-g**7-SIA3H0DsLhU^(mp0xOw5{ z$mQ`+L;|hTP3dDBiv0Uu^Bv#$Gaia4#?#OM1@Q?ezSMsZVItSlg3={xWMIb%#TWhv z$)D&~1r1PF+-<1B)=%t_>QJA&d<8*KVYXsLjV>{RL0|g1qNuPU2+F^LcmjH~=+`YJH(yazSdj!* z25A(z$W)lMoqa5vD~N6*9sY4xih2>Y=j@`_7TgBrmAv;hhU)212WY&Yn8 zZUn{Z+max7)>;vMeg}?}3A&EUohBd`B2ztBi+KxJ=H^S>%l^xnU?Yulq$8a307+M;}+0FNy)gNr-eE0?|>>f z0|kKipj=R1c0n)y#BqNc4C`$KseSFx+v7$nD~ApGO&USoL=%KsBab5E9)!}3pqGD; zUd^$tk$$@`dkgV>uS*0Eox2DTn#!;HR$7h%XdUp z6SV*xv%Y|p5hE;fV6%aXW*rCwHsDL`hCqm5IF!}IEDXp|fXSzYSuYC0t9(^bsV2As zo00TnAW=wqvf7RGKQ^SGV67U?@`Fr(~8loV{9Mc60nz`XKd|%H0uZpIo^3~0?~9lI(#k!KHyzivB=ly1kMs{G5dEv`-fz3q$et_XwHxzlmZ zjtDvWW%dHh>bHOApz5_ikic$-%AHI&i-;Ba@S$=gc@jsAoF}L%Z;ppc)4YJGLDjV7 zTMNdZ>eu|bFMZEvcu=L$S)0<@t(VZH5{IlxtW?6d5>%Bfpk-0Em%I{o$f*$3z9x8B zZjMk`K)@{)rR?M@$_=sPN=+AGhI-q*7E@ZDEm{NSo3b!EYReAoiJi?3X|!9qD+qW|3JUC4_msyGUl*Eo$w+9Lby~lG!f5?;riB zw-V{iXl>~uEDsGM^(W1e+C1Uluzw9=6Z_xTF)%^d+w z=3b&R_7VXpA6|PP<#BZnC{rv!35|Z_W)oP()!`bz`SKo|>R?O_lBO-+8b=06Uw!dC z&%Av!Nb>1e8_+&vU6@oyExuP+U^z8tSLQ&fJ{XFoVvyujdeF_VuNDBhti%v5dP2EW_jeP}iRDIB zD-~qbl&Zp-!n|s{G8{xVVjhVL=zg8vOcg#=!$y@|&#uwkQ)GM>N)N|ibLY_+JCCHd z2w0gdrqn$Jp!mHBI*b)}Qw^u8$TTUi(pp)Q~V3`Mjw2Ic_lP}cvM4>>anfZ zn3_>jR@D=NRF6%t5iqY-R!R;*Mlvo4P6kuq1gdq{$XL|{Z5A=L-eJHFL-p7M7p$?1 zdIXt5w<6V}3qsSQk5W__rXqHNq8^*1PF9A}1BS3(T4+pXPdD=ws5p$vbBUa$gvLoS-OX2f1lMYB!6b_OWWCOGJ74X00C>pl zyxf3*JWI~MNkRJPR<{w&;%_=YQ%%^TYfnJdo0N2vmSN=EJZu_wrn721BRA;@_Q{@% zh`@u>R2Sfv$St>IA36uAfUFzXf}&-Y>_LUSQgU_~=^#1025nfO#W%B8f{lnx4#B<% zfzq-p+kun-D=N4+()ka(chd1fo0`tAG^%la$l5b|J5b!1PA&<)uw#vL5*ful! z<$6gr&wKz4Mm-=SJ;1gJw$Hf!HRwVMHwpym@!XEj54KIPeWv60Wb;hthd?=Aw$t&r z-u(N6Ec7h)Pkdqda+FD>wOs4B)i$vg)S!)h5_>`Q>6`C(JE%XKfqU?fM4&E=^se%P zwrnq0NAa=R${_trn_C4%m+A4Dw&>ej*7Fn z-y@`w$%#Sx1xY8VrcfE}9i!rpFV<}BAnq1Ch{cQ0%0j!wQ>2o~gL&>Gsbq3soZtke zbBt4xI@r&hXjpnq$unFXb99_fzc@MWhZis0-J9y%G}q>t@V@<_?_ZHpxS+4@9(@&- zS12XjiGQe0qnp}dOvfn|r7B>DhvqJc+^pSi)v`Bl`LT4v5B%A$`t#oxg;UL2c)G!| z7@*2MGE{-DjNq#8G^2WJg3fSzKa7VvTM1|s>?eanFx8t2Zr6z5EOUD7 z)3!AmyA+mUrW=NR1tlB12S+f}IwV0C*>_2nmObN(gye#wSn1*0g)uVDzfS6ETz9|D z6De0sf^=Pbl0DEpS-aX_-aS%o)gTn3N$)CwF=rh;X-(dG($-$#OkYS+(35|)e(*ek z6hy=+f)akl6v4@ML{N&JY7wL)RU$CCbnLxw{rbyqy!`6*Be4e>7&UHvtL3%ZPpD3s z2Vc2Po;4a2Q$Z63ueV4Soa^1-TtD(}e8F4>@OTBd~R$Z?VD}v%fQ=ehnidBa})m}INqxuz#Rd1zJI1If* zQLQ=%NYtt?E#n-g?yUMsDNBy)VOwFb>VS`WH5Tj#y{#@a)-9B#oE@{P20lgpbsBmV zfLG2-PxgP(s;f0N@-v#tWVJmP908NsO6$jKDPfP9qFj&`86%L?WxNH?wv63=j>(=Q zqpMc4?s~L;MF4ea#J`yJ8oiC@$6C(P5@miXP2qAF176I!BadFae)Wx4uU~!%C?)w) zbbb*2n$Sr}M!t0BCG}fw4$$x?N(oGT3pG9WC*lFmI&^CBkze-G&rSvF)|U-yJz>^S zR3vLAqk4`~$%dl_>&Fdgbs%^E?w~iB*{nm1GY;q|tceRwrl^Nb83zDqReRSXoAqez zA8{0lS#KTtn8?tdx|sFWvAE7AwBEzTt-&nE=IUDK~v>X8RH0fGK7WxWiPHWHb#qx zDYGjcPRi`+fQ10lVDwwb1PchS%4hHka=jSc6NjTR@l?kzU|hm7Pm<#(yMm>HK*0jZ zcFJV4Or16fjY^qpOg-Wqdv3Ro-QzbzDL2*yFXry)nY(#E(Iu08KZM@j`RJ?u(vOF^ zi(n~|rI;uPPB|gV1_w5W_mn|+5mcctig*%sk@NpBHnbCrn2HbjVIee0;5Ng2`nqC- zyezdY$kWYfeLh@NuW^CuYh<#iSr$qxv|l>OKnO<23qc>cu>)8JcCmbz2zl|T@o9vN zV7GSuYhQ78+}8|?yixNrR>*am!`Bf*b7FT|*bJQ+Ih`gX8zdYEx$E5-$>6vcGkASu zmm<82!F%%!p537rgZJ!Cu$cD~T@2p+7`$))>BHClMBq*J$LIlBk>i~pTa6s=WP7aT zc+noq39%*F83vDJ>nG@2!{C8)^b{*=7(A?aitscH-V)aP1m9`S@dkUv|tYPy;Q>-Vfs@l*G5Q0-gdL{CbYHN-(>3QYIv1bMX zzO}T?$W-lmK#9#{DtQVuWr%k^;ptGX?-L?h&N-9z0Fo-9F58Aq_ZiWdO|d0i*0d>K zPwVDDoQyqACQl#zP4Tl{pHo!8Xu+>a6iF-~q)fTqD~2L32>mcdcq2LB^(^=4=qC zY8}&G-cINgW-T<}8`X3*QKo;(Wuc`1#(*N>+sC`C6WbYMx4fGpqZd;FA}2zJ3&Q?9 z6(~i|q{8@wrfk_QhmGD+gKIs`PC=zo~VM zVYJ+ONvK4bnabwOBrB1ysQjlvWxWLc4>)CDn_Pbbu(3!)?T?zqB{ zOcMhMJ4t{@Sy4=>FL_uUO%nCC`mrYyaLsnLH)>o1b;%uWMs;8AqiBbP?NgyKL#oSo z4a&C8NV4%{`CpuK>>VYCl_$hft&8GfP4Cc}g85Uz2YqNk^@(rS8^_Tajyl_|!Uem@ zC;WK=8%xL9#nwJOTRZP3y4c$LNz{GW_kY??eaBQ~${HO|aN2+>Rg8&xNGuOU*orjM z_Q>vRR;HNbqSXn(T$uvvHTxV{aN1(@U=@r+9qUL-8s*J%7&ydcjIv^ctrX;VC9b$# z+Njw$b%d?FMzoNNAUX|n;HnGGw|8o#I@;?NwzW5IZaM?wfA-gIw`}Se#!wY%`MlT^ z!q>Zb$iz6?xQ&Azb{luR%ET?eQY_($1XGIm5jlg^<;V`y;aOe+D9nQb{$;Zgt&a7g zudZ)kkPK8lo_9t*pLk_)e4lXxm+V;?H(YOKh9TIa5VatMR?jc4Svx;d8R^q7Lz|*S z7@6a(6J9qVz%ST}+CVsm<`WQn6>}1p*IvH<{1;z%={>Om~{ClTaauqk> zWLzxR{aCOc{|6uRw$)U$xL@vT``TeaZfl5H--HxY?m0rRGtyrmyH$UnQE*6 zHB1Mlw*y0lY&DYF#ZanAxL>J>Z|0g|gvhKjUo^IQ&DS-#zRG zqAk~P5o+K6-f)bnj3NN*Q`xyP0=l}6A8 zu3c+IbBo8uHI+@W|qFhB-2EQItNF8`V^_eOp&s zgxUBa@nf$y0JzqlMnXuJJSy=L8$QS|)Jf7nxFn!M*2uBkfmODXq~&!e|>Y+-ilKcMs-xNi_i3YC~(1WV(H?4yzRhakBCaQrrIQ>Ha0@Er7R_T&;{M!PlIB z6A9@pzjJl7hBp!TY-ip?ko22~Z@ZfaC-R$!Z=cFd^rE{~ecc)^Rv*uc2a`RfE*>91 zUcfYa7UV@Ly5Zsw@=_8QLZX&@I@t~v2P1zg(=ObW;^Ixr1O_uMas=u80Lc`lSqM}Y zOX7!?fDlAcx*T|Dg?JVpr(kD$;@zGa7sS4VpoEJmRIusuchs;-r5o2zrrgq91A%h= zvK<$^?wNMiXEN>LdVwf!E-IOJO9E(3OOUT$!m9TDt!h3;(>)Ecq~BKC#9q+&?!o=Z#&QSLQg7h0OMwgkF<7D!x@N&MtU+=phVH~n zJ3uSYEXw0;j-KD70;}I@{tESf>2xUC`VLzA5*=vrrciB_#=o}=9q6C@$p3lqcOxYT za!zPr2axC%>Od=UaS=J$#v|G;D{8smmh>JXxZ7F5Ci3PMmrN)De%3H8_b%HcQwth5lp|RPpD{*S|+HFaoU{s+Z=(D0jCK2z? zLxSpp$;XZWD&DEkn=!;TmH95rVRuOgid<%T*r8le*4&{;WJ7F87rKKdadwln-tgg* z%_CpFKv|w1l*Lrk#7}g|W!_IN^TR*tH-7BoNJfA_SFnGGYFC>9&ou3dVnNs;w!&m~ zNl}70OL9E63#OM=-vxs%6dd5aAQgcN+9)yhUJVI0Y4u$Y+}}R&vQ%HY>_;Tmc6T`(9sw_xP#1ZnkMkh^t7hB}>~Sbdj7x)tMvcHt_e4CInQ0($;PMp|hxtHqA%#yA|6NBBRHiz!eyjY?3l@d!yzHP#&- z);`|(iTyS9#5+A(?e6gKXe3*9nVfuHwoa&CBKagF-;tBSCUSY_n`yh3Cv3o=wBV?&d*}RpFisoCJmsYItC~@T?Y`gpM2p`~kW} zUHK5Cdh``-E+PbxfY%Tv1gRcf5JXGNq5vnc-eOon1uICN)4&S&$vBvg@Ov4J$DF3s zjWsB0E20ZnA%V*^m<{WSlIpV+ck3Oq^vLv}08t_E6;%)INU{pzB#t&0&rdxWZ173f zC;BajlW=upuQ|5By_j>dWK1eKWd#BxP+*S&#IsV8==z|R0}Af0uNs_-0=(cPjH7m# zN$z0sbCs4oBNIAtOlkf6?$`eGJ0{0$gEvR2$s8lydpFgmLx-vQbUVVFioaBRIyCl( z=0I}{bWIg3K3&iQ><0X%*{6%CCA;Nd#q86eq-fp}$^(6iaQ5jyV5jBEBT9^Y=Wp@p zHqhmob;if)K1}D+Nmd?Dn`Uy#_fxoHkqKj^pOUNLKyGGo+^5^GHXMBeN$~IXmnrpE zmsH!!WS4xY`AeTvuIhaC#CQ7F@cwk$sNb*cbv6aO9-Z9O2LP;?_lOI*jqSZ*YzP47;ORVy(hbUfO za#Eo+Z&46Ls(RYQ?a z^L_prd&b^T>Ym1OuX!KCo;>4Yxi74yUIm+^LPY;qfwcr5)?%xarU~;f9CmP?s0p_ue$r<%Rb}qu2v5sONnzU*~;1 z&QDkS)a04BA`pTpy&-WgnNGIoPDdkqcabT*fzJ)b zNqpr3EoV#w5>z)co9s>D`zVeiGfTcK@G+|bvG?wqrqf*2;THw&r2?5tD zxax`dwSbKShn|i{*5w>rIdI@;^-IU2Hdb)e5Rk{q8~bHDTtxv=r%gV-&1C>wCO!9n z%i!Ck_At0iw~2%mv2ACgXY4r$>o!_9qshMz!FYdsv*#lX^5TG8+USiaqufkG?}xt6 zvp$vYtG@bAtgjPhRBwlbHj-;0bcs_l(4gH6^fSfE zT?m_RVR-F6z8KzTcT~c>pNK~6&G3y<;y~X*k@{0VHL>^u!SMdrE1O^Q&cJ1m@v> zZPGJ=l1+`Rhp2HCx29`zQ)jOkYjx?EI~j*Rm0@^xhu)y0at^g<(~_f|1c2V80)6>j zSu@%A-+t1UeC00+ER|~gfrk&zUSaQTw6mE91Ay8V5_y=nEspyEO=_J7OGV>?n6_;w zfh}l`JHg`N@A01m7ofH31&fET9lVGc)@yd~0;Uw#SUmjAhJ(^j0mcM^T7Xx4yk8@t z8Y`?qyQ63t`aKrUP*yqCj8Y1z|_xWq=8GA>ml!qHpcNY);ygZ`h zqf;JE0-(l1pd(pQi*S01k(QGjq^IR(Xe;K@{Asu^WoQrE#PVax@Z-PzfB)9hETjuP zL(0%t3dVI@R)$D4+^plWGPINfIhWSZvsEFLbVnPKyx)M8z6xe#XxSj3;TK+SvL6Jt zqNF?E??V&+hx9@3zGaw%9QAAWWWbsC6!Sf8>w+@`wPnEyq($-Zh7QK2k2C4-XyCn#S=Sx7q?26L`=Jr;vgW!6ti3WKSpIJNwuCJ4SIjlw`|Y+0@lrTAUu2Y_obmBV|NWac+62ToMC#2uwj#iOWt0o&jLs)hy_4i$dpNTr5~@#6RZJ5tp1 z1gD`OlR`lX{HS3!*l={KE@``;?@L9_TYgMM{;|LC3BUCZP0d5W^iI+;AsdfbnSJg& z8V(VwXlEi3dB|XQDRHVu&+l)MT9?j5fcuZz7cDpW->te@sAD+s#~_C)PO=Su5=Gfj#+%>Jq?dh*e> zZ2X%pJjT7Da$bG0t#Z_@0fO#*_peT;m+*4Z<(NEhnRS+2;sJ3im|Bt82;$W#;#dIm zvcu10U4br40Q5j@y2@>!1Pqo+O3xH4+hG;%xEDmf9(Tjv@pkaYwxbHbA$D zW2qgV7C>-rC~nW)guxrLNdLwk`;7nN?a#hgN8u>s`5y6*7o#%om zF_3~3=&1bytla#WLy#)Z1u>?6DRdlQH8f~(2uj(;2>4L6Vc8ceu2!abA=Myfl%Mi8^=*i1~pCPjPsFp{aX6=8-*@BzOE z^EG=x%~YD?algihYD%KeqwalNq?T>`Ds=!1CD+V9mXiyqg|jrJgwg@)R9 zkWjcZ!Slh+=7!s$y~U?bn|x@Si+^D=w7w<3(WWp*%{FKde>ZG=^{;;E_CBU(T~-MhG8W z9&OuYI-m*eFr8Ng;SP6#{E=M{EO;DzfcDVk!aUnpF(*4PA%PsJIQ7W5-|=J=Iu`r< zNltY%R2fcv?2{rn(~;UkK^NG4n39b0xxhepL03}q#fK@$s4aM36u$~+SN}zpWE22x z7&^q%4WJULB-5HX8HEUinL@Ul?uU{k8Fe`FXXKhki)GT9`R6&}N0DR{B&`OJB+KuE z43k7$;H@9@(3WHr3a@tdqmR8zYvx}FRUw^SeLRp%b2JEv2+!tXt+U98xmr(xe+*A6%vK_}usw!>D z*V7CVYAe)^Pb(R9BN>FmBg$m~E{Vitk(ecdSE8bihwY5CgpFY17ix558fn65=&kxC zI=Y+a=okM-|H8L^dL%{F#d6Qc94-I@HzdJAEQ8s5YS3+07ahUqD3*OJ=qb^WQgvZP zp_Qv)RE>_v8^L&M=@uY@YJC?R4+@r+#3Y`%<#CVhO09w)7?TBxxZE4t_WAWn~a zW;#$koU>PWf7TTKu#eOfdys6?02$TCR!|?sm`-4s;C>Zi3dUB&n2LXvjG1gF>jll8 zjVVI0vqn6F4YZE9Mp>yCQwS7eEZcE@$(pClYE1EMU4}rv{Km_#UOy5GtxQ*MiBzL0 znu{?_O~ND=8)pcclMOy+2=upo_$NL0wE+v%yssNm%L1ng<90Z+j1Q^{V!SegIn)CW zg3E`ouw9mFbit@FV%Aq*7Naq3f~~>`#9dzxq%n2D9meg7=>tHgn*~5cYS z@!SVr1WT3*qxmmbbI%sKZcU~c{OtHR+B!FS_WbK0(ynAhF`!J9oVv zDaYz{U|+1nLr&Ktd#f&1I=cb%^O99Y#-o~H#Kdsh5*nn7X(dGxcC!!S$(dAA#1gdT z6V?>52K^}}mKZsopFVLuUVP-1l;yy)f+m6CJ_pSrUQT=*O$d8Tje2ByB`yDVzcQ1f=h4$~itv%>ko71pqq240*MkWP%}Kfg@X0B)f^xo1J9N0UwrV zdr`@Q1!U>$RL=f^P7))Ca(2lR%m^JtpqXQ`G7t*iU1#}$P()Nn;HuBP&sO-VAIFPz zx-W98qC#CWH^tkC$;l6>hd}LTu@H4IU{cK^oyfX~LjaSklQTFNr7irpDELtI@s6TN z>5s&IT_~nHJ?T(EV`d%NWnLM3JyoTB;Rv*c>%MHjyyeGizzg5~JwNv0pQ1Bai6R7CG<$<4kXXE0it&wTWu}X z*hGs`frctBGkEkk_w~o^fy!9FQRwYAi=)DGe*G&NtuJ=qJScjXl2kM4&i_M(G6(oe z^!B$85DTP)He!LaclTfhG@Jgs-}~pP{Y$a!=?zvk^)&Gl^``SuY`dFc+q?hvH@x^A zJwu(70jO64G5|~ghJ_6!m-3Lywn`_}SpQXoO!1BvkpIP=c&F>nr8~G(+MbC@o87__YWmhmw0X<-R)9&g z-}uSj_l>EC$`*oRDtVg5u?Z@G9#WXoG$%odp}~-z_qHQ+UD;e=JvAqH<}X&^|rf3&BE3@SKVF$m!}uF%=?LYlXVGP?j~^gJ zU-dInC_&)rl*|KTBdjiE;bxyVJ(Uj4dDGo`$vIr;ssZp2Rt=$0tXT4LC?Ub5BEgCS z)Z+-joR-_}G1bCSk^|DAoHqp(qR#z9MsffrEO}FKeMoSH`p^IynUX4)q5+%053}8) zCt1vCTw}?bqQgw}rDC2!h-ArPck<49UF=S?xJSZO~H zyLB1kNwXgjQ84H>%dckqtg@9Ht9E=0rYO6+|23;`ZvxS;=STU<-n`yn52hliI1wdL zv~nwdvHB#nEr3ZPCrUFM1Wq9xPFj7j>svGPlB`URv;Y@?ifF(EZKUwcOYV|U;sx9I z!fRp)>9Y9*(7WyBpzC2{IrS}6x+{pOPIn>dF&N@khSxV}Acfv=&MwT=m?gQwi_E zi2@r3jFKQqXs~UUxd2UYd00sq@3;cdlUVi;q^f9w8yH|&akU`MrmRR8zy%xiANuZg z{fg8VE(mNRi?Ps7m}B1y(gkoqaEzcUkRN8ZV0&OWHC+G~JfIpYRly^`k_f4bAYA|# z3{GZXeEL(13*eI5^^(2bI5#L>kW5HR&N9MctA=rR`HR~-HI)4z`}S84yB`g~g7{Mg z@P&c2gC7rq??;atR^-w35J~bFe;i^|V%@QKQ^we_pfZPTd1bYDe6z^D41NP2|(CK8&nEy!j5s^lOXY0TCnE)&v$n z3&^Vl9u;O^l4QD}uMCQYvmX}pKF`dyK7FTRcYmkyPi?)CI~AwC?Vb9f zn}wm@h&GNoAN0a^)**DeuG6mXWev`n7QrD$X z2m<{92tpe|cYU~8XUd87_G(=kg&sP77MmM*zT!!7hKIrIbPBlXy4T2t= z^VZza^EKB^%o0h^PP?vU1(Cd@UVzY;R(F03f|2NR4Y z%n_Pb3D;b(HDQj>yh^lYg3*Mz!N=)NEx}q_(VH+qIoE#}iPcOnHDN9ZEn`fnyBK}a z)ATPAF{R=OaAxVPERysgo8)GRP?An4cwSHTR$_XCf~SvLq*nT~(=qootjLWQ^gs-W;;Bd{H9E z3En2bb*Iabc+ul5!*%#M6UM z%=?LGqRTAZr}Pu?yl>^&$*=gQU-YeC6RpSkWAwfYhkdK3@zi-Cwo6Qb6Uh1UXk(LH zWoX-(Z6T<9OYuU4N5aBYZ|S8L;GUDV9>XJnY8`ka#dpCp8Tdnh@9!}yxnDE;F5BZq z8=nt5a7h%&N$)}J@E92sZN3`!n*r*&f7Er*g~$5A!U>3XDm~^r=qZL3o?BdM8$t@`Ux;?$#K)C4$Q#g*oF&UlEWptyY5oZ_qm|ab=SPm65gtBU0B0G|nx_ zG?xtQ`vT^v``iWE7Ce18w*Q7SOX7@vji?Vqd;`h+^8`^JDyFq6*!FURB0Mr zAJw(!Q~hh7&C@lqrcT0RkT^X6p`N|T**Fge{WbJ>yz_nj8hggxQ7UEiF1lF|>Gww2 z^N?H?w*k1@Lv?P1HaDv`Z}~pDp!WUokNMt@|3#sF72(jMihwI178lOf5*}Lza1h^1 zu^sG~By<%u%7ZYt7u15dUQJNQyC8^hf<3fDvPLclxQO)X9Du~_ksXpWGC>=_$MUE& z9+gu|&d68PX-90v#Mjn+7|H7SifxwZVr^e3h=zvU;|pF{UBC zf$9)6f4N9yOmj)iY*7;Js73P5^q*=d^-@K-xX$5gr)@-b2tazXX$ssaP5G;Sh_m$n zq;!(z=@(1Vdu&#yd&p3wl)u7MigU&|ApcW1!rD#sK@bSU zA|2mBE|4!PV=V5YjG+lDLH?$v7|35Ka(FsxhPGl3%3)OZr3@Xw)$R%581;hwsS?N6 z{gRLQ(&dygJgMWVGCZN<($|PU^@NTq%J7ux%F3`YGos~TfUzse5aa9xi@9BHe289C zT}2rJVX0`ih0m!TSB6ID|9^I!UF|KPv*=;%-zcO>ym>y$5W%u?Ny2RZA` z=FP#OBqLN2%(}B_y2Eb6s!0({-#3Et6JYYJJ5Peiv+g_zCeOO_PO#*vRytUUJlncw zgnTxh{MpJv*Lo`XL^sbPF)PQ0#Y3r-80n!D+mIY0cyvp$wXnVKYW?7OIAo>uY2fkk z1_~uWhb==;sl*BdRnm3&e3JEP5YB-T6w=syy}TLn@!U@5$Mu#1Djd>t97xUY#N`O! z)C-Ug@ny9Vqd0KMutEu?z3AVroA>O`J*C#Mp?@{S z6;jjk6t|c50ueRBHZQi(N?|rI4k}}}a1XnIh{QIUU?8I20<`o2h!Wsv2MQ|CsQ^SB zI#7_u75+^&K-Arp9|I6IMs;6)o(6~-Bia|=#jexbCfK+!s(W!>{1Y`m)cI8REyx&k zHRO*y0+xsPKNXl2?x^T92#0c?#9uG0m(MdyDd{lat%^4z+sT_5I5&_EZ$x-4pBlnx zh6f|4Sku818L6@S`Okjj-~Rf~nMTEKl$hfzRIFCL0tb?H2N`uivjrQl-hqR#YQwBL z!Uo(GDt5nQ+MIY(rlCmhLlaQ+nZecls~b})7}Ix zNEfHdrV8C>yTgUf_ybt6Ut-4#boJ#|-gTvog>Pl(>L2_)U;E+T6}^U9>G#;t>i(qX zVu6J74LAvt*3NcW+l>pxAOtWGAOTwhB`s!1otSU18A6X}zMv;8AN~^C&Ay zqO7eb7$r^%I~qe~TqAu-UvZBEq%&{_Je4{aAt>IZtq3t48?XcEm|DaT%q5^+(H%}yYZ^ffI`>d$MmDEFnZU>?&_3r1 z-}-6hTy=;|q>PE{!5x?iKV93ubiG8BgGA|DXFllMD9IkKTSQ48{l~xRkA7z;F>Um9 zl#ybl`x-+D*R!28XFX4ct8c}Wj>i&RIhAE5;3MB zJ4NOC%U`?QvZ>2I)tbDkMSq2Q>}u>Yie3BYSJ}%X^>(bfnyd;x;pY2XJ}Fk;ZgSzNb>$u7frfHTarZ6fY0;OFbhAf zNs(U$e;`hd(c3$uqRZzNYwu=mhyBVjiV$6WR$uc2N0XXbeI-p1@@`30+&dcyc3jZz6@=^E5uFPilE`v6(qqN1n|!F@TJ_B>21KjvtD^hbW>KYM2%D|^CE{a1{z zX%l`hFj@;?w#yQJF1SZoNz@~h<3KQCW#ZAcdr^;wfUUR{D@Lr$cyyaP4I*f?M+nYr@CFf;G@3$>)uFyn3U~Z(Rd}h^;46Fs;{_bRN6`Sn)qQ33)pc4 zGn}FQTL6^?k}h%RjK0Z`e$6zg}^9+Oc$HWijf!P`!VII zE8ZuOR$t7SBlX%i*7-{B0f}@`pAp)dWX@n#( z7_!x=rb0I&e_(D$O+{e#X;Z$QNGRU2^V$^IeW~Ah%a8eaKl|Jd{gbblM2@W&oE{IH z*8tC{B(kojL3X3j?EwU>y`Ij`_a2iEup-niswfpk)bT0q@z~3$G1;(RQNm!;SLTaC z3xSokhi^$j(F8I2iGVPwt0kMh8H$=}Q4(4IF#9#sspy~$+P*u%j2r`>A}0h8i?*^! zjA_#JgVfuDWFGCDsyT>JjplxNAmPE4Ex;UcdSVSE2|qVgHM zk5mbjEdPVNa;Nw#MqP^)`cYTkuzvVl25f5tI>hYjOvGWs8C-X_Pc)p^wGl44`5t0TR zwhMt#PCS8H2H-82u^lMDYW7D-5`D$(0@jO22{3n^94)=uugNV94tm69YVP9HIq`%j zm@zBK>d~+*b}^Q91@p2nI02|#3k=OKStvwrcSNn+=0>Qkh!Rj z+ZpZNEeNwb_HNo#U#gsUNPqzHsUK!Cuu#olxZ0+W_(t}iCo$ev zI9jIzD3<@};ogR5TUPYblN#v4HnddOEh?LNRlXmSiN8@|7%>3U(%`h0y#1S>x1U$R z8|3XToheVTGi6o{_YyC>@cHPJdGOlx=fC)#7_ar(%h-P5rT08~@2g+Z}18 zigB4#CEKSzc6Veg1CJTn!nKx> zB-5RKWpfko^x!$_?{pz~vjeYS_F1PD$pMwN#4|35&#vs;m{P}Zc z8-333cYn_KHa%yY3heNf?m2^`6>-(wuFn|`EYBIY@B2A>@x}*DhV^7BY0jp_%@+kt znT4AQni$B+Qe7h=!{i{|@aX{R)Or9GY&{elUWqbOMj=fM)5SQW{Bio(x|~Q`m~98MaW|Y`o30CzQAS#dXqKu8x-)r$-0aoq$K{AUrxMn2TW~FvrWx>`QUoD zO_WzZ5Utjcw~2SU^UZF_xiFod$M!3bjIb6;3+nom9Zt6z*lIU(D5g=m@k^d)eiGv7 zxeB)%qe%!z6~i%Zl4uItNKX7jmpsw@pJ+MjC(=C8i$C(q{^n2g4GrEotEJ0xn*R?% z>@}nL-#Jkba9aJuPFCv5OST_aWV%>e2U!TxL2TEE;U~(~1)B#tcDI17QNQV8gIJ*uvOI4W@W_w@nN#Y13eY{(V)kmlr>)%f<@!O zAh00~lB>nw`zac4kJ%)umzPIoK+6}DkTeLKk86JCSQFQaEOwR5zs_!P&>SRYm{?`o zuX9q1wm8G{Z!P=gIqtE}G}V+IyfE`-e|cs`j-1k_9>4o5w5d#JimZxoMpK9`8plIEM38iFh`CQQW#=EU=o=9*}<(ENYPI_cLFaSY~{ z-yT+z5-gWE=9L%T_ueZHLa-5~(pkmSJC9>bu}m1e-XdM_v3G-yefjIY;bVViz`OLv zxY??YaFb=b(X2YS$(D+-a=USpRY#?-H~*tn9rIYheOzr;j$@81;>1{Kz^KGtFkAI7 z|04lUw(4R2j|;TK)KeF$-poJB*}Y(~>S6zp>Fj-!V%6bNu&oHT8Z#A7TXmGz1n&#q z3l31dOvw(}Pk`QacxAFC%WJ)4cDh1xvuDdp^%U~&gRHSfg>=6<$@~LtQEb<<0}Ii6 zQ_`^G*LlEy(yVku)pGFJ^G^h9AU+7cCAgD=v*+I^Jeu9oMk@K8c_$u?dIwz}=n1DL z(ysDOCC6Gpbz!d{hVZo$W|Donmy(3XLq~UV{M9GyB-<~( zL~?dyLRs=%bWB(}0L}()^%zmcd#oOii%6j7$HdxnJkp${COE<8kDA^p7eQBWFN(7z zMZbK0-b;~XMO_KbQ93_rjMyL7uZ5==(I(q*ycYbMv!h>6b9S(aie=QbX2f=k2R#y+ zu%vH7=(u`u^Zp-?WSN2x-N+au@y(=}J2|V8I?S&zvnUO4&NMPEc#@Bf8q5WcmwCLQ ze{QD*U61)|tQYTmpTEYQv3Hb8SY}sr{(8xkJSW8Jc*XH+|qp}#GqC7xv<=Q-0 z(SR5uigdkV(x|LRi|RFMbU-NG5$2DRJDkQ#VO_|+QQ^5DO75I}4k#QZdh}3EDm)j& z3@5gy5w*5OD@Z*x6Ax8q#$O7g>^O=!qR7`w~n9RsI7RLx^42 zu+~wQEVX%{NVQ>p3%)1#wN)}<>tO5G5Fj!DbI|+C)VtZPlYR1vS=(%k)ib_JDaZOp zx1Kj#Cl0M&=A;j*s?m@Ey3rLT)KQ%DK&jhQ)N^bdXmqT%O%H$*YH!Y^cXGAsuVYF# z{$}d8FHt1*dto6t&tIOyLZYxL;q6QhHk^C$wHwV(QT?9={AWG2UJTQ$LZws+qu+x!vLlJBXgGI{^rmI2IcVu=T z=oWAXyQMZLR*b|Be7Ox6a%ccyh6hB4k=LOh^KG>Jpw?1L87oHOjn}wP>crhSS| z#PPV^4AF+U0_j%%y!0UR@#0ao<7;w8zZ}n|yyNXsDDyk*A-3>cx;c9YVepaNHrF!6 zP&ml7Tv~Mnm{=9>rKwuB8!V-eiS}uqlFV5RRfQPDyhJqoObAI@%!r2hl0u({B z6@t`bzT$4VMC&Gt5xX^eILkHWoF4}B5M2SmEf5(+aF!v|AZ$oTmdw{WAmncE!ulk+ zb1M$Mn7?+5%BJu0*D;y+8>L_hdlQ&~y$f$ZDNRh^K*ahb)6Uky;2DD_0dto^J?{3~ z`Js}yxow;q>e=BFdUjw6XDm{mCje6Pltg?s0p|DQhVp9c4n5^=eUZM0l)Uol2Y zfM|GX?eT8>jdZbHFvuu@TGb9t+hvF~7Ys5=Fhi<~9fG2QoRqPVQ3Bc4pITIq38ECt zeilv{+{irLOtUL0$X5gn3p5LSzays)i>_)PMpTdsuC@m7Vn0tONO!7z7?g}9yLEv2 zL#B}0udOKenoG9!a8Nh8o(xI3&+Ozt^RjBoroh$UE3agfs?CWbT3CO0I(z>pDc($!VKV$5~y2Sow z`k8@=aFfuR3Y23y?A(Qn5>2`#V3O@*6>7vJo$6sESlpqL^OVRaXBz^2fNx<4KKn<$ z?eG6wK$kV~<%Yns^D%CAsvOfuJ+>HFArHH)VTQn0+;5!J1HBq>2=S$cphVDCLI_e1 zU{m^@O6nP35j@C7>M>H_k(k<7Y@{Bj2%Rp+IH|{EImSsnaEWUE;=`!ZVdoA~j~V3{ zZPq{qhcpl63lc-%AH0!z;4U4|64Y``BlQ6H$e_eis%4GT19hYN9ZjlY)m~085UnDr z@H(I~HL7ScJt~8oxl50^xf;`C3Vp{t_l^v-uWMa+Ov zuX&`?DJm`y^m)yMwe}cQdI5gw<6%$ced%-}XK*<+y#N;k(UDGFA+LUq&ZQUNg1Zf} z;IiUKrxQIy7d$T6WQ2$e(Vnqw+Ae%*`if0(u~QlZbY+oszg(ku0WKLsrI+|%y(GiI zCfTM+E0IuLlb{Tjj2X}ftm`*)w-#FswI$Kcvf3U!`D@tGc<1~4HTJ|i-{-HfC*G;! zFb>ikG1C_s;PX0~6uZ-kOj-l;vXT(}vgUbikYxAr#Y{Jb#s`;o33Y@~hWA7=i8q1!Y14-$Ly+XS}qxjUW5&I9BE>v}0d+`TD(B?uFOH++r(r zhRMFxG*))qH3R2w|I*L<4ZmUq_lVRHg5q<)whtYbz1vZ0g&L3S@mGwmneV_=dXJW*g9z*S4Ij;0)|m;toX zr!#7>4jiWPFCnMiXGkh`68T-}at3zta7*l@+ROV5*40_q_LDK6GIY|PX%E_c8>!Mu zuzrWZI)(wHCmvWye7k$Nt4ow~cKEUZo!sBTN0=8UUPAf#$b~!aTAue4T|)W!KhZht zOMm~Tf7kyuqrYOVk8sNLXCDE{_nR$x@e$TbG%HIyy&{J%LR!0F5KkwKfodgl0`w7Z zK%cF?_y~)4;){E#K7vHoYQ#H`sd^7jQeidU;IUDgT%3d!TazrL06@{7dro^9xJ7C| z?lU;$HGyu@gMI0*=;K?uHE)T*e-{_w`tu&WX=#Md`7i(GuYMfhFa0t4n*Y9)@-c3< zk5o$wCW-+X%kR*|*M-U1McA!ZNHfs#?_|JmvHaOZu&K1bbgkEea5}pPm|CTp6Tr^d_48D)`#@(QYPn&G%lEH=IFWreUmM9wv@v~ZRIj8yk zQpb$~<69;GGaVJCuT)3fK=u~w0=K#wxYh6Z+RZn8XEXqg2Vgr)Ge^~xqt-C#t$6O* z;O@aqP}`snrLR-g0L-av&<6rk?~MW2VTt)Ha%xnF`_yal)(}*z(51)dVuR9fca15P zLk2UjKZ_v8%GA&%2Vjl15Z*#Z1fy^(|I`@et|8D4bdi1ay3}!xV9}QT7q|mNaHX(n zRtO{pu~{`AlZGK_YD{k)N38pE1Z00PyEuFN%p4m$MZQkuRADX%R3|!B81ZaG)s>)ABQl{;l8qAwpt}%YB*VZa}E|qQQ z^LW><9DByzP1}Jkm8GevQ);!#Ju!Dx2v?@a^0y?%4?WKM*Eu206Z79nt}UG(38-R+ zq+c-EG1(3~B0cD_saB^!W3dVM<9s_{55u{3;L^eJ^g3AP{Y00L>V9A^Uvqr%E2e8& z4e<(|tv+1IRg*xxqQ26HD>bBYGz**u%`lqF2Gc0H7K5Dznq|E<7P1g5A=TdTsT+74 zC0glfS`D6xnpUmseD-JMkgB0mcMICb9RJjiD!{353HlXlNQH^r;^JTuk5LN5rztfp z@H1=$zzbh^@No(>wv8tPUxPph-JVgQv#!K5AOB^5cYF z8MC=6gqoJU=>f4|2+%9soy#Q}XEVF*HLU*iQqy{#eg*yMplRlFo$b0j=Mm72WKL6j zm&#Pa6Ph0Bw|Y(MAN=57{*&L;HxD|TKogCKc~H?-7Di->RHNE13k91X=uF_mGgy|< zB5;>NkUxnF;^8OkeT`B*GCZQzLXba}3+_?Wl9+L~0s~4X$lu8YF)c*Lq#-!5Y*wro zH7#Tn0Ey7z|LSOc4k1X@>nq}RLQ6hkm)#09mEEbMc@PI+LJ7Ve;11Sgm8Oo46-D*> zl6x>|i9!XHj8W($$!H$rMUWVVYl5p~ux2z5@~&T~lHM;}_4=B(QmCrFP6SOkNKxUO zB@;E4MM7zNgro=gR7lzKVIQ_}GkZ$Ea%j!5ca%PzDZ4Zeo)_VrY#v10KzhibI`QpB z{a;!OZ$Iqprfx8=cMS4qm-y-F#ZRVjCPLgx{B%F@)9e4=yT1BAm@G-P*$5*Aq#Qqi zjBSDDH6r+sz7um6@qzeN78V(cGi($9dVQrS$4_8^)7&0YjD5K$Ia|*e#T*fQ>`185 zPAN&9s$J#y>16GyU;-WRF|1w9GNaAzwKrp$c(-}AYlmo&*X zs57A|q6~*^&aY+Tx;hh_Y}F!U3+w9>)Jt|4^wtVvjo~{VSWqdY1kLNXpk*ysod9cY zCrG{If|z8Z74Rdb#q_7nRSe`bP>x}#9Ie8t>EgrWI+M*TCQa|r*%~ndev9$+lj=rw zh|NslLpo47{G%$5b*Wawv)vBKDnk!>7Txpkluh{sXm>BDLb7C)9O zLy&651wm3o&LFJV;I<)1_ooShTS!u#fCL*GxC`FFv##1;nixAj=uK%%D1>hJsL~jd zQAKi+!3X!G6YcG<@{k3Nh)9_|D$VRcbS?)Bcmdaog{|@hs3IOpav;Q@r_)KUIv+2d zaXdt7+9qf#96~RNI|+?o)jUuAg3TJ?|&#HRA>E zc{g~^&;RxR@MjXq!_%qhY&Bh8(Ku0OXEfphiZHNAe7U=*JT#AoO6c zo>x|k40$^>yj`To)n3J^BSQ{6Ai{d_O^=$UE-OZc9D<7tTiM_iuL&isr>4^JPrY5E z)~M(nVOuXNMur?KE?0{8jPAj>#*`uFr`afVE?QJbh?|z2Z7bFKjFbqQ{ZfhQ8|&Y4 z_$}h{X9s)Q#*?L|=NMwWm~jK@hSOhB54_+#p9Q?9-O}fp(z$W?yybf{KnM9Z{QEC` z#J459rx1>NkqAlnj|`!wPTr6S^IBD@t!7mTr7cQ;V0j%fMl(oT=Aa%i4ed@4HgqX2EU^u-r6Su zqC~$W)J_MVJ^w&Ro-P$WtB{&x$z{XR?pQOM5d94x+*s7-4VXsIg!w{>Cdy&CZf!TD&t;mUsEh3*hrf0G}vl zCJlgcCb|mf{1^)w;GpnM&X2h4Hb2))Tq3Tg7jez|iF#9eiMZ}2;`-t5d+B%mOi-Le zq>y1Rfk{H2y;@lnT$G3l4`{IV_P)?u_F+U(yjEF0voYwnI57|l) zmn7E==7c$6>i5Nx;;z_c!Z~d=HmoE`<{u|;PQZiqU!}M!z9xDP)&GJX-3`v3e;y!a z$>@sO@MdLY>2&t|`@r!5xC)Z{l@rt^$@~NDFu7M8Ffi1kz(Z%rCC_p~)Q%fwYNW|f z_glZo^{lw_MlU3y(l)kgT=rguRhiGR9PvH69zdAK)3wzBIU1V&O7$25CHE`aQ4D@! zax{T`MlP7dDVD7K3GvxE*S##`nes~kK%FJ58h+2Mth_PqJg`=M!8c=@PO={uv~2qr<<%{rHoY@G*f*uf|dwv z$?bx(1^KZDo#2ydP*vMlF5*!TS8gI^U835{>vo?5gE zqvsi5j0{$TVY_b30AsvCpV%GG0G}~3*lbmtIwu$po&la58SEKgBC!}!#Xwr^u=7t1 z_?cyZ!ARMEn3VrPSU65Cj9H+;CU3_ z7)-4P?GrWZLf;_HP07Vt6<{nWX2RFpBT&Oin_&rstB>oZXUmu_3z0k zC`JF?JSeRaVZbmLy~X|rut>ELMgIo91@fv@a=u;@b@Dh;|KXV`w_sC#+z~so9vg^+ z*tw{K&_(giPwcO;XY3uN62@Rehh0)$cbM{mhndVb^8EOA*OBLk#RdV_`51#+YPd^6 z?2-_B`IUFI5v*_BX{?|2&A;xa-X94uErbnF-58y!9X=S@q0Egc$dHJZ*0z8$6qZ_Y z`EN<*lu*kGiEM%(-iLcm!pfyJ62^*A!$x^^1*o(%7h%#Xl1*hrTH3av7nqR3F|N#5 zk(Sm4L54+GBHEQS+9)eVtr4dNEsDfWhYf32`P7qUQLUjtuR&<<)=?~tZWuK0+Fl<& z`<+W(^B%EQSi>-Tlwr<`})MunF#h<$={`{x^$=~_T?~ABXe~jMN zoit&^)}1n8j4IvWv{cjq3CX@O=~lMxqzTKm4vkA%(}6v>UQL}3(IF^Zs3X7R@*(Qu#?07tM8AgU~OHsR@(z|*1NCTlJa@CrIf zh6TRn9w-w@J_0B>Xa-Aox+gQ<5q5^*7Mq7QOeGPQGdND6!raly{(LXhT2SZ z!lw5vzL$Yve5x}JPI5;L2iJ47&^t{zIzic;AHmwKf3fQCef109P}-;q!i?i&eiL(ywp$q^s@!*jsh4 z)#*nU5Id|oit-I5j|W}B4Ymd>W>|GR*$pKR=1wi@g zpJeXDkQ}KePB3>OwgyWNDpueVvwB&aI;=Xb5llh?72hw9gWiCQl1JW`z))2G*qAK2 z;#e_Rb$mYnoh$nM=zKj%GBbDD$OGck_XFBcY3j2B(XhQIfe0j#X3(ZSUvqY#o$PVs zpgqu*owS^40mtSYjM&v|az}QjGrrm2%L{qug}l>E9>e)JUcG+#B_uDe--Tya44zCz zYi99{*T#l&i!?lV%;DiNH+UT5$G#;7dYjU@;e$KB>cx)Vj~)M-Kl}&(@u&87ypPUz zt0l4gWUrdaM5C3727fH_4LeTGq%~S0G!xA(^%ACr9WN230AGq=&O~E^4;r}{BBP7H zPlD&@`~VLFcASEop;S*Db{wbP?8t#4L?nYGc-V2UhG34ZG%y^OXz}P)Om>`~X2@E^kT+C;!Bsq!)J4zoa*W2gq|M2 zRxXL|OQQQ1Mp5_ge$+?4^xp^Htb!msBz80-lF`hiVtmM5@n8dI1bat7?8wAbno>XY z7Q;@(@S)G{Bz$!Xn5!5*RFhBgV8fcBSr8uvX>Q|iv6ES%+fRMK=t0GBB7NUuO*4EI zU^^R8fwqYO)Rc*CUlM~hNM(lbb-5<-Sn8^r3}2h=DsR*(MPXRC@4Q`FO)i30p4>K` zjM6JCFqcdngCiy53w-Jh;ZqL@ka|naX8r45{o~*G9X&9`b(m+4jCvLyd?d$|(|Q=6 zinO2P|Mr8aI1vu8($wb7_~bIrMJZ>tpZa)!%AvB`F9A{MQf3hjAPKia(Mr?<(tC>4 zj};>vUU zg)=S3C+3Js@@oW`Q%&7sYebiE>gt6%Z3y=Ft#hK~{oEvk6tpHHfC0BjX(GdZhAC(_ zL-nk0o|D2E27P2%S8ve}EvXeUmTN>5AExT+Q`wrLt52qDs;)knugSXlM7{

d(vpsam}+T}?%*XTYG*wsGyPz^ZzuXONnTzD|MV z_3o93EVI6jIBx76B~xbTqN^W*PY;}S_oS=QSeINhG}gfq9+2j60=>FP#SVfPct&`D zm%9fuG%q7O_k*52#s29Z@~0mESfr73xRO_uZPXH6YCg2ADsvo}lud0H)G$?t3j)Dy z^e?M5Mys)68-mmvE@%`&D6ejhY^g1RRO~JY#e!T9OS`0j=>+M7H$kvSXbpISTvjK@ zU)Tk=M}fL=#e=mi*)B_kH$iX+X|Wi*2k=DGHO}@gy2hh)TyljaV-3#=Rkmj|+J zCsR@?P=;LJ-DKG}K=#E;z=m<;# zhoJ_|Du?H^6N3)1bAA z1SaKUi$xE~1u4Mrxv4LE$!J=}RctX`LnYTpC+0OLEjlfp8tBfNbB7ql@`f96NXA&} zB2FLZa~zxODs7S}X?U9hIN~-WWu;#f?nA(259`<#X z4$zY`jk1b7G1F*LMXHLraqx!jK5OKV9)db|Qja&|VvCBjja+H*z(;o7Tg~L6BJWT| zBGO3@Izk(KyIUwItC^tkx56`ec9G>=@xp+76)=pv-J*8 zBuQb|E-R9_AlRIh?)tceztsuG_X;${=7>?VP{{|3{OP&fLV==LZMDSoG@g35U-zdD z1&V9rI5-hp?*~M6p+K?X0;H#sv zsgsY1iwwzyrQhOB$B#`7(Lk8Z6d0X_R@V>k*Pu+*f0*%l4fHs_NMv{7`?;ZBgDHeP ze&dFE4eC$zGVjJltKmD{3>aoo-p#hB1XH-Mns?M>^n@O^$b$B#dDc8GhD)CYRb0ZB zI}BSMGB9~I>os$eK=wFJI1IftViM?Az4E1B@)>XYpbtWsia7{fsMn;(hG=gDAX3I& z8493OzW4Y~0*NE3uWP+FYf{5+0ouJ-GxJ{5S<$2`q?8#*Y!_(x=yXrkq_`mF@*%hc z9cCw3H0g550S~NGOCqM6x@gklijf-xw>`XVQbq9*R?YATG}d`*DO;f)9zU8vVQotd z^Usf%f%X#(BZ|vuB5d_H(e><`2$Fp$%0IdJ!u?`c z<+wv}zWcn1UcB)x;((qST^=VII0xb2FYNY{mGqlLbh}1$TcYa~AJY__uUA*=+%XH( zGto&A4nv?nAcyno%@xy4s4(*3eenExdBs~l9WMd$V!4KK*sLBr!~x~_-4*n0I(~h% zF6}pKs{DMx+>ZErjqA-b)z{mrbxB7+pdQcdI38bE-t5|U^xKN){&2MhBz%pomUj}! zalBfie(alT>;*aay;ev}5bCX1)gL*|R zhwj3u#icMoRK66@M!wWuP+STV46C)n+>LHUF_|U^RGZ=mUMQQ2WtvVlH&0B*%faHNiGJ9e zUVlBLiY^`3($tRr?8bqk%a6rpfBm^ne*KT9_)MO=UYWKg_rrS0R8G+r`Ef-tn}a~9 zb$3?R_Q$nXrW>tVfrgn5ZdtJ^Q(Td(2n3Lp)MLf0OrgG^bQlC2ISQe!n3XB&g#1zBBOrUO_odAf_90Fr?|9iMujfXdoz?ICnS2xewX>=Fk3&i2odVq4A$?Av*{BulNmk!wMN}o$a#t&jt4YT&v&) z@p31~_|FBm0#b%egs}&abX}1q(FI#J8&GXy(TP*jBDx?@Gt5S!G=N^Ienl!W7laMg zt}Dc<0~8=NRuj}gOk5G??yix_$^~K875ofbq4{673tx}8EH1fUu+qkh1l4B`RZOrL ztc{waI5&868hQSy7a3#O=>*d$#|BW`EDgi2C)vN=E<&#ohTq=gzUx?N+VEeZz-J)}v|IOF z(>^x}oVR>$mY7oetv~S1-}N2AOsorel}@)B?2Y+XiHDF0wOvJ}0v?5Fvv_DFQL8wo zBp50cf-AOsLbn_MV^DNLtQaa4xWEGndLjs&sui9P43(Ned{9A9spT3&rDj14?db(W zr9yCtbf1>Zk#oK$M6Arh=w6@wOR^!<~Q zJcMS^6}@~jic1LLc;`CQUt>?a)1}9egC4T@D?*nGFY$TEL&*_D=pt4%3If=?6)&+p zb@!{mQdwYj9_w>I`>X%&kLjJlfd*@1N>Db1FH;^-My~epkj(HgC3~;_hQ28p%*T{K zl`VVikTuxx2(Dw%iF-8Tcc;!83@C2Es+go%pmnbkEE=qVs#%%n1hWPsJWaGLs^rL} z)lZ!@7?d&QmO&k3hS=c46b%-$RMuN2@XHsOoI=FjZJ5dkqFe4!|IYR1q%n{Wme-uE z6;-DMs#>>~=2wjYt3~4R%;b-&Gk*d&M!^k{uSHO@eEwma^cGI0B>-YYsz*OHpk#y@F#)i%v~&oH zdTf%7pcY1ykFVo3#1+ zfAvTIj@PGL0Mw8<(hx2Hvc0|4EJg-?zobQAhb`IbMn)_Bw#6+-0Bqsu&OGoLm&{1lS%Y#L zrdZ!o6J2rue#Y!akE;PS?(J2!zS|a8Pt1O7>|U5?JQyaE1MrBe@vZcy&er$X!s@ZP zFM0N3gw z`jVr(!yKiN;n>}YAi9#_ben8Jy$v^xEq|_EpAob(%=2e!V);HIWX1YN{+-YHCEpm) zjJDW$y~i5v@Js{iYeknqn>_WY3xbhf2fiG4fDcPO!*-#xO?}z~_iL^wjLKFv4namU zF4#Ks(fdz!K~zXWkowdG&tOz$Ai=8o6r(brKT^h-WK_n?P06LAE@Y*qxJHR){37=l zN+wzo4I9RIlO&_D7xkXin(ZP)4zpxmKer?_eNFV05TQjzW%S7u$=Pv_MlMnBN!rxX zl-H52RN=d2R95YaE)HsVJ;8W`heu!jDpz{r|0tD^ydM6f{NzQK-k~nF4*m1%J$5fo z=&wuI^JZdomB4-CC+bbiCFyuKNyl&fhoAOEzbL{UeO`uU+UEQmm)nk8znk*|Tm}pl ztS@X}Z(ORGM(s-k@!AV!GmX{&PDp@J#h^-sWrShNX8H)W8>x+gac96h!=$5|wU|W& z!Z`(Z#fl7jTyTrDAFdJkPV`%7*b{;bdzv6VtO#QKGzU-@SE86{W4$2t1STK!lG#k} z+SnqLGV4{|+W4yZ3$TT5D;iBKo!dUXcBW75=oq?IS3!rRy*an&mrpu!;_f;qaoX@+ z@{M<&Z%l>3^b|`>gLWe?49*BDq!MV)&4k7u808tuOUyEF`QD%~X8D;P-TaO({;UtXy&tlVjeYi(=3-8vaDl8w9G>(lzK_d z0ksMY`px&VDlkCN4r)3%2h=Jszn~Rb219CL%hq7I;s8X6d(MfAwCy>^0LmOYmZDs=lONr zcG^oHleE~x=y5!AV6?NqGvY4oAwYskb;-RpukeOm3`&Z50O%Efis&xpjf%z@ZSIM? zMH{#kHFI@X00OHI$--J}l4QE4uh7$ILq#zux__LcJ=L!hAW2pG#j7o;#Thmu9XU8Vh7^b7afh&85|&_%QZ9)2L^A!@uXDHA zYya|gzoBoF&OXn^gSe-^ts6Ix+&7q=Z|fWRfjUDR_b86&>G1fcU=$kO*0_?} z-qt7Bg8F7yZEnrYu++M_nY8a-1*Yb#?$sl^RcrU^NqQaWM-9w3*>N&k%?gw;g}fF5 z<$`599WULwaemPI(D#n+-wC!JnWdeU>&bhBj3+Ng&pds9^YK#SY1iM87b$T?qoM%!5Dspe*t-dm!H3wpac*=Xt~N>6J$Q(%CJgc*Zn`ix zbu7=;QDQ(;hKL2|H2n%GX zJ8OX0pQqiMN0GS$!HlCmK?jppO@C6ebG`g;Oqb{Ve1BV+IF75F1aTg&vi5*;Sd=Ht zZ+iBSn_lLJ9n^5;S(n^(mCw2c{>f4r-lW6g!Sir1Cz#FU_Gr&KiODlF89XCU(8_2lU?O)N` z0Mk@Vg`_mIsp;HW+7h(oHP%g7QrN0|Kv8J{9ER-HgadMx_;yz|J;KP1CYY$_mun_p7;7)M?|=I!?$>9T^Bqkp4c0&y>R{d%Wu5=>h&Y}yB_fFIQHG; z*jHY@elM1@cn@3oC*nR2@#?PEu0Q|9_r!6py^QS_UV2X)ESLB|#e9hoDP9Z%xzG8@ zU;76?Cx{F6$LIq&(Ci_RP2;&@eytj&9LS}JZ)k6FAQwH78)S{U6>}hGxE@A)%Yk4v zo|wCe^fVDO7;ugik+QKn3)rJV_rkf3?s_{Vt2jH^`O*|GsCQz zT5kwgntGQdXNKX^Y*^4mZWf@^dTUHN4r<2DX+R9E+jm7U)ZCKC#w@5l$~ehv@hEKL zp3z9IMf?>W#f3+mJcY?4LQ7+b)@3^Yzd%60GJ{%A2#-SYn8~9^k3BpJe7oQQZ@hwa zla!1H-1q0w4BAt8gH4Du-kW2+XoJLLsr?c|*6z0n>&0WmWa+VD$l9?o#XYRo#lfJP z_3HJjZ@hZ_@=Nd)R_~vjWH&K>|jL4#t0Kab}#^{A{7DMhK)`3 z3Qwds80b4Cz%l^Z-7nE{NgJ96Sv{F!J>CP-skD z(1>P{{9CTj=EwNocHz{F)?IMF023_@)!2j;`tnT>a}9(l-~d{Kenr6n8!i7HW?qN8 zAhhlUsUv;GEqZUnMnVg5rd_#48dDbp-j9REfFQsS0gbF}7e0&_Q>_BObp8$<#D>M}SlkBz(fLub-P4yS`Pogw&-;m(1HYu<7nWad-pWXVof&}n zY54E|e}3q%J&pz+jqcpAd!{$C>uB5Qv&SV3-xvsyneGL1lpIO(op9`zqZlsmgrUQa;a7a!bSSfa&V%>^VwqX?TEy zhtz(E_PijFQs&?_=nL&74c}7c0KX3a#U%|NDRYn;^_N-G@GWId^2JgFn2gcGYIo`K z*b$w&;sJ1n{~Ox&_u{*4*?1kl1b>wi-}paD4f}^Kc0K%x`>^Y& ze<(fD$eb4@!EUoaO(mt=v|`SF`?Ghh3ov7O+N7Dw_vVWg(4YUwPk!gGo34P)By`(v zprs^}xnVbEEtO`~dst%>qX*Pi~W>x`E) zUB+Kkc3eB_MUIV3yHQ2U4z7I?J3PpfO5QWaU%WAMIMXLK!MJi(ViQ22j6X(rhE!xa zArxmlq1;IkzhN|5201aFLjoY09khKQ&32QAI*yk_Zqn08g%*$(+Fk1T#m#EROWrv0 zyb3pt#HzHyBt4xTYpHOee3~nqX>*1mWs=e#s&n=9Q-b605-$Z!>&k0sj#9~WtJ~YU z3m3!Pa4~$*AN{ho{aoZ=$3wOG1f8k{1Glyy?n`yGYaI!?26bs1Rmdfi& z3cB8AXMdrY?A>AriO&84P(@5p)3F%R&li6oc=ASEkP@n$EW4Ia?PT4x_zR~CuleV> zi^8i~=m_j|yXz;S$ranHHhbpC4HbUoDzPI8+rEM4;xIh9!*G7W)?Dk07x3&RZ0G$% z7cXEwY1TOj+dsE?`ycqA@B)<0q-SJ0$%iPFSY_2hF;Iw>SQP|IQO7t$Gh=Ki;59hG zUPwjZf;M`K1cJ;nRJJ2}0WOHatu~{44_??#kY0cbA{qy&J8bq57%ZeM3xASSV(r7A z%?bM{h}1>>Fq5G(t(%*{c&}smlGR(Q38aMo>jKqg@Y*VKV8FhZzjlktrtkCDF`4)q zrBWu-U3Py3^oaem5icqdDaD)Ow&?~p}TX^BrIi&5nfKj--J$r@yz5V*wpDgX!3 z1cid^IrUX_4&&o6V-(%{__B~KNb#{0>Gi&po}#vQ_h4>7Z1?}g6h6DAaNbXJF@^VI z3V-jv_Y;391;koN_S&VDm18K{0dZW9LPrK+TqEoe zh|PAu0!xNA1jQ7ZU_vh~jTk)y#T1(0ZqLbOf?hgUeKiEd6#A*b!X^E;9N?(+!w?ix zXoAL@L_E|Gy_7*opi4d|fCy;h9*QM8&rSdj0Ax)HUqVr~``BVEnk0soBgiIvX*>8h z5)iv2#-j5(C(dMVL>=*Y3MlD-vaQY}#yt4`XB5-pYi^GlZ9T>zRub?vC%phQ-eU=L znp5=;GGkI@)zJMPSO_Kygki1}x#X|#4=w@m-Dl9x4~XsFy?6o7uos|8cj70ycmen0 z1$^$WdDkcWy(t7!V&!Bt+x;4Sxl$Zo@A|7OY4I&MCbilRoK>IZFKO{T3Q*!Gp&&2-Ig~Ow&o8IQIm)*LFdW z!Cw#>nR*@jX#+@uVBRlbhN#NQ|}RGs+tT7OB;S18e1 zR-L>Vlj`hsE$r&kZT#$2_qq5eAyiDN7a7-c`cu?92-PWHkE>1_#^twPKa30h!mXxn z*?JR!!L60&yyeG~=c}Lc-GBGL`o;5^>?eHY##}ez3TLcBFxQQExY=jUsQ&@&ck!7U zbKMA_zWB_}TnEqb(EFI#XAb7NkzR*nP?1@4<~s0mSKR%i`ciE%mS@sE9fj*aHHD%W z?7B&}6m3Nz`-6Luj?a_@W#6qixLr$)IDEJnOOx7#x{mE3Nll+aT_@ypOW4yTC>BW) zEf7=l*x>Mq#&uNY43F$dV3;$Oe?o`v*L?7+f8v`yd{SpQpU1@Xjo<+T61~E#E8Awk z-$rJ-W}OxAw~=`sMQ1fGX@J`LmZqY!8vJdw9>m2(XE|b>34yALD%)nj-$tgy);Ryv znAj5MH~8DwoY)fQ2mB59Xn&a{&JXw-k|qPmY*GUL#8uD1K7rPCI}Hpr}duiytEie&B!oh-e#p^ejic62r3Iz@8u=nCZDF8d0E z@ets39#(@Koq+pY(f~6YMlu|lqD*Ffc6?3Rkb{`9&rM1$!q?oQLWg`BuM-WHw|LHE z7>V58nC@Xu9R6lc7yj1XjX!6Q_2-Ol({qMn{W;^?{G373pEJIFy*)dAA^x1e_zIk-ks&Rd4^l!R2=oVJNDgL9;C4zUTwUP@&L@;0DOyfs zWJN%FFpp!s%g19tNXceFpq^iz`WAz6K1j~ytuaufa1l++2kFM8^y5M>%>&~<(#OI4 zj&eDelYx02>GNYgNP#rzAdUF^vK^ma$8N;&7zeT`*+3cEk(@i(PRAdvHkmR3gE}_l z^KYp8^6{bz+xNGTc_!)nn2%B*O%SNZi-wHjr8T*2>h0wr@k9N#eDfV|-=;?92M@6Y zHSNwNH}PG!O)RxtBI)L&hFomREL{7;$&(dlvJD3rY44=R>e0r7Cg|+5-jIR|&vLU@ zr^7mD^(@EK0T*l1Thj8t(c_OY$;mGICFD^lqq zCp|zqKWYlx2Xrf>$WPtZwM)t$n=z`Us2L(RLvx(5yOIg_Zpx{<@XoP4vls{Q^!|VL z-UaHq^(qU?XhF!Z1w$j%p+kSAQ0eK{vF{g=NPDouGSVtPk*m$|BsqzvNxpM9Cry)H z9BD1 z_kHI-$%1k6?e*`u-nG_VYt8F3pP6*6dVWngY9JGvVp&}|8t*fac6rjKaPYiWR@ySz&h3WUxM@M3^c*$KLz9Y331&=#JB}RBs0&zTJ z6CLg;JRzCdM2EX{8+#!e*Db|yq(bovPz$G{^VzjcoZbp?mYnC77NAPzK)ki!jrP~) z`!mrse^Ozq?)C5?l(wio{nE5GCL8MZT|xGB^|A7geAA|N+ScRHk$582{^l}}(29i% zNR)eVAtijSYOPeD0dTlT52`MU10s~Zs0^b+7t{VoQkIzGM4LLo*TO%F5c#4C{3LTX z0STzbCq15CZs_s!EynEC&2!bd2h`26JWs99ZSM0_t&feVT3_(xfBu(zMof6rBB!JJ zt>j7c1(RV6DOU$gT-jGWuw%D9BELpp2chN_jzIh6D{jHe0-H!+$KLocV?~-T7u;h( zJ@iJRrvinbd*OoHrGzlB@!&Y?&ynth3mz8-0wHjY7?aUMMW}&X5PbsVBqdbD6MOa* zuA0%43u4{|ubNPi{Q!JYfE{2p2Sh|99n%&|7GMW5ggi^4N_buMmlXHdkG?+~6@d>3 zZ}2zcKtAaa+VqNnU`o&!tqIWazWoA|X`lKto+posv|qJLFX7W4j%~e-p>2 z+fh=TCxCnO6>_SR%x1+;gFs2u$#$d)wYJg1PyKm3{6yh5z5H-Y5Zh80uq;eJrQ4Lu zU8Lg9bzJNvx~q8fyyeH@(ZBrdzwg(7Nu=9AK8@@4benD=7m0$5sCOjCNalzvk$q(b z!v&9r4cnZMm&2$-?KTcUY9to~IT-QHOrTRM*A;0nn&1WZK5{{10#VrE zifdG@x)rI8To5DVfv9Gt4=BU_9QhzM!PPKIThrV3L*mHYV zI_A9P$8^k}`K-5o<9~hir33Roq1ZuMysFaZ0Dr#>v;jk6Zi><-hk8 zc|cJe;8K9+SDmx$^XyRP6|DsTjCFC^8qkB;<=L(F)LL|TP;c&5EKccWMG`~`F0C6S zR!ny3DIAaaDdK%Mtaud1xj3Z<%(+o#50|B&j##odrD$(O&L0OyEAGH+uTClMjX1i% zB@a%sIHe$S&5-7Ju@-O_rm+NbLEAL8Q zpSS#&zW$Ei@H2ngkDg8<+_t_>Nd!>vl-IoK>q*6)_4QV?6;uqMd!6E_`r77uAc?RN zM)jgyi@x4(NpCCtCN{4mlxx=4lQyO3>q(c}7bLKM+k_gy+vf$|NSwm{jUHlXCF1LrI%Vk*-UGGvOr+YnezQjucLvzP;Lq;kweK1`$ky1s=x}G^XGgzx zUfTegN)={=l<^UZ^idQ+{GHGI{y+JZfpStB9xp>0DGykrfoG(qL_@Y=^6e|jD!br; zJsf>fzZa1YiQSrOTaenqNO7mV%-R3Wtt87oqy zy5OFwl8458Ld&IuAXRD;1YlM1qZqoVkgX7;N_9c7kSQDrwY$M+M2R3=a<|-Qb_SX4 zeSeaoQeAStgwsLq6ca)Q6lfAbxFmp6s1!ny-9-H_BpDxl2HjW@9V*RlsClJMD)Ve3Y;hUNHu;*YvvoY-7H9{g7e%Vi0hsW!K?V1))vbv zI&{M;&rz-Ur&+~54Z0qiZ)APT7`L(b6&VY1jlFV(LZUNG@VVfgkF`%W?;Mi9d ze7j)df&{{sOaw3wAsG2gw1X`;M3OPv3M#4-jC|%Y+F}ks3-^MN&%`+@xd3wY+Z99Q za_Gos@?wAzV`&Qg0pueof|1XJ;Bu`k`$u+gb%K%4#Ki#GtKlz*OZr2n@*S^cqopAb zvN8Nk$G*&bGA*Z}Ta7E@-z%iGi`@DQpDuMN3ZYxH1%Y|>F&RDD*>JJd)iM`2)J|r@ zMsF({#-2_ax}!9U-?1e`Tvi%qUeGjfgy?{oy?u^| z&reJIAnznS2M4rIYf09=f~qU&L-372gCTv0Tpkot$us8kVaz4~qP<@+XQ%cHB>6-q zqFJyPEa}4?^sovJryZ2^AzC*i--WC8W^rZE#>M3HAud&$#gFJH=nq}fhwH7favnkX zKS-gmNwWQtM@&eRWE(DQAjyi1OYV;gbY?Ogil)Gc4>w8WNFF0Bq_GbB*A0`7-X#gZwh%Aca`415oxza;~ zt^Oebq1TzM{mKH?9wKfkPqP}$?ja%-*j+zF6D(lNP-Wy3{OswB7aa4sqd!a=8s}iG zB2G~xTaI(+Vy3`s096Cj?INfeSkJ`uV>`wA!5axxD=E@7-=cg#ZpR6e^=z9@7_4q3 zFoZzezuI!Lupt%R1{;#qt+XbdU}5V!4JDx(P&xUv+9uMgplEY~1hy8gNvZDyw4h*X zitWKe60*54{0QY|Yi)^2?$%abmje|ipb>k$Y8b#i=kxyhH+^Av7|QwJ(Vugx#7vr% zGv(V?P|}S4TyWoJ(m*q7Boc!1Fd45)%%s_HL>-!65es208B*^{LSr401bQknj7H zFa53md`yAYo6$QM7Ke=+@9bb;s4Pfy;Ps9f?`*-qLCw}!Sa~$lYWCFalJ>XR7P{4r z?2H9n^m=az>k!Nih8j%~#KAk;oiq(0kJ{L}t(QY)hY9u*rG1Xtt$y12%d1&wl^zg%~Uo z(2(4pg}H#tlag=_JZNG9RB&Ozx@|obo!u)RKOTa#r6K4%WiXW*F^3?7K^Mf77}PXj zeSqh%p%)=YTiOI0M;gL^jafsGw$ud^MH-41yDuljks<;b$Vle#EOiI9#MYyj_8KxBQ5O zjPD9Q1G~<1Y9wxp_!++BVTbw*?=;v<1_Uy>vG59Cvnr1!ejt$Q9YlgZJ-Al~csI(B zvg4c+`Y!3{^aB0p7?G)^0MwGYzV8d43S`*xQ-RHXbWXnYBX?oq>v$@#Z2wg7pPap9 z?5RL*|52Zcsg(WPO88i8Ej4A=afB_SI(gK-RVeqBXM|t-RX~;^2a>MLr&Qv z<~7Qc!#cowUV8TW<>%k?{0rC52#qo)eK0F@{T%gb+c++U z!$EG^_3e4rw?Btn-~H_S-isBVeMv%hY(0}a$>*>0ch6tv@3^1OA{p7OeO312MM@qk zj`+g$3-5X1`uVrY^~j}N^Sqj5Tc`-ig~$b*eOKsVw!~@swSD;*r!p?rPI&C6H-G0a*f+Y%y5U`doK_L2d?X0BS&2 zQK?Zwpjdd>PWyK~x-dc)web-AoNM%~OB9FU1o&Be?{qqJup4~tV`~iSkA2Bsecv}n z=2`s;&pex#K@N2#C%|wMORc&CqNVL7*f?o6`vC=aj+PVO%Yq2D62|0cIrv^cVA+Rd zC0cHLFChQ!Bl;XI2i?oUM~ueBq=+yPTrPK$%xaAZYzJzB{v2~eNS$w7l(_2tvU5ZT z$;Jc*%DCaO^D-g29Ga%u1%YyB z{S}7>=}r$lrm1$pgGM$$NTasfpCe7R3u30GaswfoZ-d(GE2Q%oUbrAq^T@9<4p|`5 z5VIPBVya!zrY^$}fVru^?2O}Pl^rgOW_-348-CINs{tIMyVTY#&72w`KW3nfn&Ysi zVJ98jr3WYg>4LGpdvLTH+L4N~#3{*+N#ZrfEp!=^9UH_QC`sRI+|gsR5qDfNbrN6Iz{lxT4id8cGa7%NTiTiI%`$y%Wp# zMuYj3Uv>Cd4?i_vx7s1(v5EBy!BJkZtB{;+D=V@@x1K0GZAJvU$bkhO2(CeitFM0R zQ{;o@EADqh+d_|lylo&zU#AJ~_pIb$#np-}6bNGC(4z3{&=~Y&jp$&5Q@1|^Md6ts z=<&6>1BSH$8=5FQzZmo|N`?mXkpto~QFwmn5?*f~RUT9d)FZNe+u=;)}!)~lyUGT$8<7qweZ(1W9w+k;McX|RC-Gcc{M zc~ad*@RFNRYInP8%#rkv>g3U=#{(n(tD>%GLn~hcVe2yfS7pZ|9X*#vW+3iWuB+m? zDSUOgQb4gt-GC)MMTrqUI(Lumy8q&nV_HK9%Rj@b+M+}Zo!IgnJ;vBPsV6zbj z7DjN?tymlcI70$GL8-?&V`9bXAS}0p6$}2@=2|96>1;IzVGqnM`c;`z0+Vica7kX; zj_{oJ$4r*^w; z=xVmGf(rrB_ja>}@ubbPueyF) zQ6O8^O^OB+W)ayp}UXb zOWw_ZHPDf6g5YzOtR+chRBwhLe?}LC7E}}%8uZBfawA3qyhdbz<0$!!HSEWV8Kbci zLP}^F%obhsD^eZ$p)vLpT9ATZvr|PAD^eXc!2?w~1);iBcZDF;p$i7;V~OabyBJZ2 zE{R#p&}~=}j-4fIW66Ti0E?eyH&H-Ck~RN8C)HtSy2nIbBozmRXv%PUnt}=;7O@W@ zE&ssw3;XbL0=@B!^M7NiHvWy0Q_U9-6{Hrm5XGd;#h=93$xM2JS@0!Jn|xIh>f#ZL zKlW&!&`N_?_CO8nQs*ETZuX z9$9`>HMe6Y3fL)VyXe~OmKY<`31(m#MzycuvEk5zmtXnueZ}1#b#Ym7(f8rhk)K=d z_ky;y;*YHX_cJTK?P{^c#X#w;!3Er%V^(_m!-8O1YDcG}i4}{|0|)@gA6zzz{px=v zN&0|(IrzmntRCtSdkiG`$hzbK=0znp#3bb3{G@tR&D3RVR4GMKT(nIn(y>93{5F(Q z+u@WR)5E_K*_zyNJ>$}D5tn8@qCeI25mAeGACdFp9x0b&>pgk;^DBSew|wB2M;ojr zTwQ;9`ZaRns1Eme=bU{-=2y`|hXYvG9}LW{Za%>WD_P!PYM#zb}4t-A9(Q`0{4 zV$rp&;j?L1G~lKTIkswmt_t&+Yp;$&0HY>F-`ZEql(&!JadyhN?9n__w1LJRekk`+ z*&2Lzek$5;)8l<*15IHkL9IWy&*}LFV-djynIx-S?2SwltrPh(5pZDINK{>xS*c@6Cd&qmkj%knXZsWa~Xro4}X_@&9a>?nL)nwYtZA@s3#n9;# z5kjEA0cATPBFvB`7Au%D!B@!=WVGSZq9r(?44qE<%NXgjKZahHK7bQ#ylBORfk^wK zt@sk=Pigc`rvq-z%zUbRoB4A18mG(ItgbeBh6RX1H>E8A0{sp-qTFn*Hc&$C_uDM5 zHnfrL_k%#)pWAW5o88qWqZ>Ett4+Cn2-N+#o%YB1=KH_dUTq4xb3<#NFIY0@4Gt*` zq_Lb3p+J&?D@({#_SZoV**LoZ%fm3Tx%zCgA zEVn+~0a}tBvFA1H7P+%mXO!I#VC^)f+kpwg{Py4c>HplXO(+Y&h4;Ag15gG~rFh(g~_3*(8@tKSFYKBu{Eu@}x~_-df@bRkdHsEb2lT#8Ef$VDMO`nI$($IdJCZ zF*9^qGO;#SobG^~xydd=qD!C=x>BNvP+!;tE&VbSH1!-n|MHKxN%WyK` zjl{Vg8VPSrhS(ag@HDe+MFdrn_XSkZW>AD6&8!PzJUYRDxR{mCybnQ|Sr?p4q348m z>zYOi-TJ^{#gg#et~V%tGBrMuLoZdn;|7ijC3k?C^o`}E6B|8ctVXa73V=j+b411Z z1#tkDuDM~kq25MGIJo3-v1iu`j=llvq?e={ff6CgcG@53i}Y}da5?Ydk_^MJk3I)|__aha-&5oJ8IG6WPV8;E(5llSec~HF z_1C?=FTr}?r^|AH=~BDp4xk&<$i}|1z|RHQM=Q7!q{vxhk=+(O!ZR`s*TN3N^-Qd zb*QZfPh3stW@p1x?W>YSgOk4k+C%F=GMlHYm}3^Cg$UC}!Dx9TsJ;m1m}QSfUmO~O z3&0&Z!5p&y_YWQ;3Sx);VoJ=CBn1vrj$L9FP)M%yEHRR}|H)Gp4Ka&%6M!ez>VEMH zr7RkvwFT`vf-r#7m<&xvKWXS&@k%3?%x@2#*lgbQ^B8O+SI1Ne1c-h0OTQSW0+H_q ztoNipyeXfPS09tnqm4&6z2S$!pZkHIgnnRqyl11Hh}dr?TR*4n0y_0G>MoGFEQxk$ zlsNNz+z!vjFZ$`%|NXcAW3PD)8vP>BbEEDt=PMa$PX*99(mqTD&^gk^U|>{`1gheW zher8cEbMT?)3%AAkL`H-mTfyZrd^z>i@7QG=^CZ5-w z^sm>4E9H=`c8fh9Sgd)#5U&2{>CwJw%}ZmrE`>1LwSx)3JX@sW`Xrk|m|YUjD}E(3 z#iQ8@4=kbo`W5_ig)q0@69c2<(H;S`DTLW1?R5c0@_0bE|K%?M0KJ}%f5u+|{9ATj zvFYwBk;n4H;@|8g7~qe)2mI`p088eVfSc(hP?WK(Z71+}FToVbSlFghNl#G6sU$ck zNeT=(?SRHfFh>N+6-B{PLzL}OL&P(i_6JlHWuDmou2IT3-wX?01EpM0x@*RzsRk?C zasH^emXaz!))}-55G_#0`EvO7rsWid9M=y}G*G)~2MF{#+%Ex;~DFfx5hcywU z46_vX53u8U{(~PZUyeRr0sNI;t8L<2K%gB+_mGHepUyvPkS|J9x2?KP@O&7LJ zUuxuAYU2R#DN@=`qd2(@_0+);z}PZO>FNoebr2klZUc zT#I$RU;PcA@*6)ADMaP%a3G$scqH~ApqP-)q77+WL3GSq5Ct$os6bN$&!-ops&0ZC zLaZn_AlIqvL&0aX>_;Ri5YJacKN-f6aK*Kg>%}=zQMn*cg$fe@Lf*)CLy$gM2p*Mw z5YC%5OobrrrVDP-^MdP=55vnYD|*(_JD$38Jf`aVeE%4d1eITuA9d(H$W*`@S)Mh znZSG%9-~B356lygwspZEs&jn8a3d)_&HEwKRl$DVje0V6r zV2g)ecfj|pEvQGiT|6yCm^I>rIW46)T+)1)k1vl+93M&6>~Ii1UmOnc$nyTx;gCQp zE=PYJH|-Cx2?$#ac*s&QiiD5A-H`>OOPK(KLR!XMXQGz7y;!y2I>NkGUO zJKQ{6j&n?SA4sCUBh?%D90cK(C6k%v^&2rKCLayE(V|BI*RxqE1Q^5t!)~;2qVN+n zX3ml6vZZS-m^s&Ii!0}O()2Te)hIav^(bZd5a|<9dIZF2S7&m`JT5Idw+}BT=#Tmp z&gL@Yav5(Io8xtMErcWT(GjoP3wgrI%#OhMR_2Z{LW>i(Xm$k7zeSfg0)PH{f6WI@ zcjLgm!N@{A>_MegD)1dk4GQ#P-;wVgaLUjTa9|7c3|VS~&c?#gzzNV1Xo77zDrVx; z;=g9?U9e3@#VK?IFdDDBU`$6{t&aW0BqRkA=rrq6C0>x_v0yIf2>6PaQ%E9jB7cbd zsDcF}1n-9(mB?cPt~-E+1V{@YUCeEl{QeQ?{R+7_X^PbVp3a-A?R@jN}wXYR&%Bl7yUJ{{Fd@x}&g5B{T046xl#X#CkIpRe(6 z-6)@Ti)N#I{w=!1D1YjA|2J2k645#0QBVMQ5?#00oo{sJ2c(@9MQUGJblwElTg<0X zQ0zdEM%e`sM=J9;7~za+AXcPNc0nUAAbJ)f>%`!NAfs~^JmSa#2ZX&NX$c`+iCw}tq`N)i;8zXVLlJ}gt;C2vqGLDUX;`?s*p?K zCYIBmCo0Us5_5Tl*`D9oI6k=*=JRgRY#i^#IKJx-e%62Xp)ii>skm`8zXdr@&dxX| zVpX>IaRSy7vFqy_f;nOZ&J5*r#-UhSE6gESBG&z3!|A?6?g0DW$F@0QrPE-n|LoQ6qTtfi zvf3TBs|C{$w8HF1KWUoPt`1|{h&vmQ-@95I#9w<+AHgo`u`__b!uLxD%i}@!yZ9Er z9{=yn3&giGz0U2^>!kQLy~>DhrA1U8Y$``)3Xc1=rqS$Ah326zTUG)Z%J4ubgrUa zqx0t3_XSDygNe?YSCDC8uA=5!bbln|KlxvN*MI%RpBPLFGC$4XR5nHFsTc;wQA=VS zV!#r$kP8}bH;4r{E7tyCMnX_xQk~BRIMrTBWcL6eE2b#~#gTPEP#G$76PT$LfE0of zlbRq(nXquonr@Ic?G7z5XOaqbVwGAasYf4P&rwLdzVp5t4jQ{M{%y$Q^ z`dSP}pl@AYY0Ywbj#y0A1T$@aA0-*;=V|3|WFxnrfb5T6Zjjc6d4u+gy5?hg4w@~F zpjrHd>_Di%J+n8dlLHoSKNI^0uRHIDb?1Ffx^uVGSY`F%98XIlRrm|qHKBUQE z7&Jzql?dsh)L6yms_szI&X3y|)w7ACG3p{Vahz|?^E0R|X?Gc@mCv8=eadt5?M|EW z^F-QPcmghWG3-s4gXAYTNLE#O;ug(8^4$c<-|*gFwf_~tDzEQL?_!j}w7nI}Mr?ar zlTU_o|1in2GdRVDH5cR72GcI+)QFu&8oE1lb}9)?iu3~=I%8|#-HxOq7>}Go7gIWxif0W$hHQT5 zZDYJeuME^=Y3<~_alv4`#q?kWy2Of+bX<~T%ouOiqIk=S)Th2;Fy5}HSnHvwPhD`k z0EMiS5%(BA(+P?`bxB03%83SwdxP=DNs2yo$zZ45fv2OadA9CTE!Xv-Q2n)-MkQ)R zQ2#CKKKmDoH8@hZMj=4Ij_E%a^1qKNqlcfw?_`BH)6icQh>6Kffec^Mr0^t6yIkSf zb9_Q04ZyAED!lWS@11Zeyr;kBt3UL*Q@9D}xtphChy$s#ThMb8!!N{vG{H$q&Qxq= zdW_%&ViJZ-o00;t6(@-{#ep=zNuEt{ApIO4gJ!d-6?t3T*V2uZst4V$Mt4^VZNJbC z`KytyMNrpzrG2Z#Ri4-lFBdh7lmQWio-znMW&yyxLIopDh*iF%7<%p-Xu6W|5U!WJ zKOdL$W_Kwb)_5+dset-snJ1(JhjWk4!2Lw>R_#0v}ll_)sT_aOGq3OtrDIWg^hEjfb|cpnv4&H&V*|3Uy;< zRuFH13!nAl5Tr8o711cnE?g3UvMOE$sZ3q)u;Q#C3IfrsRr6R;JV!h9ZoNW^hB^i9 zNveH9P>NzsoVi%AaSD0mC8MHxXepQZ#o(_fe2~do^)^#I86ARyOxFPbz5DjTgehMZIPX93fd~-qGyO zqp4}#%hBR-h-*wR%BR84-Klh<6Xcb@NCTTy%4&E`~Cbp^pX_X$Rze?^V|d#5#^o zT?_M{s_T4IvaXg#r{VWN9S+-)_D3407^eZTeHSard)<~pPgsf7WD<9Z)zZt2;4FR1 zSgmZHp?4)#vq$|>wLZsc_ZO?3cZ+({I5Uji4#VgdJ@vw`xhRi0n88x=m^us{?jd3} z4VWwxx5ABW0ZN7hrBrX*Ov4bQ4st=G+hWYJu`%NzNM+-KK=4f0!JNmm$ul@K$icL5vd{i(&G6kdBbO0upgTea?22H=r1}_2E@2~Od&;P{ldehGj zgQoym&ow)o7TvuJv=HO%IPH_ENBhbQo(mqF;{$UQEbAJAGJ^<8g=+x3NM*!j`rI{W zscVv%$67FbouQ19IObRRKRptvjP~L2S+w%pU)&#Db2}|cbh0%L^=qwfxF>YHlk$u&bBzHRisI}ZToFrIkUOGU5 z1w=$h0!oM#rEXzmv@NC$L1D?)ULYg)A%Pk|c_t7)c0Kx!sUYvI>@xL<_^{Wnts%NWX`6;M(nzl+2!f?!z}W;oD4HhJFGeTJMYlB9+-ZfeT~7abIk9?_ff;Y z>sjYG-%KpKSzc|5>xbdx<$`6q{9yTV6y4Q2-1XP;O-v(C3KHYTfj8KMCxuODjBuo)>e0U{0r zlZ%90NdOTI1|9B9@{s5nHX!2QVzSo{k{fNA--2;w%In9Jc1_O!Ha+lqir3%9r2t+y zge)fih-h~mtO6)tHxs=2u)v&?$Cfe2DIg*U4HTe+d)u#*~kCn)4w9Rr1fU>nL?w0qt5{Q zSPVmLUVw#RN>TE9gXCKF8McQ5sp2Szd0*X%*=N{eu$oy!GWBJfq+GOa=8oQ5w#9U^ z-XLb50l7}*bZBESBfMKN`wVD$v;F}X<33fAaw;6Pig{^V5rD)#Q&M~ev^ngN(UClj zAxzvBpKW1VVsGLDQ)ew77r7B+Mv{dbn=>k3cmJb?7xvUXM2$o3?)}Ucm7eQ}(Y3`o z#n=O$+rEh!8l(W@@3@H?xwHbzheL4Dv=tP5YS$T(G?z3cXl^us{gMLkDE=XSEwqTu z_Vk78XT;oS3gM#ykvQAaSF*GE&wbu^{*51u&gxV4bh8Goq8halgRjtLCc*k{Wdo|+ zUMS6yZK0M&Xd|O~v;+4>E!$JDzH&4-1h-2YT^=je7<>U5CKxp|7#plV1hYMbO(TU0 zswr!<0(2LX?P)XRyTulCZ}qCPJq=TiBlZ_l?CEj4q&=nOT@Cz=QhCGoOD!a#0@t5q zt|OsA-2DTLY#$sw-<@y}fIJzjncbc65CAEu6%u3s^8E=9VY}k|nI|U(SL?s+t^aMJ zDco)Gznvq}ZBi;x-Fp1sf9DwdjYzJ4Of&rD`#c|9}=#{G(-)?E_xR7(GWz|)jq99z`7OQMLybS! zxv2FfsRUk>T$=SsYv#LSR9}#U^-&d^B&pVY%>(+iwMPzScW`v7b(ci?S%Dvib-(7x zz>j)PRG(_#u2W58unr~X3Y33Upw-7c2FxNMcBwY+RB}4aF-)AE3MkC&L&o35N<5pS z*Dbe-60lI7awOQCTfKd~et9ft&-MvzIzYeOSk02?i=AMa+Z!#7MPaNaea9-dsc!o* z+nsh6Kyl8k-VKHMU--j+>FG1-u`*ucbZ#}utf;g0L1PX!A{GR$vkzc$tP!!`S{STS ztWk5Tc+)Kw9Km&t$AU17eMB@G3xW`9kk%cK1xM1lH^hR#z}3hJF;=d}Ml1;MUVoN1 z#DXKa;zA4)vEWFuxYlDQv&H%TL@c-g#m^iI=FunNF36|ZXW+2Tg5tUdVwlT)21_B$ zK11pryBR_BicD5J?-tEI!`=7{ANtYH{)yjsGSL9ox+HNCau0&|9LkmvY<+-QB3Tq} zQ4~c+0$kMnkdhL}w)rR{BiNWfZV~U)2sZi*O>inBkrNG*8Hti;n94|$M8j?}C6OEa zrc)BR!EZVxk?&3<8pcu*`Syh5SV|(_pXroDxj~PalF%655MK?ppF#T{TSnu^oCqo^poFc0RP`>1@v1~sgRuww@fyY3SNM6v8*$0jNWWjguj`hS3`Ubw z2M}u_6*Q2f-Ec|Z2ROYIlHfhp>Wm-#WZ^+9{EOXaH8uO*dunVzQ9xK5O3a(^UPR%6 z-;TuV{Ad5K`&u^j@b`k_?(y5H)&MT-+)T##&F#MQJ8GK_0HTu68;4^EmDdW<9;3H)uq4T>f^EX=0P99PIH>+RC*q`aZdO(8}K2l z-fX__5(Y320KCk!Yr!f^Ghfv-mrL>qv+?~XgoK;AIpH78#`kWF@9TflH@x+Q-uU*z zw!j|49wLMqXxBx^TZYv#X{`4U9UxBNe%u1|+zE#9Wvj@Vkt~_siBhX8hVkY2t^TFTVluv%`n%$MFOdY(Q!g3D7iTF^2`v9%!?9%fEKeF=wWKH7ol_Kh znnYe#9P7!FZ`kW>A2Mpr4Y2_sOxm%M)sS2H>5q z)`30Ln!7W4y!8C_JKpl_Ti^Lii>!sK{v3utnp4->CvMSfdC$K^%d>9LazR3rXJ7xT zzvhQdH)9FU9{_j&y7%3?w7dq0pk=YiZ0HNtq^o^}L@q6F6Ks>&K=;@4%hB?>U`%Ek zLF&=+x?m8ZU}%dP)HpOPuL}kt%6e_6{Sc((b-_KLZ<>`xKUjY;w7f0|F{LEIKp6TP z1tchz*Ck^z8&LcG4_N?sF_~>llId$-GbXd4#Y)3?`-;i)e0SPpHguOE>Cl~LzCAIS z4bw^oS5)p#o6NS|cdg>L;~}Woov4#{wp!$04U^eCf$o22(EjZuHjJt2g`pK_<$LIW(Qz$8;x+JE_=U!Sh+3J#xKmrC? ziEu&QjX}Ls9U(}~;etVfzC*3E6Qts3f{g}!c^otwQL(!qy0AF=5e1ebxJbJdd52xl zz)ui7EHPKIo+A}|6Kpi-8}QP0f>i7-7&PeM0q$26#qN?|#DW<^pmc;995ikfl$6&c zkDJYIuqG9|uZhWCMuUzP-ocs$CDmxqnI!ElNUBY~W>A)^l`QvB3QKSbI&@4)@?}W04|8%jYs^8?_Q@3i@2GiVm8+ zn%%Gu(Zt&sm~;YTgdaCcKX#tiErmy&z75MUQ=#7?6*|ez`C?pb4D4aP)ELZQsTnNw z{JWo%F>ls}M~A_h^V(ZpNWX^NeB42X#Oc1$D%kb~Bj@6*>*tsyh>xNT`e|t6Q)#$ta{1RMy>*5 z35sSGIKfcGo+T6y?JJM(wHAqOMLI=h{#%3 z+-%tfo&-;ZnKUA5Fox5%#JFg9_@oyr!w&L-5><#BI{yoUH&68}lRRWfRz@pJsy`q> zoSGGbAtY&^5JKoMqVvZj3yv{y`SSYG}5mtPL4IjX$J zsPe2^G}kciriS^`zx!;D!CXoTsDU%5&aGvB}ysR`Psr-fg#)`FuiJZA$p?1stU_4f-VYZ=f07U`p#GG1MGuJTN(6`;TyqXY)qlv*ulE20Aw36aa26ND!gO(LMx~c1yCbaI5O)8pt zYuE3k?KzLz^}A)EFtzuf>z8gU829K)K6qMaMDqE|%N`zrywb7B7dLqbGKnmC24Sp?$2Mca0N={@J5H>jOA&;&Ro7Xb_ARJp55!7`n4a|W^SsR-^VP_ zZEVLw84ptc`*=XAUgqZ8tQ>$7*HA0P%~!CAg%MD@3*a}H)dRs{A2{Tg1)Y*4oy5&< z8a+ah9JB0JdrUmBD}tIvOY0#>HRFO?j4IbT z9H{H}-QZL+E(pY%a+~AOgC_5>^HB`>l?ON7AJ~bW|B&ur>>%PN0v_6xnkv+0CkH(mr z*37r(h?}BtK0w*k8%>hs{s84K_5x6sK_|S-#PFj7Cuc{8dheeN@rzv22=#F7paM|8 zH61mgzmL3CiO7AU%>VtcD>v z+}+N|-xsaH{raXxca_fm@u-bZv2jqp9%u}Ip?i%FT+zM8a2R2G#y*YPBcyhmZnt+? z$tphG5#BS)ATx9kvkc&BxrH7s=|!X9F+Ua_M)Gm@%#tP_7Xrmcm+eSXGd}Q>`Q{P^Uzp$tOgvl6Kq&ZV|f0?rLZc%U5=TT<2Gs^5Y ze%{yqdq3w?rfdfjp+3TDHDJ-rnX(vGx;YxwGx9q*6_X+NgW+frJnM*fm^|cv#7^PR zJ>))T$`Ba>YDj~&+#A+24xJ+Er?zNGkdO`oI|pM$N~R1*4ij7tS{I}QVCUH8qynFb z&h#z=CDj3wY=csHw$o^TU^>J%LGwPQwRUUfOj!&{#U3@Mc9tb?mR9X#m~i<*4Wt#f zbmLO^ByFYcdBz-43g`cBGQOu|Vn0fz(9un_|3$fLW~({no5(vJ`73#oW0UV|m}>IT z(5bzYSI*wnOHK9N=cxYB@iia+kyKX~dU)saa&UAb8ovZLZ`2u%c(xps($7ByJT#_P zA?pR^kV)J;=0ZW?u>ikZp&gp4B36_fm9Mw}x}7TWu;D0`DkwQB7X({}qOv#Z0n%G? zR4xdvbkWY3cVc6b^Q+;qwa{y}p;`oQ0LT+AB zjurV?zqquBse6qBEc7gpM)pJ5KfL{s%9?W;`EJw50kv2so&4Y-wxE$4)S_;l5obOQ z)M9p|?$41j1$M&MogJyWaispO*FOB=#O$VCtvga4v0GJoeAp{rS{K|;jaH$hcEOY- z0d1OCEBgx7eHv;P-0eAB4QB1I!K9c@u%uG}b`v0_fAfHVN?bh6?wOOn$m zyCoP6L=YgMKBJM-DHtAZQ(*z5R3u{@x~5Z>D|lKswlUw8pp4DY4%$FLO!Sg3^N{fx8jY|s@|d5jzn;Lax3kB*6|vd^NXFs2$UN(y7T(;}xZ5OGg*TI9QP1dpq@61=kM6o%%k5b&d7 zR|Edb?7o`v%j(DKCTu3dca;IjtD9^42j6KtkauDKdy{4N@A-F3CjN~Q(|*8Q%6Jk= z8Rvy}13%!50H22GF`6CT^R?gqdrvoLqcjVLoekOy26C&i4wUd72INS{tjSX4fkTQ? zPjhW{0JkG>uoOht(04^hb?;CGs&r&|hMX z1XpC%xf7Jg#|4pgl-w*jxs4RX`z+2JcvB217s??MqVFuJRe0spFd>Cx=}5vOrS>0iE|3V;umIe!7#iQ|06HQONs?X^#DI zzQ_b1Z;r5Z{osv&QDcx{-k%ma?=KcR_7@0NYzh$7rz<_gk2N=F-w-qni7==(g-8m& zseC*xVqf7Sxqj~1mjs(w2}zzbu98PA+G}s56Zk9Z0ht(~A2D12>>#Coyw!4AT(POJga>0k*i){Y*sIs9_TBie*vGq z!3h-m-Hr~{Tp70L4+>g)DUUq9myHU7;W zW&B(F8j+{t}YmhR@StAaM|d*R@eh}ECarP4dFD47 zpJ-~reTG0ezHGa|r{(zGrk`Vt^Ua-ItV)lmp6kobYCKwaGKr*T<_(xqb-L{kfg?$NBEk@4s1IZAvTLhKZ|m z!Ll9u;|I&j>EqSh_qF_5Z4=*a(Eez2OAqnw#%HCHeQA?y_k)MnYQj6+bYX7txPfcm zlmnG)!P}mH``d+4q4~Z+sX#Bey*y3ON&8O8wFSpKaV~xR94)>4zY@hh7#!oKU7H*4 zj=b^-wnKLQ`xIa7v+sNFm0!}xZtbh*uVW^RoT$Ja;)pL?zwn+HuAhIaT#sDZ^>Zjf z@RDu>NGvoSA<=D}Fw=gBwtoED%;cPNSH|Vqf@41|ci~yvJ<(fq-d*9YM%c@HqLJ3C z{;rVc<7a&JpZ=Qb)I%XAz4!N9&-zJj!bW1aS`OTv;_q)Z9CZ%=XvFDG?hz^ulBi;i zY)E35bVgzh!N8Q>lS$6eQy`799NBtFykBvSl5fOzdX74W2>=UK@0=&s=qZdxU`#?m zff1Ayi@%R??O>+CWuv!y=x$|yAI_ALJTdE74}Q`$qW>#iw!1`dh?*O%U$~2Jec$N+ z&MvmfjMo0udmqdBcTRXaU|#r9Wn#b1w>NJHcCDr0=9>Q#QS-MzsNIdPECSnuC zl-z8Y!Y);tKW+?~fi|l!ex~F5HVbWLq3tWlv}T1mi+i&%enm)uJzi@kKYEL3?OqA8 z%4DteBNXZQnGSD}T4=HK`Z4eOgz)RX|C7J=SN-VEXo)s$ws*9hwcewYF9UTzu&~|_ z$YSW6yC6XMG#6xI*jP8VeTC?phSvpK3S+kk`jB&Fjv5veT9pm;dQ~?Vx?$1!0iX5J7Rd7 zq_TjKBj+$UNvX=XBuE%nf(b<41}MUMIg&SOl6yEYH0A_m^w%S83of}`pkiQT}O_zkqR!xmxID&HS8c9O1)l1FQ+Pm=it?eS>H743zis5^STLuk8K zm?OLtYuQL^)>~wpLttDoKxC54_XrQL@$Mjj**_kI`36kU>KuUwfLZ18AW7cH4uNdx z9)Ji0>w5qr>Y68=BXwY6)@?XTo&XJOq{`mdW3OLNVF0SN`M={qT34iZi1M1eFEEQwgMK?#yLj&Ps=u9)XSS)9WS9L_Z&L z(SpT5PkLQ3$C<%Uxmuycz6$0z(}*Vpv_TfLa*jF9Jgl}#O@>x90Qah3jx&#-lf*en zs&=qqjx*6#Z;)|>gnfux;!K3fB-BMjx?a+PmXj27=f5PH9BsJy>IhbYPLlb;CW(ku zll7|wup?RWq|yz|=anZ<%rB!xbT>U^5jw`FUMa^n@#!3yDxJ7YM#9pW%jEXCOgx(m z^8WNLgPK<=AFf-^P;N}>`GbB!xluGLxBCJf@VIlxdRA7Uw?FrFi+Eg1Fzd%0c9!7! z)fuw>@lW{^zvu_UhO4jPxnkW~f(CR6t{YlhWH7a+YhNLPiI8=PI`Tmw)1dI=Xjj;% z5R8}zRE3KTtHj6^FV}|T2*C)6aA>4VwUiFFFU^^TU_?YX^wjLQ2#9cK@LEgg1k1}X zr<`NNLny)>VQIyNqnxkCF%BK!5DvZBnY{(*pug%Avf^qchsQBjY)8O#AL$Lz+M|!7 zJIfTZE;;mlhwGsvVCcPMxjRz0`H9u(A&?C(!sgw)`9!U3z>x-H6Etni9WXK}SxbGrQ5SM2D7o z`iXfrIJ}{4#daxAC^ZtBd(*8K#Tn{InU>bnH*F5%@ z{6^a3D;s5AU|~@0f7FmDRTYaS_V8vl>*u#N-Fo+#DY6ru*KF3$zeSgHpS|^W{|_Jh z_*30ylcRP-4LC38RGXT-?=I-$VPb+qw5ido+ZNiNR-{%RAGJdr(FAv3#Zy6_0Us;U ztT(}_4ltT^7o2R?qFHZ(H}`5GZ%Y`DOHNPPp;>pyiAg&rO>i@vYA45|?Ix6MpW3+5 z+HV%)XS1}!$u^U@%rNHhsb4w18T&-(gzp@&ymJls7HhzWuG0&S=o-In%3Q)rftA19 zF56>%Lg^3e@)^-Q4TZl&CzkJR3R9Jz{+s^XU-@v1zER^e2y;LahVl!-9Hj52!W`=i zB`%Mpof<-vFb7Z050x-y@7$+HWO4_RIn0TuP2#+K z@RRyb)nHV8TrG-vp+0FJRH;4Tco;p&@j%3jvAO~vOx@9R`pgC+MCvu54u;M0n&Z4Lz;whcSh~a@DjW3e&>A|u5Ha3 zh23g^#F>0xCLeh2UC+KBxbrdX`xXD-1OMVrh4xh@9tVOMFAvepY*Ivf&yLM%#KzcH zmQ{B_qJ`n}L2v`GQ76dP+Xan*1sEE@nBeo36{VWyRPul~3$*O8#-~n@+SgY!uo?(z z78}7X9sDbtBeky!qK{3B@*F%*_)Zq2_HBaeBWH^ti3;`FUktUc3xddPhs-|(m&~e{ zixKVXk|0Di%C{X7nY|?4O_$s+7bvoGO$6ctNvVw6FNEnLI=k-HZuS%~&` zQbwTpnnp#;P6;KJ>+cJDoh{ z2EhNq4e!$Xnyyd%WrBvQ>Gjgkw?sbwqI|rR!J{6)d^{tvbEo5?-4Bz_(*AIKFX|7J z`a{|u8T`^8x8lp5FIZf!)?X;)j;IId&l3%0G8gS~H{71_6N!OGcEitGe#{X3$hZEw zcm3wbJ1FkP^A3)}!LMn^r|pKY&w}g`Rih9q3df#VVDp~VpRq1U85Q(*?3aG;ANc7W^5M>@W*p$%>=#T( zqE!H70_uI)R|WC`zyc5&;+*a_hd~Dbb&{`$-_JS#FltEsk64j9$pv?tl~Qexl0zM; z5Ts6W!R-#ccl3Q=Ff1z(f{_bFJNk}O>L`f5hkEEsRSk&<h*Kw=HquO59gT|cb$&|UJv(j`0-LuL>!gpk!mjP)%aiBXzFYGNw1Ir(z#AZ7TNfz z__ZTXytu-RW@iLq24MdO-4?8y`I4CXq!X=ntc!_D8cXI5jw?9KfZ$+FoCP7RaEOy6 z9rv~-8V$6A17mpyeHpZ-F4+LJ$e!5f2Ve8Tr$Xo{B{9r2wX52EjZA2xiq!AC_st*@vg{NpT=14|RodayxZo8@IFi$jalwUD z;smM2fJFl&ALaUmH6oud6@Pg7AciQUA|%x)L5uQAAU21(*sL%dLQ=)ec;g4l%P9k1 z1P$_Q`6hZYl8wMpNY(A|&M(o21fB_OBHn46SPFC>5pX<2d<4Fa^beB??0)e4N z)2mI;sTu;XROHTHomT#w)w3L{qW{#7{nqR6Jk_rV)l8BgwjqMDvQxcDpJIlhcNeaX zgYLrO31BV`3fk|_k;27*%LtPh#h+4 z$(l#h`PC1&3w=**@27DN@lHj2%i4EzVtn%fh z?v2XoYFTuIAPMvSRY#DgGAA|&v@D4YNrvV9`CNpKLLz3FbtK;VWl3y6peSY8j{T)@ z)HIg0S`@-!| zTYm4i{emz3nkckrmDOWeuepL+j{zmi+@yu18L_V{mUY2Yz4j<01jVJX+U$M<y$g^h%aXKN;Dnr5&P*G_qS--J!Sly4RWY4i$c- z8Xj(p|IHIXFg=&K$vgq_Yj#f*Cq#M@lM^A^;RHyqkTy$X@(>;fYA+glkRMN}7xkG1 z1T%fRtK@1E9)dmc;r^fJA)MiW&}8`=bz=G6x-qtR&jfu{TgbPg>7InRo^DbTmmWe^dRL4H? z9HtVj59f^9ZMKzp>$tvd(mI|~Kv2?9!6*S0ora?-upuY7WM3 zCm8#R|K-QO|E`T<-^s z@lia(p;rqct&ud}0wB>{Occ)`xPYlw!S!@6IU+`Q8O)1OvN)KwHBCQs**U* zUNVYjyg3`g+yOsWfAf=uT~p^3 z3qP^3lxhaSBXduoHKU3Ub*llTeKp9L0uCanP(-V}U{1=R^hU4;v#=m2lOQox%sEpu z$PoMtLBQVoJYUY40t`>PMx(m2Hq2Nt=S&Uy3`7cMlW4&)1ar<54BmjYV8tyH4&6DH zoaq7tHzLR=xf3ioQ;b4Yf;S|ghxtvS((F_K!4wj69DGcYX4)kWhqW@TZ$U(&@pfEK z$(imB?3&jLGK@=-%(n-gjyfLrg-|N5NpfZJu5uFrh=o=^V8|vT zUryL_-EWM)Tn)Gf{&A2;XXebO!Ovr^Mf@8jhM>-zVePAyf+tVNj=t)sSj2#GFqnnc znDE~MbPOoPZS%oAF8&;4)jS^sq?icC^ZD})&ly&EA!d6_)LM%L zJDYrF!3HRV_aHwdH9|6An)>%cPgX{YgE3VYEe?kBc8}FXvx6~R7R}@{;IlcHDVW|}?>}Ac9&H$~WT4>7} zIHoGT=-SHoh5x5}4s!p^8L$rllMS;RpH#?UwL?&CgVa7gp!Q~<)tvpm&Fud}xtml!me|fu z62)en2zo^2SKI93*d+zK)1REzy`h>+2S2GMRYwgItD?oI4ciBieA4GW=}C?!RXw@t ze`lVXH=;up4Z}G=VkRI5NRkzFZ%_F~y9H`t!YLl@Aeh^12ZY@3@kGxF?*^1eX8MIu9-|0NwRa4%PBnC=zwP; z8`)%}od;`{yAxF77xQR0d#zTzG?PZ=lTsHNa}SIBukLN6sv_~a}4-}ojzjTvdT#`QECZ0@+E8GMj66&8zhK*^G(1KxJlbY{`ENyGCz$JwaA z`#Gb{vhmAwUu61bbNio=d5%Bu1Ap4)AiZ1g?sbS6FToX*Bu`exa!3Wc9xZrM2 zoDKzd-kEM+!Lrh*yI^3L!55`@x)5YE-2@wkS%+hkL(`}?!G>YRC<`sw#fmiQE|@UP z0xBvi(x|&2F2OL&J2Y@~S52etf`MV)gRY?yEEwh%Bcr4W6jJ>m#i;w5J0Q8lJv!q5 z`k!2kx=RLz8N7>UVwew0M*Zc=1*zg|;`VVah``7O@NKD-x@5qqkR7c*(#fcw)>iE6 zq@$o;j~4awhG$Wno#vXyPMI@DlmqP)>ZdLDQ;VKjPM|MM$;JS zxm0@lrBZuRrTgSIYNNK^i6Hcm6Qk!XKb9E%y`TS8&%7M*nI>>um3zG>fnLC0D>}fw z>Oe1eoo#%<8l{p>FxRBOmuuq-z`|Xz5=sE>hu*gF1?X5)RS}0y32cl<-H^Hwr5R*e zd+bN9Nf}8ws$7U{`W16cs!cE09xw&4yO>;)YQqcA=s&;&70?Urlg>#*aot)+;%aJ5 z3Im4qayHQMP2e8-ys6E`whf|6(BD7$;gh{vwf-7o3o?$mmNLt z7WI~6&W_$rcJ%-H!k_=y|8me^Mv;uQ3*AD*pa?`#&TY+B+E-?MU2u;?A+mou#$Ihk z2u604D=z@|gsnsai5aXAjIt!KCh+O8B8QKIaz?CB2u5}km6RQlnOqTOA8crh5<)Pt zqoAAvYn}>%7O$S;NxRkzm)e*vM<^z!iMU;B{}2``e>L*82o@`58|vfB1}Vit?i%iY zJ9=I{jyd*;l9M3FnP}#=h-N|LLEr$-Vx4TJu(E;D}=HS zsWmc`9oY#KGAOuSlZO}T!VU(Nmc4pl6E@Oh4%7qiR8=s0^}r9PUO`RQnA>B;?A5p2 z11E9xkw^CG!Lm*?90H0h`nb!X&(c(?C^`g}suE+#(2bEKMW-#YN;#>pbkP9|;w=KU zJR8~n1tqNw8cxsOZ9tsd8H$N3`P z6&H;939M$E|A6LP5Q=gB(&rGH^7A-57Es%%4FahM{JN{8v;Ynx`P`NWo8?CVGse&T z>;K~iUy4+OYCl(6rVD-KGJPCedgo|FF*18in>|!W^+>WaWW{H=F6)IQBkf?&McEkw z&EHN+rn}YNa;71eoguhs;CH2a1(=xX%Mi@Y&~dxg;Sd~`ra9mcj8buO*ml@O)nEul zsW>}qOO(pHt4C!0BB|lG_mxc=Uq45i%tYw%ahjHD#qVLx zkqohHiLC(#A(wP_s1oa;fy0_C={D3E>adG}B)vXAOOU3q4gd-%fWZ2nyesLlhnJE- zbgSu))0Eznw&va?!NNE=I(;LT1RhW62c^=JEpMS2B_wqN%PzxZdTZWbMR;@vDZ0EHt-$?l5q z6q*iiIS`)qm8Fec5UnXpbP!CxpfW0gq79_;T}Ys99@7$xL7ku|0~bWbNYciK{eiXE zvLYiTKXd~AvL0LoMOpibn=RRqpo78u*9!{%#su5UPh1RI_3DbEtW9vY2iXxQjAOD>kgCm}C^VSoK)EB?kMS9=Ih64@vy!F1gxm7wa9VK<9vlHga>?Ul6qiAr(( z#=oIs^1k~Cy>!>d~$i$k>pRse<<#5Hi)VFm0fGrTTnNF*9wR*-Jy}9 z#5u0nz)5?G9-jVUB1H*7RCLVn`V7YSc~XiJC%Il)!zuEu{nextB|MYXoU=wi(p^sJ zWl@dUEjx^_dkO|5W_pJDiGF*r5bH==RBzWbT4g{qpjVJ=B-_0B6KhG)k@sPb}Zt zFV?hw=pX;k7yY|I&lWl(V`Yqk3*{kR|c0{lLh%>q zPtv_8Bq40n)5MUez9g4)FOEJnw<_sg{^~alt^yT&zCWnq>(TcZVLmu|xj_h>#X_Ne z*IoO?`kZ=F_24jsQ++*B|2J*zX(ZS2*CYB{Mb8W5RMyL0VNtGkW-?y1HidT5FYe#o z$CMG($tQ}YT$ZVKyppW|hWSzZq}EkyCoL(+oUA2#wT$@>mo)l6wptbXNP*N7)7>jZhWa0>EqszEIfOEvAIjUJq@6Y=ZkW zW=@MBCQXT{jTLF@n&9!EQ4U584~S4{>RfP=*pm7g;0Qei1#2^F6CXVKnK0Dy)sxsYpSzP(xZCM#S9U&`q$4Lp+fP29}(HLx4clwzvrcAuU~%tJz{fzv(?(oFZ5B#OS z``sUmEUdmS4it6sG7q;L%&g4Tcap+YG6DWWZl^e0B@^J1K znB)`KBU6V_i`r16Sz0?aq)H{5?or2PfeIQ0*DKPZmWHAn8KFF+9ryrq9JEptE2cXI z?-+BWnD@nWT~_hRiX}3d;ylQa(In?V(alqw2Sqo7<62R7z}r|$LR?MJ%|_wC$Y{Im zV@%1?+Kxm$O>h+CxD~TNZsI6F?ls?@osN4ZIRi#^c0Z*S^x5g%mP9NR zdDH7i#HP(N)Gk%Er$#Q->T}-p{_?J-r%v3W-ZIX4*W1av{_PKc&zJu|-y+!KZ;<=c zIay=u?9n0!B+3*!JI$9S*$G*}L<~Xnj10((8Y5vfOW<7z{n_mY6D6r^d_~YC04YMj zkpY=e1ID3O8*(}TSFlCVv|vke4VciM16?IzPge$|wS&P`qIcnkMr{>99ty5EXj9B+ z6&s%Il9OEXsRq1!YLaX|)qp8E!8V_2z(5{71~oi`95vk0f34e5g-u?Zb8#tDaKs&tJdy$~pMKI~yeZ zXN@pl`lNGTVwA>zxnR!Vn|{gf_=J}upQ|^cx9Yc#s%>QQV;IQh)*R#SaRg2{x&;7BZacap`b2lM+59fQ4O!0rg5 z+%s7MF9m2~ZTPXn!TIQ{`p%|vOXjBjL?pe&gZ^y#??OK3E@62 zrS|=8ANrO*@i&4iD&E_8!2y@uFQ5SkMa2Y)o`57`Drgx*HquOphT#EYT*OpJtON@7 zh-t+YHX7QO2^mMhq8*?|!G`w5idYg65NKC6Yj)oiAZo-^JoH*KoQTnkCR?w10c{o9 z7gr7Nj1;u?E1$R=f}wpOxFDS?54~C#Iwk}wt`=5D@|#eU159tyzSP&4V$3ynYXr3A za!zJKn@&=lb50giCA0Eti-Znxt>k~>oA~74`QP{^KIy@3jTGCIUq+qIv@4gPX2nRS z+$Uevl>3xcher*4ZbBcpRvLspXXHz%$;_oWwfvaU`&+;6NB`ts>y_TX_av=35KeLGVqJ@!(qlt>$+mZ-tY#e~wsq4%4eW}LQL)cvbq zQhK-=d@s!eCtc3Q&Ib>gJfi8~CzYNmm0<_9s2%;XbNu8R*Hio(8Y=BH#y2I)T|4aF zwk}`+%?BMha2&mJ^W3fL|IXmOCj`7_?$e1(!eeT@Q@NxujrWaz^^d&vzY2|~b&Q(} z^PA;>ZZnsDXG&0N<%9`1TRX+8?Ngl}Nj06VKt@?@RAJo#C+LVLhKDh?!@$u1i)HTr zVVseQvPi-%!SfNEns`}ItcbQs$f<8ns1x>4|3e8{7ijt^8r*A(B z7(u`6xBs!X{ZN$qlxxa$mTuwEVXcj!=>f5+0(I;wM03`3_*Hn zF1TIp*wF~TZ@D6e3|{jPq?hJ`=p@t;^P~{#SEQHL1aW549De}KO}`>T(ApA+PdM@Dj5vY4?i5{^ zbtj+7tUG%GdkzlKR9-6_?62cF)v{7qZpaUzDD|mPNG;NuDBSmV@LW0 zr_eeXj@tn_cBEgRN;k`+a5?N3`vHS@$vJNp7|6_QF0#)(jYOnhaA;U|BT3*aXIf0Z zn3Hx;ZBRe>OaJx4)CWyX{x6JBe0nlW-s0+*qXi7YLY^%}3w*$`#e;=oMi2Z1NH0Bj zBMe|6ZIK#el`qjn-yMN)rmzDHMl|zrNr}~t4uR3mB~wn&(qAW=!NOlM@mb;H0dbLE zCm=4Muz~Y769%+?IA&^O2CsHgNNb$?T@T*1d@>N9Q;tfdg=Nd zZ+U0zH-d~{_nyHle2EdMN0^v=X|8-Wc(-Hl{_Q{f*`M^*fViml(MPhF^9A$802Rdn zt@xRn(nYd16q7vMLQPhp#2m@)g|->t*M7gTCYD$+N3z@HmcyE%7*~sanHB>T6nq5h7Wn1p2cS;Hpa=R(p$Nkrn7qvqZ9p^?4f^$)bi^ zj((X1SNm$wYy_*y{VHExp9*HhSnE1tWsI=p@u^sK+qmP=XRc8FFRB@HAbX3@RWu={ zmmO(B{JJ|!BJKns1csx_t-ie)mxj6LR(H=^z7Np|Y1#kXzx#goL5YZ<{6`|dVszgJOH^8=_$p}!PCR?tJGA#tfUp%L+k=iy^Tq^q} zTd(`}K5E-0hyzN%0+`OSKSyd?7mNmR?9mIV7ilLM4dR$))c-!BZGFuaRv~9=@t1u? z4W2UDEPF!$I&=$~?!nP>B?$n5HE9g}=%}effb2Oq@!HXOwq91Pd!ng; zsyZoN&nXg67+O519Fs7#w5jX4K^*-#Z{CpPtY?Iqi8|+I!B<1fWt!)Nf$S~V9CO`H z%=M{%>*xM!e=U-X>Q3Aob8UyUzFa*7;Tj8WEHiR!YKOU=buPYdQB385e2FXtRBarl;2381ukiHwGtaLSy&}=P<+@P8N#y4xgLy? zlCE-J6HN_DmW!tZIO%T9a*MV$z6H5VgFV|K{FU-O!VgbEb>8*iuOtIV{piSga)1lY z6R<(`s$xwUHtLcfVc;YahWYSO%XwbxD}~R~HD6BnN$LZ65%xMeOX`C8S9i>?r{^(7 zi>s%BQBPgud|fDWd5M#3J8Vv19>>$sWQ#5NXdw+x`DoJ1?(z4S|yImhDJD zui!it)^XH0O9B}Jb$^KpTE=+2Bq%eT@ZoA*67CQv`^$D5e~t6aH1F%h6?A1v*h8T1 zFL6OTK7KH`^s!0R+kncS`}8{L><16AgzkD~8!?medfdQPijPSbqkIQ9Ac~)&Ec5ZJ@>Gtdrc3qbiDICb zqRZ8zJ@qG|o&f}UM!(!2`sKV^GzX@4Gezp3cM`(R_oY^6+x_yNe=^eS?t6`FfO=UzJcU;j-!!?bI2{R2PIyLennQ2H)&O8Y- zO{a5e=1IOe(=$)<-I<0&o`fHTE1H~n5{?ukA7kbTExB6in-k;u zeN6Gy?NjATl|ro7I}7@S_g{%qVgGcK?0CDq?DY6!PrPvHe^FEGfVCVB#b@!j5_k5& z(*xI_3j~*7kh{c|Yv7oC0g1En0r?1JUx3@m7wO|lw0vF^SK@s0G%e7cBF@amr#qgH z&+RzAq%>kve;)TmP{2$tKPmzUVyW1C>`AwYka9*bWmfMQ#dQ5bkl7?sb%AyXj!N9m zz;Y!S;ZGMtmT;sunQ`*;k7nZa?eF{gzx-3DP)sRNF0IQYZJM$(OqQZlEs1ha9F!cR zIxe1!JR8Nd->=!ajC>kWyIP5k{ANkmViXt-&2;gGL_H;4oHOcBF`C&uBD>w^Yje65 z$GaI9Mcvgd2CRfQM`l)sxTum*-+`qXEL&0P&iChGe-zy8{Ulc`Ic|Xu6drGbY(_ ziO*Ea*@BEat9Q&$#*_);(k!r$JZ6d$A4OE7B}upLk}|eMGbOa*N)} zLpU?kf)~T8?Y+4)lXCX}tDp6iU;WLAK11u=URrg$4bI-E)&Z^5l&gJ(z?7=S1%qlG zy^0l>Qvp^7Os_PxeXuslSW(w-OAqY$iflD5aTL*V7Kp#?%T>w_d1t=dp zlHCSp^@E?K3`JQ`JG2j?Rjxw~>h_()ZK}Y=Iw)__VOhhD$;7{z#)FYyp$)cE{vsQz ze`orPCxkx3p4Pk4c;_wO=RFB2{oCL1L2-Re5FuZwcpuTxcuU6zi!o6?#+%a^Lh zxwLkFrM2^JQEy^q%;)W3KL5fyf8x`=Dlnf~JMzH93t=`T78NrfIQo9^T)aW+0ei7o zTH6@rGpbRgcBAQvHI0nH`a+Oq+7G?ovdxSuZU9;mS3U%3rd^O-;sTOHw#Y)A5Tu!Q z!RTpU30+^Qn9)qTAm&GC2Ri6c4WJn-rtCUKXn{YK_I$qv?{jyKwY0WbHi}Y!V;Pvl zl7-B4yO0oOi=Q0Dt6s7zt$F`DT0eTpe0Pou5D@Y_cgW!l&NJVhJzzw_s z<$HwF!zEL4xfQ%?{PGt&Gju%EcL|J8@5_i!q7KO8X1{dl?aK)iqzfbRvk&LFI4TTm zrhSOLIuEMbv{=xcoBl7VOH!*#r8Ftc4E{$EEg=>>HO)Dr%m~JqIM?;P=DbbVhf1ZR=evq(d#4n z7CDhOqe=0>8M!PLw_NXB>KUD}JWchP%lB5Df#c_W#s~iPpAJl>MxbtyEFJ}8^+e}j zO_l8c24}W=WGW$5URx1;afo)97GI!(QFBHmRR-n~XeSPzANKi`R9Tw?JJRNtQ)QD~ zekD~l)#O)FWorb?)OK5B0Q;O;O_i-B#H8dfP1mK$7T|W{np-!A_S+$9Exm}_h1hKN z4fe^zle)GAb*iIMFL%(dX&d#$LVyP3SFvMeMSG>40nm_z~ zpY})pX4rKt)wx~wf_E^wte40P(+b;Ho)AVvuy`|kD=javyKqQi%GbTE*ce@Qy8#V} zB!qp%#^^FaVk!w?6Aa|SMp*94IZ8s<1sifZm+flU4VSSHfm;CiiaI`NO?syOQwF2U-e*Q9NqSu_8Mp;RUG+Ae z^Z?WX8z$(JP^G+b5mZS?>Cfvc%;+*({rj`}cFRvVX0z45 zo7Rp0i{%fPyY!6>bAdU9?I=0!0`N9u_A4kTS{4Y&u?2EHP?7r|JL zVD*5$Nf@;v82L-~56UDO2<|v%stQK>66bhW0e6BqZV6VDHE0|=!5p^) zD+-qGSIlusV?`lExH{!J`O3ZbU`1h3r#p0xTY?n@)FAzeC2nb~D4WAz#S*s!E6Qet zIbHRKlzgP0Wnx7cPJSpD?qEehF1Y`NDifu%qTna8%99`nKlrWR`j5Omy64nG>|;l;l5vPJkijd|I=gTy&@YIMR);MFk&#*e zQ_~5S*ilL0;36X;1gNA$dNp?3DE4J+dVP)^5AbC)fLo)-p<6M>jxelnj~M9z%+y~@ zi5)R&6ix-BQAzKXbIh^hezgHGMq)gIPinRH?mP zkigU>5%9UGc_c-udhTYnkrY4ONO7im*dwNKipgHTj-}^rOGdA$rukf(x+~*;BR zB-~;-?IDt&j|7u>V$*yd_&qQFf3HjM1ZkIYXVY7v4qy_3j|3$N9Jl(A_LajEtjp@S z2R{%64@b1WiVg@t$s?H{{3DhX$S}Jh>=2qN1SQR9f=KkBLylSn&<9m%At+fS7X(^g zUBitq=9U!&o?wFLJ!4sconSj6=8K@@klH!!nZe?r*F=LS!N>IChH57(hfaCqulTw9 zI<0jt@I6;8BaYiVVXYA43eF%GP-uaZpH?{@#g-X! zK`^2y#>Am%8(k2d`^^j+w2dx^AjjGUMi@3|8(pwr_c=$XzZlVTPIJ+)``ER=7>P7| z$r#Xsj;evAV6L3CqYdam9HZ?|wg}5rOvJP`TSEZqMT0dN2fE~;H3R@-I9M~^9`tcp zL%?on5W{rze1D=L07*D4D7xfH2STHc3p>fh7s&oX=PT%(VGeDeJV2!e!+zWZH1FpcgH@O!8 zIqt0)K-`P;a>Kny-(v1t-8|R5ut)ub?Hafj|37@ zeeLu85kassM3F)3nQ>B(%;C<=y>J8b&T;PTbP%exDcT7U(bkVdYSn5iwqlH?MJwtA z?O3(e7=)UBsEA-J7?T=lO0oU@*2jOX_22t__I}QJ&$;Igd&uQ|_Sx(C@4cVB_xk*; z-9C_#4#cXyYNn@r-ID*Snmjn`cgETAydU}u+qG#(%?zbl-w-`mC6y6`ZB#=%U zQ0{92i9Vn#YRldM~Tf?Sh=9^8G&heVRd=tv? ziFL2N+Y`%=>E1W~+%Nf}*F*QJjp$u!Yt4XP3kO(%Htsil5);B5w@jHq_j1Y?R8{fj zi%YGw4U$LzywnL6m-=1^;X0SP#S*06>`zmY_ja#PD4`9(1)+0xuNW-9jguO+CAw5w zK3zYos$tLIKjTSI+5K);(fQ%ANr}rnu}l8`9`pA$;MgC-z8wa=GWRj>*p{DAk58<9 z=Pf^`eGgyukKgz+k?ap=F~AGR!_OWlfuZT*&|WoALKT)CdIeuPJYV>Xa8%(d0!O`Z zd}j!T=ZkaHk%(X|7#aT%o-Z5;@aL#f-Jp~xx-wP_&sPE|ZC^l5US^_dI$rTrv-ap=VO#MSbDv1jZPC6g{4$ssKK z0{4h4F@6Dm_4gaY?NDDbiO{idJrC4Fn5hfsEF zU?4Y~9}7#0YI(I2d3YmDuF&Eu(OhqOB0rLB)N#EXaJXe7e#YQq$x0nDwn0k+t|Q+4 zu583XFiW5mtRBA53uYsJI&IbH!H@!sbhXhFVJgA9r;u$-bMyO({&#HU_%})=Mt;wiM*`-2lZUp#%UG9eia01K{epmPoyW=|T~nZk z63|t7tQT43J~}~DAv1l*wlRbD;O){9l)o?ak@$X})t6rQ{Cz$L`TN{X$H)2RVM6Rt zEW?D*%wNARdG2<639H+d{y5P(F9m#mc4bl%Ujv&Z1Ysnqp0wfbF2s7y;(XQXuZIwg`aXKP(<>N45ynj@!AA+q8Oho04C*~wO#P} zsaISOSps^=5X2aC_0U6*Pm2o@Bq^lXN6>KeD^jmC!Q=5DaS7_9ob?JHIacKJ(*)ND zrKo^F074q>BFG1&37(I{KS2Y{_DH)bxIH^7g@y*&?x=dSI&<6z!~yl=npo*qTT>bQ341~L^R(mB!ys4H^B-oq(xMjaP7OZ zRH6!(Tw@H5DOXaYm+O%TG?c4AW3c8{6nmA-w+Dp;49uazZbQY=6^Y+!M?Y+cHW5ki zG4)5!H)y}#98?lmmj0G2QH8C^`HE5`fD^>WE&ZO8MnLuX5c#K0Vh1J(SJlXYujYa1 zHMt(fv-hII?VpTJ;<05o+MypGUcEmX;WokDUEhX{SY{2r5VHpL5s%D7>9~LD{Dr-w z6U&d;f#3E;f8~dMU)TYyl6wBaYNn{kVYCCaHm<@PKHCM)kXEt@?lwA5YYc-T;f#Ti z5xL%tt(I(;qn0uL0onsK%Molf)r=n+YDR}z4SB?su&4=M8cHaMEf zz@dyJ85UsQb+bAoUE0j1Ji-0 zP1^OS!)`~%lq)i!Anp>Fe0QGt_F(K3Na}c=C&mq(WWGNQ0|T&(A#l=pmK%hT9h!nd zsd2ETgjD{+>lhUL@VhrC|}{9-oMdr(Fr{F^aR_Y%-Co9fnEz!E}l+p?)fXDg69G?%-radx|D(=v=- zbqm492IUr0?R@}a7|UX+FM=$qslJ4=ET;NtV3rz3bkmE}>|Rx|Xa+q(6gTVsH}=FQ zJ^Y&iy+l~tdS4eyeUFy<#cD5@k#v(Xw!QKbZo*iobNgK%{M~8(tHw;OKP`enRt#K` zlI&XM#3ANm|5%EL0VGGezh;}3IdRvtaH;-W=ERl6jNk$zgFhgY`DB_C_r%1O^Kv_b zD#H>NJ-@hU-Yx2F($cPVKkZuI@L50k&wkNov^h%ZAh=!k2w~~D9^_+7T=drOxqkfU z){~fzP##i)`{=fPdc285rtci-zQ!`1*yP7c9oX3KC@x>Hc40qqB$w@ee9&&S2&>|g z2Ved-_Kba^)Fr&Hp*h@HKJEeNmb^TQfPJ%~u-i9DB=F(?qL2!R%pjMYGV?bE^kH6L zGf0n1ZN9CEo6?$;zQuBmxJ^)*R2-Qr$?A z+BbX5yb??ysSj#?{N3-8;*TF4MOUKVIi!6{-r`b}fOFEa^EW_KviXyZm4M9mk}Tx< zn$~>9gy`BjhT=SB_`FLtQnCXn^~#!8`LPl1nT#>9Vfio-RyGX)NXyVE7uQ!`u%$SyZy~JxA*S0H#;Dl z)A>^c<_qR_93MYe-c$z3*7&5qmT$h}?Yoo}eee)l4Y34F5;pN$dlSJ!EVVnPvdTj& zw#!{ql)$O^>fE0;OM2x4uf6)JfJ$#)8E~61kZ3PZ6ZE-Efj%9VYYPqsL*d3_X_+O( zkGgSQJs2G0uCK}{DgP=*Og;GE%OB0-q+b5$qmO*(Yu-?*;)|oE_&vWQEYg3i?J5JR zc%l+jwTtA|8y~uT?fr5+a%mi!C6{z({I$A~3y}+$eODMe%(*M$a_xl2ep-IThJEp# z=q;LeS14_>zVxfSvbX$QVav<*!@vFaej;EIikTj^yvXDh=$qqt&`u%`Z6$#`JwTilFmfasy5%UJgb}45nI?mKU&0o$;IF=m3z^7M@9WO5OEggNS(I zx^rX6Kl}UGnnOBgs&n1lxA(u@?zDV%ncQKL1K%EQHH@(>bG3X$|2wvF{2Qe%a$GEs zh-WY%EOiT}R~Zm9aZKKzE){0bx>~DxgIV!JRhBV@jTl-nLz^zf=P*hyfGiQ~}lj z6-dRKhhT~m(A;F82tcd`xrdCNKv%3D8nFB)VKPkS=(&+P(K4xlMayF~cyT(n*yo1M z|AuiJ`(y?WfgAq!UWDL^DDb}z9xkL#1wk#TwiM;cc0{@K@?uL|xrjTliLnaL4gWX8 zKvF09dlq_WmiA>V@U>s}ZGUt+7C;OD&B5HmhM1<}73WysyxKEy2^Sxr;>uoOv`y~n zHX4x4+9pVbL!KN6Yv*9v2+bl;TIJ9+7U29{jRoLuSHThstl6T$i{V7xPO!uRtxtZB zp>LgFi3JXzk=0nhX8^~=lvn`DO}R?Y0d6CWlO(fe9*BUN8)$2Z1%%zMTz82D(4<08 z2V(&=J(bgZ>%;=jM>U#?TtHWm^du~yAi20N|`iTFcqay+v<@Sl~Z-`|o-9Ez{XOBea>!?lnV= zE~!3yRkC}H&}MzI@jGGKibeO4*;pCvmDNyJi#|KMCuA+O=o8t!WYI5Y_Zn3TrnL>I zTJY#JJKd;S&IciZtakbii@xIkzbeT}kK2BXrL*Zs;K->-$i#EPodfTn)!YbcdND4= zOgFa7&1MiLXEWW{GRMN?Y^DJxf@8qIdn8QGfp?H#ZqXXm8NeKP2M6ZnL}vGLF*)!C zuJ44r1ThdK53YhG@J32g`=ZaFf379PNt4=Q(+0sy^Q1J5cezleG7WR&h$Bq}&PC08 zF3|tpl?n9y{5vKS|3-;RFuR9HGgNwX=}<~|5#j1cu_F1?Gol97O$en{O#{UFW<(Xad<(!yaB}JVWjh7O6|bW|PXx!{DMst!^~}JU zGh0k)!oMulF9jP3B1f!YtM?5P3p9rYg3*sqjh{>r?|1y+&-jD?c9>FSuJY=av%{S+ z793a+Q1@+o0ErW3b<}xNIG?NFXA=khl!wn zvw!dco5OeGAh-K@1-5KRXB$(0t@>iz!cqN9II6EVruG!yq5mAGu*9WrVq7}!7SWO| zP!i7xH0wWt;~_R_PU>L2VnO)t{_KC_OW*wyTf>>&j3+myo1M~}qc_Iz9Cmv5^PG+8MXQ^Q=|!tsjp;?R%k;6G^Gg@Z zF1m*#@NmE6g!o!aIa+PBCnzqw^56nGBluak5jHq9NoHTi2EuU|^MTzO#gC98pr5C) zT0#;dF{*1a+dU8)-bdSN*oV+mvFzDcM}!+je{^cI9%kG_(4!erHT;Ta0o=LZGxYP# zgu^_?(|kN@JX%KEARxagfYsR!5kMoLzN$JrXi3^!$9jt{55Q~E@zL>95(wG`vJ%Y5 zZUcC!yz#9=N&4+lJT5;^1mI}7_ofqJ%}p;imP&icnp-w!0CDIu_}jX;7cXwCZi;H+ z7A@|@d=Ky%_u|L>7ysznZX;ILGEv`q64~sLqH|4q`xKRtqH{@mU+NSoX>T3;FKEV!hK=h6nK0>Ix_E_nCVyXC z)AoHLzH%2Y;IG&D2r{HK&YwO)>0+H2|EC^kJ01Ob!bZz*!0Y4srQUq|fk$1p=aM8} zlH`IYWL*ELKm6PN^7qHs1Z}_YxZe9TIG`3;U{t9#o2`b9(Wg;bM94Rxg6A{L1>(UF zq~Y}yHM3df4;ZP%w!TSNdFW$qhX5&(Ml+@3VNzG9=eMrPZF9K@k%cwG>owaz&k za<%+lw`jLX$;)-&)lCl4v`m~W9`hF20CmikFkqK0q+}k#LiAN-Slkj63Li^8 zUKbQTWmTDz!!m6J4fr(%R}(&QIr8g-!4q?Qy}`4GcOr~g64~>^n0p6U&AUZQq&fc< zT@z{k%`fWKuD=%T^17AQ`L>jfEUy47ie6+k2~AWzB$ zFXk$2_5(JkV(>tJC0&+i^t5?3b}%H{v%!n03IK0aTl>NqJhxwF_`JUBi~(Z9%=FEx z?}pVggV*I@Gp2YkcyC#QHzRXP4eYPwggxz*H9hM;fBZGy{rPWe6|*VoWwaJ(^R*Fc z;@y;#mJi&*Y+Ar6>2RFbdH~Nl1SO^AGp7!OrvNMhM5B?}g`lLge8n|L*QDWbjp@7H zijvau6;~V19w(9$^d_C4q_j*BF1^mDWxUV}N=nNQz1nkR4!ph7+Q{+Z90kc~f`{WC zib#+z7&wMQ=MiU`(sIew$y+G5m|<3bA5CewOHP858|4T~6%+3XUBkY`n0G2RvNRGqKONVxZTo3>S$brQYhAnsk_xh4`o`ueLjJ9NS|?>C;N!`j3Xzk_^vp*B;tI{1DZ<9{OturjXN0!pilMnwinoE5VYS-#D~cy+E5d-OlLoe*j+3Gi z^I_~Ehai!>qHlH8kkt>3o*?7cz=YNQ9L37|p;w&Ufff1qE0EdNpofuLcd-tC9~>JE z%e9O;X_K0Nc7G*L(f#UT%^lX7jmTYwWR6Uj9ZC<&ojDXA2(pFC+zDnC)+j@v>pDP0Rbiw=k|xAG;%ux) zcUcc+SvOZx6crXNmAgH8)zW3pS78Vy$7c&r z8Fn4U>keEvE8sf?I zNpcbA?T#7T^rITm)?bfkeckfbc9O{!-(V&>Q?tx4kXE;oOiwb7eq!Va_xRXTyW;5S zQNB4KjMQ`u9=sk{q;aoZ(FueS`s8i4NCnj=Pv9ngMZ{adCgK_0oJnh2o>3u6Ym!II zkXI1WX+Wtf5R@j_Y&^)X5KE`ubN)k$$jvEhEBAkUNG1OBP%b~D2+YuO>wEG;Ivx(r zdARONX7G@{&!5UZPXa`02i#GxZt~g(z?*(R0FXK*%#sj2g$c49agh!bh`0!`Kk&2p zfL)k?FO;~TpEn?3TmHT{?+MMz?KnQ>0|0%Tj*nOY`%{=mcP^bjzL3A)b>}k5EcE+` z8sW;O<-|6}=^$!^cNoWK*j&P_i7<29#g&L^!M~pm*vIAEj_V)H8<4OqeSd1+0M56B z*_by_!h8%6D8HX<$MNxl<VlQIg<8}u+Kg4y|i&+J2lMyqOA9^h$ z>KklkrwHL}+AKax3)S8-G2IV--mm_qKM;d$6@Bcu8^a=_Mdb`ebi{P1^?@jrYS(sI zb-)E}E)4_``}O^tG$T#WM?E6tX(*XkQL-uCEusz)5AAT85R|lm2}VB+@HuK0Lr`9P z6O4YE?RIc6@`Rh<@rY&~8XL@}k)(gVYEcEgBCbJPEKK8puT_5@g;$tl1HA6{JF9iX zNs5)XO;E-|RxBMLvT75PWbUUqZ%74Cn|S8=c1P#4>TB-LTWvWytk>wK?IiQX#Eo_v0(nWKw)H9{J zlK0ctRvwpA@})IfT51eWmnrQmXbxU`=VJ~j(egANo6wr@Xv2ej8!A;f%xO z$bJN};!2~v2QH%b4vHJGU9t3y0_*{`SP89T#o|S*4m-tHYypezJd^C@?ZGF4>H^#i zKs@?G7ccL00!BmxHwNv9Lsu_v#j%I<@{l3x4xPO`3>{!bfqoW>*_~kZ@{q+akL-LH zNHVCgQ+Wxg2_q|k@77Q9QCWeyYxQ%XbIe-ADLt^vE_G=#!lFNso*Q zP93Ap8;czmJTd*I=hfQiLiuM_ukAyC!aFsZv^8|8N*_X6mwyHja?538PfWU`BDB_~ zs1(``Fx`FE6ENl1VAA^J$<P4agm0wZPjuCXpS;}wd7OK_>t*6^#;GKcu>s?3v>a_vrn3C*Q9+QcGqx9vDnWbv_ zB2-Q7Nxjy6T;_?TQJbA20Tk3*99Vvtrqj@a38-fgDT=29)VT6Vch+q;Y zmy4k-b-}|LqkBYf1nDofv`Oxe+ZD+HnA}U!4rO1X981ab9zjQU@Cs!I5e9-2*0qjw zbjoQKC_7MLq(p`!vg-YBnW5M(;U{9KN6DR0I>m3KC22cP_{XICLZxR9YUcjvrVs$!$SjjzgO?A2(`=+cJ5m8C)`ug0qJ;aNP z@!}Fd&AUa5i}7sQa=+p5q5t4}!^O~)s{;ge3m65!_!Q%eIxg%TU>~;2!u2K?!-Uoh zg^M6v3>R#aj3?ZF1tGVzd>vm}Q!*d=aFJ(FBQ>7C|Iw`>Pfg!zDpYZ5Zb*s@L7Ir5@fS(MTkT z-}6?g+~wfnVz}gSwbShD8L(-^P1`Qq9C0yR5(O~g#eq4(FEo(Mw+C5TW-1_wUatOE z7Z;4YE0*G|5X1+s6Wi#mm$+0WSw}|7Q;56|(nS!qO zQcQ>+9Z773g`PA?lYY-x4vzX6ktNpcwYfESxH;-nINFVXO#7GYN`#By9Z%uCfE!y6 zbgj>$-|{Mc9AfS`TmAk?eW%XZ8K#f>Z0-Vu6X;uVmM%l#Iw%)5fsDJ}*|BGRaduu@ zXJ_6mTAZC{#vZ9P7u4R#27Cx=P4^6LV zzZ&8Wb8Q0fJj1eJfJcATr8aTcthI!DSOZZb*@rmE0{%Or`9mBi^mz|{pi&lwhht3} zs5JLCN1PqM^22%uJ_0xqL|kgND;+)G9u(_YjE5vrY4toOT?4h}xfL4u4>g{5`v$t- z=4muAy!UHFl0rVw_~!q*-C^39`_R*QmNjI@-FCcB84u|qPc`IJ zazxQM?foPu8h_p&`m-PZ$M>h0+mr*uG5xEb-~5XjJS%3XJa9r$J8opCd#MFy#T?@h zkU8(6FzF7y1QJAT$whwJ=%zwFWyO5lfTx1m>99t-Z>L4GVm=@0{}>u6?01xAgeGy z*Gm-Y%09*=?RS=Dk6%;YGe@MiaY+No*~(t^_ua8z)7wcoj|PFdkcWi?5ZS+YI@@5~ zvjf6xDEN8ISj>@$?@>B6>$&>(zZj&nB)ac0(fy9MZ_>*TJ&#{E@i8E!!3t8NUzmZU ze6i6&U;p{P;2*pv(vSMmdWAFIZn&D_!~)t_9kgy%-17+QKJS|9LYQ0hbj&ywST6OwIw`CO1;HNC zSrq?8q*C$7FG7`y;)ers0jrebr3+XkBr5amIRKhv9{CU`RTMv>6;@4YcGfT6cpG)c zjkrivyC?E&>-o3i^p1Pd(}HJTi_Pv}=oSij-kl4EO6$(GT~^<8LClunpjWbi?yW^O z1Zlxs@OS{wi2)`Rwc%G=-J}=EV+drCx{! zm!4)+2PDUyY00s6;)n_3lP*2h2pBT)Nu>>RWgD5I(Lq;wYDe>p;`?1JYMxAPW9hn5 zv@V%akV5O3Q;=O73C(cfpg>NqHsp#!8=$A2(&O3zdy>XWDa30jJ!Du=`aXI|3Z;ca zllg)r!f59&CGzlK^yg_QNNhe)v}rHhgvFzQ&wYDyT3=`-U4=|6-y2jR^!xNzzVA;5 zq2GA4d68J5#4`Y-Xi{*5SRscqrMA-N+j6wIKWP@%bioGfB1^3a|GMN0W zJ(zq(LQZtplLZCTVPCiX`tN?@nPkiciSw+(%(v3g^d%j3IBdyU4~GdjJavQYV&Sf3 z9R^@LlKM>6ZHK9~tiuif#ES!n8CREEo86&><{2=JBXY zKqGI_k=#p`QpsTl#&3~i;hB~*t(ggIEQsKm=uPM)vxNa)lhM)ufH|PL$+yQ4n)uZL zvm30LZ4B^)Kt00sMMpWf%DeN-H^_hxlmrJ~k(|`u>fKq1#Hr#&O5@_(H65?t%ATtI zi^JfuyQ<3n;!H{v@syh!%l>KDTM%w$eBz1a|64V3t*pk!-&MPMW(SHxOie`v|4nIMSvXsuz_S6k2rYV3SKQjh6I z$)~yCiDa%2#6=O;P|i`@kM=_%g{NHrh^ZB^AD1l!JC_8~g{_!a6KK17miK0?)pqJs z4>5ojY@^7IlAtuBY#&}vD22QrKi_3$v)q_W{2L`N8|7tS^mVW~1@lA-iLYs^dObuP z7a6qc3t9FYPb77oJZGe@-o)}_IinAJ_7{H5FN}Cgb6b4@q4Z#@`yH8Qdi1vS(&5Mn zT`V9x_a1C0Mr&_Iw~_`ZagI4XeLSOP!3Y7Z)udWy4p1A4p~rFo0V5UJeZ#uIhMh-6 zP!yi^A%hRw4!uWdzY|o2XOaygfOyBqoZ{H3@Jtd}OOlYX3wlreT@GFf2krtVZsvDJb@EzaP(3@IBhiEI&J%rP?L(esX*1H9i^Y@&&Y-i zRI&=>m}wxwBbXlcQN{bLkoCZ0$l_43@kynT;I|JwmlYEHdaz?YMtr|h)}AEuzetWP z5QTe$C=k1c9_=Sk*7^=kXw!kRUZSMu7bTg7o47^2!CQ!11W+={t#i$=7rw+L%iGDj zhb45SV2%{}F-b|av}WsM%s>4VbLzWPs*XX;y9Eu0&w2Sj=H-7t5d|$&hlnXE zWJSHWVLYNHN1&o~1T^RETc}#%R;W}7Y!stXqg=9vv=(vSHKguo>2`xC%+mX1{BDapTR{|FkOjW# z`9Bx&eC3nUA59ZsQwh~fT{&wI3`(6 zuOQ{0o^TrxZolCyCcfYz)6y)wj1fiql!GJ)Aq458Um^2_?T*kb2l|qRXq`c zl<(`@`uP_q=pg9i8|ycTLnsZh3YrChT49@|3*ZO;?|EXdLY_LJ0pbf!2tms>vap7P zruaRhDsr;_-k$4_cy2q_b!B{5R+RP#%W&_Shmm-xT@{)>Hjn#A2+s@rH8M^?)LA<_ z$^|kierculCn#}9>jF@i>~BZ305-|inSeKfr0sj&x==c+x`Ws#-e0Bb#oVEMcO|OkTZP1$ci~JjQpllNr(bw@ zD-PxC443-OudgcMTbv1YTgANpTilJ6|FQBpxp@!8j~Qop?`f02>A;Wn{KFPFJor)j z71vVP=q64Q2f_t*VO-vbFog#1Xoynm=K(YUvK(g;={iDzwe)CjZkItNK%_Q>7Mm_E z7?f|E2-7gkVj2l<5O9wH;3+t;Oq52eM@-FK({jj28}N9xIeTDS4~G#@k>183K5s=Q zxxw7rLJ)VAiV`?SB3MjM&xw=MB#knhi19UeQ5`BEePp#F`0@$}-cJCee{u(M;k6@AQ(!N(pMC=v z*4#@M;YskSQD^|>L;*e<5whRUKgTO&SZ=ahij<$lTF;Awzp@RW&XF-SJip`b5y!TuR6V0eE}518TF&IYryZ!2!}CJW}{qD zoai;b>i2QAE&D9WX?M{2REa8yOT1U6D4(IuBrRq?8E;atu-U}~V9U3{+L3@egs z*F%ufyW^lmj|9q{#fI@wJSrVHcf%A7z?E`Jsx`~%oF{)KAE~EmO>>u{qRTI(tQzb>^5=cm=j6r5 zQ6OfN`q;l=bKc)9hq7Y6-H6Zg(A)2%4|b(iBHHYtk?YSMXVI%l=1_hy-(C|VHTdk` z7vLES%dQq8E?m+U4g(b=H5zncRABA;`S1iQ?L<@iQyoU|Y0O^&+{z7D0H{gmS|D*Q z1!R|ztM$B_PYUcm@Qw??_8wy;=P3N1&D;{cX%|8NJ7D}bfdr4f*qE=7JK}W_&e`>M zw(<$`*_-kPY?KdGPmgS49QF(T|wOvqGhIS0`&ETPoRAp z33@imAB!926PvWkjH~2~xliMYw=PbvL*;_o{CI!v!kpkRH8+@!&NWz|wZs-YqX0iH z?G~`El`Ky|@1X7yJ6l27MRE&=>*DyJe&?YfG%k~RqnU1ls2eou1U7rC8e@oixcA|; z{4*{iF5TAOTL@5jdemT@dB+KGyydyCGZk8z#WayXu?aIB1F%lE(@hDDGj3>PXx+mG zZ0OIu`7-|!ZytL8GhY;^aQV9V5xAAp-7uFul;Xj36n=B?tK2N_i!(ccB+`CALE_dQ znjC|Fz3|QN{8H{{&zE17LfUefhH8KIw@-X1(uFKYTwwg3sscy=$zn8<7!yD!g)zYU zh6SIDpkxtp*iDn?QHUfIL)YQJGAT>uKt;3g1rD!kzv~(G0kb=OGWgLuh_glNuXPo};VC1fjjWm^z zb87DPz%gp+9zU$y|1>NJL4ctUph*A<_9!xZA5bHUi7j`!a#o{tA2=;m3n6DvBvWTV zpH6!NI3}s@G1bIoT~y0yF}4Amzvm>>@#h0rm7YI# z7D>QW-eWy}f3Vh5pxHS(B@j4^Vv3f7zyoaZ-m~6#h5{hE)hs<}_> zIoCI`rv5$mrIGJt(I#;j9WRUTDN0RU06I*IBkx-yp>D(E*kXH3(RKwVB(b5H^~riL z!oW}L*1rHEDh~Wn21n@Lql2Qes=Bh&F*c5lGec0Qta!-mAH-GQ+a&tHeZA;e$Us$i??%Bl9we| zAO2gr@BPi^JOF{^-5T(| zrr`SA?R!N3R}fn#o|VwxMS$QJ2#$AfM%iyFoPiI}Y47M23I`k*0W<)jvPKll+KSul zp_meEMswiBh*K~Jc3o#wTFsNrTQ-7E12~s_Um$U}lwg)=sWJiuW-w0kvmu=m8&7r^ zmdTdGmk^sgu$W#r(ZkDI)Zxt}GqRx(KC6Bt)6m<1XOx~@9*n(@{WnD5l6G>a~K**)aiVHATqKg7T+c5lG7kZ;ATwY;{L$~7MRK%>MQ__6+2=HqlE@yKopt| zL*HCyvimflMDbC;#{*myPZXL<+Rhwq27DfLf`{rD^-R}Uwt<*z<2Ks65|W3(cMZC5 z@ewr`9QI)5dnH}j8GunCS-HKaj;x?8IFe^1kDjZYd*Roq|Im)nj57T=OpP=clBf8Z zVNagqQAI_~jfcZDl`nF3j*Ts=sXNd7Yh`4Yrk?=svb{u0>gOkYgIf2sj#7|(JW&z} z0f&B#TIsWh{As5@mu^OWjz05Jm-bR-5vY?Re_m#+?s=9y0b+Q%GTua;sG7GP`S*`icWP}opo!2*@8?#eb}jCX3cvxJLB&=-A}@V3z9b+M7VhweTb{)jt0}i7w*j#Ev^=f z)A8o-zuIG2&azZ~4->faoyDMs-%n=7iJ3!f`Rs3YiSL>C_h#PFT;_bHDfZCh`sATY zZ3s(uOJ3wwJwI?j9eKnAq?Ou2(Da(T-9czQKH<=`h0xk-cb`Vzv5ic!{i$^Z{PbEO z=8aND9j51;3+$yi+w}ArF)rh+PK1S6zisqxsIF%M8U>yt^7V&T4!ezkzX|dv_|J%>yWw*=&QclYeeqc=M~;~ZE!caGQuJ&bOwb49zfQEn zrgJf{Xwek3Tl^@S8Sq2nWO#~L%}FHN{fk3WDHaH#%(NbO|9kKCn5JvAlgK{?lSsxK zk1dY{!g)UW_dQpyul*B8W3vZqWL(m7?emgNvbJCUWs`aWI#*;eJ9NnCOii7^Ip8p- z_)a9;S68C3XL^a=F^#XA~g7buZ)<0UA+nZm;f~z!$$gb5SFpYHk zSt3mbhKLO2h8Pq@9pzl-$;GK}cqltVh)twr>z^K$>~I>3FkwZSYAx0NFr zR{%z$zO8Mbeb;cfB2fb!7C6@+L?toKDq53+v}yxKh8Rd;3OkIJr>Vp+m|(4vN%A%N z*v$pUx#A^sMq@IhW~me|;a3ES0g%ZKHo=Q>qv}!;RW#`tT$T=}v`4f&I&B$SzYQ__ zU-@^ld1O^S9@FlM^Hn8!KXdmSbDP=y&AZXgvX8PjUDO{J64>7}VXeibY&*9_t<^QV zWQ5~@2WeDK>vnOFn^UXs1ZDL|UFG8#J#VgElZJC{07Uk7jaerZnZ)d3g94h~2_;x3 z9wOj7<$4+hr|>&(Hje0$?C^-Hq}1aU>!6PNsS9A<$u@b~z~-i#aovkN>0Hb>06Y{A zQofP9+wk|uEBB|5nb7NgluWLay2s;Lo!efw$W|Dtxa4g%(^l_0-v)Zs=iJKviB&@1 zR%U`Vu|=K|OihnO5TMb)-oK)%S9X-Ihcx5eQs$V=hv!>wW8?eS{p|I5{UFXS;Mzf^ zD!E(d6uF6)*)@|^TcbN2y^J*iqePTIz)9~FT)w1cgJ4ZS1!lXyjKu>CQ4sZbHgvH+ z{TI6ck17hK2GoCuZ%=8&MJ%qLjyNbQAN3nqimGbH}D3^g11W82c2h-Qrr+Ad*GB#sm%alVXu7;lG1(rZ`2da{O{VZTkm2x>hZrd zLD&E)ouAD%;2TG>Ix>iG$}>#&S#$yhwkg5&wWA1djJ_=Z3!V3@I3 zEH{W0N?*H{p$aFRo%%Pvo!jH+ZX#H4+7Ea8Pjrr7rz@dbd?TZ)%Jgxym%gJh2tQ)w z(z-&g{%6DMwQj&Z5==V{IkX7ROV*&{k1D&DfWYxoXhL#G)N1388oQUR+-PBODq#M$ z-4+_mysPYaFrXF?nPMOre4mwNa9fhUjA7H8|4x0}V3`8}lGO^Fmis;ag zIB%SjsFM4nUs5Bfz5~iT(jhjQ2NAbIcchT;@AlSmtz+J)&jm)0UWo5 z#Kr!_E#M?)t5biA9dCO_~`*t9#j4BJ5vEd z?|#p@@1$<}H*Fol)Uqqh=Y;+S6&WxUY-TAYO6eeVu?qt+9g>uZV=f>$Wd(aZ#W%S% z)Q#qUNqSItG)s_~z4pRx#ah;O?R4J+E))-4a+VUF<(X z0Xw4I-T9x1M>yo_+cfm);FEC9mk{5#wSE1lW9!+gks1LXV(G?_Th6VubN$FPZ2R3* zy#^oX>Er3$^&|6d&rj#obPY?OK%P(TroGpvfx9w(ZA$2ze&t`I8@dG~_A}n^@H8$_^_->xcFcBAr#ZMNghS&dDc%ru3y@xY~yvT5_u_x@V zmMsHzWY|bo(Yy`@>34g+H?V7u0Qq&^pHbg2?|rubrp)Z3I(s%sfbFx@-&FfCnuU!j zU1g2+Edo0IWIOie??p&=B2<5S9{KEiP9GZ|M;?a@8>HKq>D6}Euds(ern{)7CnsCU z_U$eMzuC^c1C1@71+C`4+0N?jxL5zry>oOR{xdh)djSuUq*3_5*X_b#U|s!Z`k8tv zZ!)}uuX|-=lP?m}$9p>2qwgM)zMt6;0T3Q49#M*f60^jyJ#!E8;Xwp}frR#8jqdf1 zvwK9S^8F7nu%iXLv1n!>^m$Q#mS8wr1_>2p0*wfmU0i9Bd7-3DfAUx(kF`mJRXa{N zn-0=Q7#$r+KWtet&L(SZITPo{daGqlawz8FTE^|kQDW!!ck3pvwpd{-<5JXRJMT_O z+u%1Pv1P~Jz!o*eMhMS!$%$n;D=tX1a%exQwi{QU&szZkUKBuWW;66s*TRDdVv{o6OH!m;BJW;AT56t(6Hb7JKWn{z1 zt8&;Uey*uxw!2C_U*4kYCVoTjYc^BEiHb}H8~zMgcHsrQe20>Q-M?bnG?E|JqMP3V zhLI=RL|T*V2WX_gwfOm-o3lK>&Yj@Z*tgDq)U3Ne+T9;VddAz%yC@*O`>~%Bu0PS9 zjmsunHny5?0iFKs=9-xFEdA0ctefYNDakuswP9$wTzE#CU}C(RmUWbIPO$;tE)Qsq zn%1m17~?PwDBd?@DB7@I>1scKhK(u2+_bYW@0tNO@a+gdV=HD3JE?){+R-$X9F%DW z0Vsy#>CnmKM>oTuS7V7uq~wd_EN(`+%MKZ;H{Z@1S(TL2WxyZk;0-+tvZ6VRn2cA_ z;y1hr-@GQ`H@X`fMpx{kHc58gj915K=z(XgVJ-HISC!x|e>eCaK8bVhzWh5@NIdi( zp<8;8&#y^~YYf|tcFxuv=kS@L&DqbaFj=l-6#&C1JgoiuF4Sa}5!rs_0qM*o=u`mn zD}Ibc7B8qT(JMD$#{)LQD%gN5T4D@D*zLgW2IOf5gg8kT*W+6;WLx z5qv6kb^o3@s`dz67v-1;{3@%RiH3gzy7aN*8pYuR2>s|dCt)gwACdGLhB}CithQ^O zpqN`*L$;rNQZ~0qfj^5BTg1{GlaMU2S6HA2?e7UWH$ziJ0(x%k4=t`!W;-#&n*bn~wz z7r~35)+zUzy>FFZR~7>S2r(L9LBESU834V$F8*aMLX3JC^{w&&?tDVg$<+TU@LroR z3X}omzQOVwAqFE%IelH^NtpgCdx1ujdKkE9$O|BDF$TbhfDWS}CIp>v1QaEVzx2$= z`7dCw)GEoCr@(FQP zN=`57nc^rT+L`t8sjw`i-byZ*ez4!E`QG?`us#28V~3pYj8dh9P@eD6SJ0)d2uD9F z$+&_enyVZ5sjUbnmmS0Y9PNqB)DWu!za;;6bF<+pgA6gly7)1@5`AuOKpmOBgEQ4q zC25rOH!=V*6A@@aqWUIGk=7JTl_l7fFrsXQDiz#N#s3AppYcL_>XGy!bDHHJ!G_}q zX;?u=q$H(y8^mTK+{-Zjb2M2Z6pWTrpwRtLKUo7APr4pc=Gg(Bix#OXfV;DmDLE8Q zWJZ=3R3P1RoT(CDS-NZ33d7p`7v3t5SVg^eGjr7+2K6&<_+FvZ#0|a6aNG9s=iYkq z|L@n*@!G8LUY#C$6C!w#heJRKoLQJg@tAbjPngn}dh>zKbT^`;1NAaF=uEwTr9JWA zqJO`_e=W<~@`54Og&YaAz-}@oFOEFLW+V&qFSgpR`9cXfnap*o*jeVcjES6|7FKNW#n&MLH8q$cL>HrYD zE~%0*#a)HHCj30Cdar-gsO?lkTICl0^24h5p(IWHlgcj0h^U8d*W;=z)Au#@a^go+ zk)#dS!4y*R$_h$zBhFvd;vqWrj5S>#EoTa8p1S-k2Bg|bES$F~ygKmLqbeRc-DtN_ zB5c|!V`Y$QGWM@#V6!#n@7c?4su7aDFk9X-0E5!hrH(ilk#sGN<#1pcmHkKs z6vx+FZaHTca*_3x?)X^cgmxFaYpUGmK>zcG|KzG$?Z&ZUjv=f}1DneR(RkU7AQbon zS8|84O%P%pGGs$wDCm(G1hf>c%2k)K2~4@zD#jmd0mdX%kD-Z6xp=O-fv8OL!x5%> zMun1cIFEkT46r1{j}qLkWzz^ z^n3l}rxZVvX1uj#owtnikoEE1j`p|Ed|fIU3TgQrBPnl2WS}P%QAQ#Zu{jIER5jzN zQ}p(9$8I!1wxFp$3O$SZSSq7O!L1T^B3^JRWp)^_v<2{%=}plbB_>IObIN_cA#e) zi?oa$!xRg)PUk+D9qq4=%hB-vh7s@N`pnY5`%^OEuplrW5{*vRL6YArVNm0s$sCwaj!k~9_Q@qtc2-Z0hkZ}*>y8*=3@THm|HpFREbfpGu$V4bI; zBETmp$Pj8d>t4=4?$q)KVhRuL{yMiHHTw`OBM>}hwj)SO{lkFV-N*T} zV!v*b9k9l@7@~({f)!F%F2!dovJ3}`L&4d0A*5M`hv^Oy7A1tgYjO-$*H7uH=BE0@ z8W7*z*%nfuPktURa!6y`j$CfabU?7bL7m~@+OeCp%+U{b*N}4`%~^}>31>8vux$5} zMvRtnTNQiRXqzyeJ{Zq`o_s&8_@8rf*CuAAzAuZA#XxZt{>l_%D`+xDIrksDODv&i zG>L~)&XuwM!n-64U~DS-S#YtO(WCM=H({Ag%K$g&(rA9`p<6XlU*5vLJdijEd$#JqKHAmM|2COMv+XN5%si8qAkcNI6IiIJM3lOuXa(e`aGE7%J7e_Z2jflA!~zH zC)^9mwH443$YHV2dxM40y>m4Yf%PtMs9n?RfonrE;Y#=}zNc8lT zuVPI-Ufym=2J_|sBX=PsOFK7O1BHBg5~+jns+(KfrAorRV4L#P{6Omn06M zj)tM)Ke?6)2$lT?bcj($0^=H;hI>XGSr}9=2Zo>4CQcYa5vZeJOx7|F$Uk!dAOj2G zIIS_zSa7H21vmWIv3b`3rOrfVv7>)(K{ta_V%TEn)Jls@zb-5Vc?QFJ^e92jrlo6Z z(Y`%D-%!czA`sQ{YdvkjR9VC^GG9ZWX1OM=_h9V9Ox^)F;FuwAH zDJ&LAmOaUmfM!##a1;i@NazYgI~mA;mLfomh%2CS$IRCJpP z7VG9JIxQxCZQR|6^kT32D5Pj%o4i6>d(lPe_&X3jx-($}q~wu>&d53VyQ7t6K%3l7 z)$B}#7}5`?Scbo;#|cRAFZew-X1@FSv0L>yX11UkbtTBJJ}i8Y#SAu}0uQTJMOCIk z?f6BEEfnolCPMAD0EM(2gA%A)P%KA1f&Hf-ji?e6xM#<547^ZwvElTA6JV0e`^HB& zk*FL%&Ey-XDD&M0^+5Td{yU2d%syjApmMVCj%)g^c96_hkqW&vq2L?K4x@CK9vDfR z&eM{-RT0+KYKx2JhPuwzX*}v@-|SANUJIxEqGR}*%)I$`DYrI0mv%Q- z(*MDZlTtqKz7At|AIkZk{^}#mO;M1GZ6n5u5YzGjoRX-G#gcFdKA!pgo*r3xEL!`t30eh^m3Z?M+0V{UckQt+5zs@LAgly?RnG>E@2&IIkX zlspjn54lc=_fgR4bRgL(z2wXa=q%Mz*)%c@P7LNP7k--k}YKBPCj0`?w0-~h6* z8`LdkGvs#LnD*V$f6wNAU2mc=j71UH=Gosehx%LE05}@lI-rxZg21q8QJii7u$_UD z8kh&jt%5DcZyhxhfWXkX4Rx7IO6Nt2`s2WPRnu5QA~YJW>S`(if$7&H_7MgzLQC}- z1Vl5z4no62)rSj9|5q`>W|aY#aKK{dC~+R$Hso=w=(m8u(6t+e!uFGO;zFt|9?4kp zyIvpJR=k`(*TvVBw^ZE-L6fn%{%t3xUyE6&<`F0B5jq#nugMz(Gk>AZ)K_BiUe&nfdAJj8n|myqiqXW4huMRqp1wjRa3qkP0R z=9J(q8Pxb&iGYC-rJBldNELga^r*c?iZ_n4D%z{KUv*urK>%JC=6XuiE68*#WER(j zZt*3x1D%hv5Hf>+=_so)?^fdldaHKd#wDaGMMHL!<~86IHL%_H*bNm8_#=3iLpJiX z4^BM^iBRfJ|Jpoczr(!W%g2+3C@;yL#ruSOw?u%;Y3x2?@U0|E!;e<;)f>zIg6(t6 z5~VP}ng?8J5R{h&dZ=#@q_X{afa2!SjSKSn$!hNI^u?^L zMI0HBMOQOkYFxc5j6KP&w;F=UdK|s_S&cEmG0AKk)LtNq7)hF%+#FI6@=ewbek|bh zFAu7)T^^4-9ps3P&NN;dq=@16a@g|iBqz}h>ffk{c+#bRd!S-#8#K@2OZo4t%uxsj z?vjnt=KtU*8{f4fmTwESz@T*g(LkbffkgDZAYZ_9kxv30J~LJW#t_d`Zm3H?NPawrm+R>|!lC5cjdg{4Ol;IDtMh6{n-6|^bK(3) z1Kem_{Ei~6UHBe5Jenf(kEr`T`+RdaIhdTpYiD*ZHcq6skt!a)mGOngLkdm<)BD#N zbkx@KY;z$?G3#%mM>KhYuKAmw-R-SSH2D!u^Yi-QJA9QJnPlU3i`eD&YV8Wbf?I+= zgcg{u&?NNEN|o82;S=T8t3k!Z5s4!v9*c;lG61a=)#GE0QD3= z*>G6TuHB7Q6uvAqx?D7dl)6Zz-XdvSdi;U)_s2fs64Dd_ZkOCRz{jQ^g&jod#f74{BIw21bm|j{;@_V zMPMI&E^tYvUHn3|q1Yy*fdCTD&1eKipZt)mEf`dm(SLVSQv$gH%c3>1^C1t2x$nvU z(?gb~2+l&h>I>$fd`M}GiT)TUEbD545i|GYgpx;mT?zKCn51Q1-YV$fO$A5T8mb&<7CgxqCmx>o9_t$=$&^>EXW~rd_xh8Gv|) zYZ|U3;M9x9w9oYALhl?yoG17U<2deXjZp_;fa;%uI!-xr{T){sGzf8GctMyl4`XDb zqV9d#_RMj;b8OXSbKW;o1dS+6udrWU_zAAil$ekLl(=={R7uqFImEFNQ-yJs7UUZ` zCP&x1N%gs)|5oqGlfQ10FRNvtT68Q$2JHcm#B;{`8;!@d_Zm=67~o?5tOXYe7hC}7 z^|0X8qrigD#gaph0_$GYJ;FcGfijMJujC$%uXiXqa6#d?yW7XF2r3JF7uYHHdHHkJ zyR9o_vFq8@+vz(0tCq?S{{NdV<2qG_gBMR&Z2NtnIn?86Dk=!pB0hzr+=&x(b#=ix z0wdH*^oLYq1n$JD(O!LKSzY~aXgn+x9IFJcO?((w(KP}}hC+CEMQ6#BJ-NklO#Cjs zVrR+a+Djjq(%FYT=OnwI=it?Hx&*tW$17I~A z4WG@mr10;;NQS>&Illnv{=dn>p_IXSM#E=YwGxqfAcILtCQOZj>NpDBJ!*(0N#Ry( zV9E&6)OiF0!3@PyVYvc!VNJt;iy!Zi<<1EsiBaDxKI2N{oPbjKgk$f0O-k)J^T|Gr z3=iJ)XGAiHL!oj^ySRrk0>=H&1EKH8vL!<1zxV)4w>YwlQr~Xmf)f1>nbayKdYm>q zSM(oGTed6jlURe3#^NK#bXsTlm{5AVXjguTLLKNBU#(-(EL;Cpz&d-_KB03NMKUGv zlK#cIb`?22&x2<8ZN3D`A&4V@SkEU^D!30fIhr9Q+;;DyBlyVg(Urnt2O*8g|BJ_Z z?Y4Ov-0lHlFP^FO{a?pk&&RX_1tkeT9sh3lxG&Xz?qJuOwTIfZ()%T_cH0MJ@{QKx zifxzZ+SA!-HS6CBYjR@k>+J5c?vHM647SL4ChJB=kr&`x7s(0nE9?QFJRSrj2K*9d zT+8CUD1;anTmW?(#KJ@tg8DPH7*`C+1UD$GLDIYcgA6z01I7%s31FOhpH034QYhRa zoay0o%o)cD$qdOmWq{#EJrM>mY-CR(CRM#rn#;guYhTcLgzy{}9xgv}q^jFG(-0ND z=R#Y>88Z=-meyP7W;4jZ`DOT{UTi-~hp70kMRGoH ziC~?E!sfLvfllyVn?oW;TcM8q0&e@=U%lt}sFoG=Q?<5tr$|HLb@AtdyXWw!?2D>k zCJ=dWHUDnNf2Z@Re3V={u-(A;QxI~U;;;2;w!qCGqE6*w*)Kb1N*yNe1q^jf?so|a zdSVk3h$6?D1I{2h^DrayT&BEX5yjC-I0u+{D=<%_ z)u#33`riooFEv+0U0yh)B**5PuA`4ftmd2AkNKN-lU@Ap!j<4{-zF-?WBN%qXd>IW z^)-L)SD#LTx{|4qJWk|?i##CcPf}rShPuQ#I0&J7;dYe%s7nr3HIUO7JO$yai%^>? zh?A=b_t*v^+X84TK}@eUTAvoVxQ$iN{yYnjC4d{tkx`faB!!O-0uIxpQ&0xIz|N37 zbNy72-I(Yf4ji^GlgMZ1ab7?6kOaT@I8$;P;`$LNVGrfz`ZnvD(-RZt@=@i411&{4-LxooB z<6;h{4YY-bKtw&+Mbjh)Vd^`=kuygZmSuZ`gXZc8{*FGrThLz1#kaw1a6t^mhezTV zO(_bTOW&C(F>pgl95wF`prA(2)R`&%xCH5BDqnLTSJ#$V32cT3Y$L8W_Fr-G<)0?& z@@%LgKu@`Kx8m<6rr-IQAUrM^8Y?B3B>J&Om-E?OZQp!7*~a^k+E0T2_0lTT;*lTF zE?yi;=l2*>2o2CnCnZ-64Nx6MQOiS*Z)b6$z44~^>ph|M{~FJn{Oz?Rgz@@DiY91}8j`g4ZTR0s4NuAeA_s%(q96=TG{F%N78s4YD7tRG zA&UAjmtMuiD3I=q(J&kb8lX@SZpgsD1R&Bh0hqp6?0K&>;co~u^$>Mm*n`i|Z;l-q znqca(7Yv<3*i|2F?=N@Rs0RqRBpPkhKd$ODcKA&7Z0emjx-{dLAM&P^CfocBofosz zS9C;}t)0w5z{m1DRJjnaIULJIX6*H!8i#j-wx0#`AD+rQ8f+rK6A&5s;?_#tngzI+ zXpbZoU?xCw5lomsPz`xP{oyMSOc=|VwPAX?w{lAG4FoHY$4cAoj!G{-O{QrgycpC< z-ER>9Q^YZQ5!wWvT!Iy?WNlm)bB-HV9Mz=N9OaQqlK2ZAW7^f+vWSFmbAOd3(4EA0 zhG+AM{w;csmo-!!vCuQW_@dA8*m11(S+Zb7JK31XdT`BsqGZ|U8KbFxzqQ|&Xw@t& zLjQa8K`7OK=JtJ{{tVx z4kCWcx00tYZ?KVLDYGQCf-P-3&lg%sz}2w`#LOGCK1%9sI}7ycG%BNRq1-B`GC zSoXTzSZ+&VfMehW=m6TT`PFb7k;1)R=jzWkmnwHMGp9EoS7!D&#-|+pVgDxhBj!Cy zFz*>J@2nXKzCup=t4}PJX!|qP!-ysAR%M$(o>+W9%tLP8(L#i8R?H`l-c=BP z4pY-u;QlJC?P$j5fAd^^P3^p&vop_cJB){5F%aN_j&>dz{ zxRNFK6yj1e3vtV&l!~mvc-UPDk<%5Z1&^*>Yy*4+mo`l_mrtt^NW?1;lqAKu`JxLw zhCk8F{7IyLnZw*JfYJwPm@}o_vuVSlNHM)ijX6AtwrSCv4nD7Z8+lUsb^?Bzsox8$B1cKGH*!9yxHn3$%NK?AphjX^Gqbh)Ipg5ny)x4|i?>1k!LA7Hg0p6`)>te{~YN zxB(THjn@vq{s{14uXmsdFDDG)Wu0Vn8F$p?7?)}NyW}M=6%JLdkPQ#r7`Bd!EHdRP zoY)1v7hW#-Nw>bBYC9PkZ7@=5jswAlutvkV9NGILUZ@453LVGqBQ<1}#FL|dQEnB2 z`zH_(0GQ|9qLr14P~TC>KSIfqM+Uc|;UcLFs`s=RhW9B$=JKGZh^N?)Hy9q;li&f4 zQcsyg07N3;Zexk3y%U=^Zt?{!XC!+vBE=AoU9#Ymn zc5NZUz$pMG?;ebt*$`tnXa{g(e=GqIsZ*Sc0{`XH*ZjCQ$uRl-gC#M`RJl7^{u47@ zoPi;-7Aj{`9qUG^hN(qI65jgNi1wGioO98E4AUBCQq)93U+|HZ2CyH+_w zH~b7O3$m#~s{FudO)pDhmy1Gx-$ZI^#gAT=epsESfPm0|#BFKB4l&?SKVvU`(JY^T zzl8YibWE}BOYnC+~SR7Tw{=QUa1V= zY_Xrbi9|9ZjSOebWLRTD|bv>lIMd)?pvp{iKvC` ztx3_?auF}9afk)`tLw#sv8mvbxLd>xG|R;~P`Ym*#%u1s>sFt+m*}g$=+u(Lr?yo? z3$Fz4%UI?KHFS<1)H0m~wmHm$#%q|MNSlJnx`+i%XY1Q0PG5-k08*zBwU1uXmz*mQ zkqCp#0jd1`AOpj67C#F74nl44Ht;VN1nJ@=ilw#dIcVNl*nP`xZ%p zt7~i0ZDacj-;DVf71}J-RYQb>>OMcNFeZC_fLWC^DZX>RKQfcc<{qlUeOFtEH(c|B z+h(BA_&V*VoOz5Mh&Vyc#xDw>V>ld3yFcfLvenXVj_pcmr1`0#~yG|r1{fhcU*!*#0ZhGdzE;X(sW>- zQ%3HX1C!Bq7`__JgTp=!7hFVDVxo0Vm!-FyPMjJKR~z|MijKDFgs&)uU$oCeh>B~a zI8z*cte>h>)e>HB$qCO}WB{S*c9>;I3t8hGc%+KR!k5d{K+4UWKXkFubfiD8TKzqK z#^X-fD)0oj{-vYq(7bKiij;gqG8yOf=I7Q)-1jlk45_@Vvcn2t zO0%@$`%zS;Kv(jPC(Ftexeys+j@^6BC%Wm3tg9=}LO+7{ZFTh?b((XR*Q@=jd&wv6 z_vL?U^qEQZJ(hn@k_Q}DG?>-N#o8c#q+~Kd@AnlT?Ry|of!{L_1qbhwMoRa)uik;q zLI~s8|3O|9Cz^@4Nw^G!Bw7&^OA6QvzEMxA8&w}l4-er3-d;UeCW*HQ5MP3fzY}=| zBc4)C9_5rGS_D<+AyO_h?^B^h3P5^(%~z-3tk`=mGDt#Lsv9K{9IEQTp_E6XgicaK zPA6N}QUSDTbO*GMh4j=};Eb5tGJ!}X(d_dzBY=FG=)Pi=OxZk;m{{~5THkh0`3S6& zL8|d%hTC_21B*{U?M3o&)rj~`k=~w=1M6jfyB2Yn9I1Y%KGF`dC&y3$7)NF}mJ*Gx zq1Y`PvGLEeFu+Oe;^MGQSBH^Q6i#~AOmv?(?R}leGzxfRfqgc^PAB_t%e*|lx(oD% zb!Q^piiOnP^OXnw@f_W3&5s6@8c;LJx?m4S^+Fne_5>uW8bPw|34f6MFU_!yo^$_W z9Mbm(If^=Fs7L1nx8VbIYq-O*o4C&csrBVcbVsZe&0;OK%i_2pV63n;w~} z#CsWTYz0v-B%V2lNzF9!g!*!-kTmcQ&)$cWr>anXZhkDB%$f}ou6~kJH>fXzQD9AK zN!3DsoKu&f!x4#{n{VZ#XSVpz$(}asYQ1MbY`ViJb#ePNYzbJZPSqhL9rd7yuqe2f zxKT)yB9n`CBvDOuIBRCSg$8TcIEFBHKyRef>$cO{K3UFX<)Yx*)dJf6M>#vuBbZ{ocXcAK>JFxOYMptaODBI?!Pg6EGPeRmmcq2 z4>A7A$Ns8K@4YvD?@wi++1c^3`U*9gyZY`|bnlTkFiRn1D`AVO1cG9&6-ii1`bCFo z)G#m??7U=dP7&RG5Z-ZzMk^FinVv#KSjw$P4)U^5%H7PSKS6V1t$CrX{pnRo_X}uv z#zWf2RfKSnLZ|4dS|@jt*|NIGeq5mefbZul@ripw%BNpgU)!#mP(?p#lgw^#Kxl6(unE#}7s4Ji*YuB?^sKV^( zNa2^IRU_9GQcgdCvokjx+jNH6zeRwp-2B>w&;+V;!HQR;XZh<@9_1NB9`#4sTY_=@ zqPZt}ujH6!{m6!O=Tizvmj465KtI2snS2${^k37~GT?B5?}Mi#`6_&jvacatZSge@ z$ycK9bB(V7&XwY8ZQ%Qy%JCU$^TlgwEl%V-4WTXMt)b$)J`hs2SkIZm) z1ngt4$;9%#Eu|ee|Kxx21%EE;S<#$NRij(*{Geci{q45i<7TM-4$f5H5%*?Ff9KIl;QlqEZp0nsGtgQBqFrA&5Y; z6Qq`LL6FdcG7Kw{?n-rW9GcI93xY(7sXHVg)<+N)*F)0|xZv@$q9(>Uo{#KtDCa14 zz$Fb_ies;xDlv{NVQ7;S(g3cx11nm;X1O`X0}e{bEm#|h%odOpA2##F??X7tW_%w=xlvB zBe+@$g20mCnR1RP*#ve5r;tOSG|1@EQT8Q+Lk?q+)#S(3lzejQ)!3sDs18h$lsunZ zcw>>7zyhVTX1+ZqcunG(;68SF@7d0QTKXY~M!kb)gmcw{ zdO&4cR`ttnNb^-9f7_gyEZ6PsHYs^|bjw0QbX^#Ck%S07LVDwoH8}`|L6V2zt#u)p7d1@8w_`i17L!v5X?J7 zjc|m}<+0vqxWteH=5h~5aJe#9Xa__3AcB1k{8_UX27(hrg?5hY!#Nn#G**mYA47>Y zE3(I;Scajfnx+iF2=*bkI$%zk2yR!JcMZV^_HmB89eah4(%S669bGRb1^cM?9gn0G z$Fad4tGVu2G6nmT#4uPpI43BSA4mud?2E`7tl84URPK66X-E&wB~y0`xWdbtS6lvO zWQ)nyjmQq@wr5EnBQ?;z7v?tG&W55WS)+s%cEHbUtX~=)vWI~Aosn? z{znd<*7|_4udtY7jK(BkqCgUE%|)|*II;Iv*2ItA{gj1&_=uvkNsjO&=i9@Y5Zn(sDj+rP*DP)q{5M?_ zKi1$p%MC)N#T^x^B&TaHcWAq3&IL!uB-+86`4%DDp`I6blCO1CX!F%4xH~f9uJ)oAh88y_Z%2Mo!fm62%s4ICY@;752{Me^zdf||nU z4bttHWY97JIfxfS9sf_iGd4Iz?c#H;6*!*2{-Ti6AXnR)b+$t~_B|P1sh=mq3#JoH zE)T=Sc{2s_q!j)!Crh@&QuP=w#NC(i=sm^>aPkcA23#8us&v9?3gh^)9mi*@PJx#L zB!xI5LB3e#N*Ao1J^{Is%42NOiw(nzUkd=V1P|wKe+>vPXnW_w!|m(W8s%pX$NI$2 z{ff`|^eecR)`Q7{{4I?l;w8`oarz1#MlilBg2{GSDCdGPco9)z&ub*g(3pkLaYIfw zthhc~jWSkbq~I&I!HXa(GTc@OiZZknWANf}W#Fk06lK^1eemLO%NU8uP`Tv(eF+8)I`R6{ zw!Jvl+M%UQ>G`!O&AUZQ#roM)tiR-ozH0qh5gckVWtiIDa*8ipP7FQnGuAn^4MIQw zxoL4%FuoGfMEWx4PGLng&k6jLG3$q5PMxCp1yL0382XF*`f|>kqN2^2#E82jG0M0r zR?Lah;~CTvDmWZ(m-D7Z2Z^Oo;T z47+#!rQf>wTkrbujYI-r9uiot9(4e(#2pA7bHW{ZAf>e)95TF`S6A0a`FVqDmUw(b}#(Goq9qL^C{PM-?CZF;ye(rYP ztIXK@?M`|B_rb&CP0stAZ%Vm?%04AcP+DB)EDe%8B}pTt$0`rJa%5HdtQ5*arLRw7 z)BOD|E7OBvB`#U(4Z&QQ0h=3IPU!a^P@3s3rc`E-TvGzL^FbO#%4Lgk z_a6vI76XE{Pxkp6F<$__E#-h;48#js&NhnlcyPE1aPw0Hx1G|{%7Z?6H?-ng)`q|{je%1H<{4e{Z(bb_XHa(M|1%YgYAf|ve zBU%Q<)AugK6&nQ++wIq=`%48W1Q`U{7D`Qfq8lLydQqg6h_%u+5LSxs?<-=k3+h~C z)H`6@M->z=-vv)-nNY#qpgUCp029QK*-(OpPB4kXo|i+5PW2Tx8%1F5@elpQ&`kTq zoKaGg&=&)$ty~!wBWBtqF`b44JvcTDLtS#xq&9O`KB!5Ha8|dUE|;Zi%)}*jhEX8jO!hk2XHJPSC^eM(R8DD6RYvM(iT3{&B_YuB6S6htpg})ij z18i{#*C#L)l0!|lR4i|cc=2liVR3!PYY*{aOJ7`DI`0-Ow)EN9(!cekUwrUnMc-o_W7<+LQSUuQy$c%>`|Z$r z=jjz~*7Lr&VZR+)^rXp7yY-~?u6-B10=eI=bPmWqU-yM+D!A*yz3*eOq;EEda*&1fY+lolYqCXCB{?XD=_pGMRO zMn~gKiXTb(+I>wF)!8P&E?6L3`tz)JND?N93emZ#>{7nwWadC!11pB>#`Tz2+J5yN z?xtmfB4Lo;vr-rN#H^LyX+8$RoA zN6UrAG;S(9_`&iFewcIF3<5MNqbArwKJ;{}(u^o+JmkaRWi>t_I3DtWjr?-RM=CmK zA_DDt!5s2It)pfM)q21%6ivv+p-aezkyMh91-0zH7tA5w$xQ`vqc)Q&R!k5>#Lh?? zi}gX-_|TtZ>YkS;j%j1z%3Tjwb#pv^*lo1?4Me?|%0;#AN1s%zO(#Bnbn7+FM;`Uz z-#qSnG>H7Lb0kNLe|6=`kB`T>X;c$Fy7dlnh>qlHA3bg=79T@xhH8vYuCD!W>>2xH zD%J9|Fwok&=6~VUNIuqn#hW&0joAlvYy1a>WO}M^z#2)kC)^cK7!Gc4daNNS43l_T z*C1LhHw|m1RK^Wd59$kCbGrc{bH2ThOb_-A#)*^Tf@_{_;#oS+^km=c(bXw62@p=0 z)lQP>(Z1P(y8>?&c%=cZ>#s-(u>LE7zmc=lAqiA)f1Z-=bx8mvbix4F?5|y#<6IKQ z<{OAt^>7%xfl_{Lk`NUC4?n9-hqqnRpuZ=M7hz697g@)F=*{UzYX zOD_TT`%A!&*#l6gAYKBT(zAF8-goC)@NmFokAi$DLI{mvF32Oxe@a<@b|+p#L4cv& zFs@NG*qm;*rD`BEz|#R`JIVyxE&EX7`)GN=+mOmE5GWOV*-qcb`R1z07JV&+0%FUT zJs+Rj@qPSYd2@TX!RwGt2bWVCTep0B({ekUZ$=4j_cuE%7?2AH^k2va+-+`lxy-aZ z-|R{!Dg^5HxgF=f+uiIkuK>dEb{@wm&oA3W_d$TK$5eU@p4}P$GI{J9E zs*nC!zWI)~?~;~$@DN*gIGdk_P5jnv6HDzfr{+T}wnHr0u+Svo#Hsn}+@Cg!8}@2dRFCH-nah!pK$z#Q)zv4M`&*=ToW6%=NeSg z0k-8dg>VLUK6f+(`9Qc}r1fD8d%Ky8;Y;Y>Ur8ncP5A@YE#2jptmy-`5Pc-QQDrAy-}YP#sB`N%+3lu59ABzH{!zU(dT=E%@=gj=YHTw z{@-1$u%gZQc~Rg692w)UQU4d=bdU5FdV-{c#XCz{d%!<{5<;hNl`dETcwko%9|;aHjEMd`u1FD1r#BtpG=ANrrXdWi9cs9!!n>60 z`o>jEXv2k8XsG~@4-uMpAw`7A|9xNoo?rOwF+p4*iryM!fJKbZHt;%GC}1lJl3H@i zjv#My>rQxAJ3*R!U-5*wMiPdd0JPI~N84qnI2UZ-bS;k4Dr`bmH!#p!>I5C6{lB31A6by{i zchq*_9i<9$K`Ut?bXaq6q$DO3CJR9cV+}NWLUIc4G6dmrYYID7lz7$AwU>r!Q-!rd zA1}<*rV49aqcwXt#Bq2l6`O2641J4@tp|B;J7hJEC6Pl1drKcOB6b-|?z_xW|<&bvju1zWms z@23m*yFc`eKm7+HkkOmbdjS{&hJj_w)gLgm^kRF@iaoO|rfn_L=r8!4UI2I@I(K2* z3)t-k)78ek0QA;s3NH>l?ge0QiY7TjFna-K%$C-u18jDhk_^G@1)LGd$j`GM5tKm2 zzK3Fk5!>v6lA7lQ955!fp5=Ut-Ap$>s4>2_5y(vVu>DncII&H*0=N6;GLza9iz9JQ zPembSJ0}?Fj^=#fLXZmYGpIb|=kWyNLloeV?z}#QXpj80mUnL4GjI9cYOq@K?Z5Iz z{?d2EJPTc@*La2>K->c)VIR)qxTk>^_838_sR=u^KJEbUHM-IeJMP=F_bBv4v?^RH$8`kHx0526bR{gORKH+^=Wu`gSj9&7!Br$ z0OTr}9^xCbbg1R)15zbZ^nQa*BaUyujYlQ1x7%8&u%olp)t*kwZSSurJ;ygdN3$mw z!RcYO9b9{QkZ(|QWa&>HyY7jf|JVTt;@QCZ*Z~2OA3JQ`<6}p7$>Vs=xS1Y1oKt!1 zxcxMb-38Q1Urtjd*JK_Ab(G8_a6o171?y)8^)bQQfJhGYu2erm{i~oBq=JX6M{fFf7y=f-wG@yjt|reP$c>I-Sy)O*&hJPrJ{b0 zMA78$(=w#{hcA@xi=Jygr#@cIy->)JZvu6av?5R^_^}163ESRScPPiry zu^0e3=^rj0thgEtOwtB!-GL@)f^RnJGmo&2bV9!{`H4GLk(>_Y}z&RIE*M(M=);C_?;MR{xK zB)!fK3z9m_g3?R5;x+P8RjvC61}pKG#-OU9c*UgqX3?V;Kqa=?wGrWxi+z5%*m<|8 zkE)kk?EU0ozx~I*?Q_2UZH;eNZ$@v?V+7TRq0XR^m@I`*ty-7Lh=?WuMr!Oa9GcZ) z(S2alnXNFzUcLKW*`l|BQSbqfP{@Yu-S4Uvea9wHSXK1v^n%5rw{cPX6MA6tij$_2 zro<8n8UKOMek}o6vT1WdK3nQ>nHBZu@jiufY`Do&q`7@XICsC6vUof<4KzG9>MVOa zND3gv*T-VVgjMoRtYYoj0;6cqLWQ7)=-S@X%O1}S#>B!4W%z?SLJuO6inXta3=9praQmX{I%@{SNA*$vV z$s?pNx}6`YiG_50#NAc52?Xl6yY4nYTA|82AUM9;yaUcRcN;P9P~~m32PEdc$#yuX zl9q{0q@8fJ#b4E5t8C(1BsC73En4G{C}55SZJNHx6bN!Q;5u0H+wNvwS<)BfK$UY_ zV*i^;5_A{r<18UOL7=zDjmVU7f#8;XJrQwk*Ft#0bx3(~Z;aM2+HT`6tZu;u0KKd!BoxdQ7j0j`w$p_z zffjt|UblFE1vEB3;Q`YfjyZ` zV9CYly+w3RD%zVnN~tCf$^D}<4^!7k;tu8_=|>p8q9MfDEY2CW9wf$H`me=*tiF2r z>K$)?7kswoUcQ-p-H(0i+t<5xe4rzV^zA_agfYS5?CiHP%dTpb4s(jC(CdKP2mYE4 zBm}chr$Ia{DZ;50%7e6zn5Jy)4)%js1HIzV#4y&%>FKgcJ5uCqIr1+i@)uEc+2;E$+-R$ib~R94C_yz%%EwIB14+jNEQ8E z)_RA(^x$M7>rp?G8S5P`c-pKq2Mzf~J7~S52p$~kh8V9UuZU2D**er(7~TL;Z>a7# z$E-g=iKcT+59=)v43*W0K%|%#aDr|Q)*2ftreG0Q1*|5r-@mFciZ`Pi9UP{H81?fU4VWrTThwLwpkJG6?In!zNQq=os-b-} zyC>ken63l(dMM)fq+c3@FQgIw=z`aejp7(wHenum)a~4XcJm!*{us6$Xm`w=ar^4_ z^MCVy^>rT>>h=uqy)^tHvDLzM>8=_e95h8I0-^v@UxVnl!S~XP6~UqAA%S{YJ1e?a z$)8Jf@7(IcQpIxI32l_1Ii6ONRL!Kc2BKvs?f>bQy_(TQ{I7~$nllQ$7N2}Y{~O=* zeWKJb#lhU_Lu__R6bZd!!s5zyB$~7-JW7;&PfMu_?q_x)U#ENu3T@ZK<8^OI7GPbnhs9VR^ zTV8v9P#qJ2bJkt@xi5B>Z+i0Iu3!A`Iql-#^Y3+K^z7=~wnxZ4E*zdj{CqLFOH^2T zgT=p2Z!n_1dej%*lq$C^^^zIW!+S7ew~YP-XxuwhaXtZ!|89PJoimM`H4U9w_-|@=6Txk9AM{w z7c*MmGRjw^)OTCr3hkT^~CTd3$2g2S3qazs$Nf{2|ff|8A} z3IXOCVnh!GmuSasR%EDHR>Tex*MU8&;iu7dC>JciVIYkSDiVhlHRqCpY+#zCu(KSX z1DkGzi|tfn8NJ;6Lc`2U5@Nc_rGU_E$jb?Zt?&2(=VW3RO3W$!>=__K4^JTSYix6x zQ~IoajadHHtWYI>-tH^@x8EBszrMTf^2eLJ1|5>9M9gGqv`AKoL4Mp*3$0Y*3fkeE zlFcPf>p(=lsfS2W+Q*6xL7%Uz*h8e4RU!x7N$!7-i)!n&StWwm3ls4~$zpW(G;`SWLjOHS4TmcD2921atuP=edA6m18d~j3 zt_}C9dKE)m*gj;o4?W7I@I>9KZ&5=;VYsAwv~3qftmD?EIA~0?PMjzOiBlL*A^!AmNja?8GTk1Oqg0)s%+7P6Talr#B zu~-p6zdby8Q6nKJ6&jzwjS3F*H&$GOp{Ws+3XKac*E><5pcJ$rUa=xIm>(LrN=`Co zv(w5@iy_Fz&IJn*0InLNJ@~|hpj2q=(CFk}i$;c7V9$Ofs1+KMJc5`BhLGeG0D!iV z!nZ8{1iIsY=B=cq;wMOqIX ziGMep+($F08g;q`4o!cSBtVyRoT6Ve6l+U71+s40{-nHx++YI?KWPo^E zy`HCi@ukjsTO7%vx22jNW<-3BQY&&=CVk?8(7~^J1Jl0jX}?s}&XuG)BxcfEK5~m% zb2V3zZl;p-&j0i4-~86-YFDS+O@4_80EE@Ba*gOgY=wL8^{AZSmPR*KE11K|;Fj*N zENfT0ANp9hr8i*6Z4RBoO1$Yhn+xN^3{n>7n1dD!+A-oyBxD1^df^3A|`U% zH;1mmO2nx!TGn{X2O0lC|8O$bZyG=Gzl&*?mXPVP&GrUNs@$s2icCIbbHb-IV9l znh*FBVY0(h@ZJYqv7#Pwtgo)?v_mRrbvxTgtXB<%@naDfyGP;mJO2yxNsDKjd5HLi zXOBYO`0yy?y^c-3y~U%rzYgiz~oBDvYUxI6d4Zusn8 zye56*yC47A-*FX~Ppzst5M8&x->@X{Ap_wZy7C15XkS@I!38noPAh(}Uq*f$g0x>n zaC>4yAQA;2JCUrGe}xrkzFZK=Th8WY{J)1~*3=KXGU)rY6 zhFtJ~fu54(4HiQC3M*1Ug&>A}GaZcXP9Rz9L({!*LHILHg@e3sBPi~LOCphi_D5Vz zFTD;k3NC3sIj@`4J^A2|XSNwOiybcE_HDH-@&Nh2#t$ebF(#vqz#zySznnz>Xk11P zWyQye$$-V?-m{8+Ny$@5&nJ`BG3qFa-6=@DVVt2=yojstHj8-I9>HVJ@rYLMq)=zW zdv}I++AW&n`rAR!EdIh*e&}~b2bg+6=i_>iOSZvvjvOOgpGQHlem~y5sxjnH(K1D= zRC5NCXKTc@^OEGN9{2)m#rCyI=Z#ih4usoHy z=cPtWbF23Wary3F{? zdrhq(&B;immgEy@=Ys25+tE*lCBlkYk`~1!kx@qXEzfgDaAqT!Z%=?swp;LNWy#S{ zg{o5h`{1KYde>&66@{uA)R|PsLRr2Fg{wlq8`_6gN))0;x=GLo51;>wv*`Oo$u0sh zmn;E|&&UnL0>iOLi!DL4b5Vz@DyS4K33MYxOAx4)qv*Gi(IYW=#U6=Z<|3#Hs))H4 zf>4#JH(`W5Q*lw{bhMwiKt-?#;L8mEf9dLhH0UXV_Zy<9UHHYXzxST(FGi#q1P1Q`MoVS6nd0^B%UG?N$j=uecya%-cMU zEk?gLf_!j_Abe8zM~GTMo7-MBpCK1ifHK>QmY5MNO47bUVoZVOHpX{{9FbO@!GWvA zUzZ$pq^N*I+4!XSN2b=|i@<8B;HFAp=)ZN~->Sg+vMPV#p}w%eq3#)2CBL@ zk)j1YAS&IjXKJ9SD$L%43*&yOuy6XSzw#mPxd2G(j!eXZIVvJIw&bM29Bb^;A((@r zXyim{whi8L%o?P&D^8NDqr4omMq536s5T%=&Mj$WtO^h^6wNVfX@W<@-U;z? z%vze@k-6_ijX7owLR~bkXi7SMpDJeE9X6`$b{m<#6!P zL6@mL_-GU5Os;y(e0xe0J~}x!b<7H-obOLG;iIn6{_J&s=4nkl5F+M|x?AkPMDQhz?T?+-e%uaBoNq4DvIDciBq40ATvyo+*R}Cv_2&uS zwF9(8f2xPT_t|ZV-nrfGZn$=5#LwL!eoO;jXguen`OPHF|G*#mgdce}91!(nIx!Ud z1xdM_@K=Gc?)qzP#m z9pAJXvTxobl;^>tLNb7lO`REo*U|85hrPnLwZY3KGQR2iM9CrZ*bG^RZzOel_g(p;idOJNHrBn>BJ>>{m++uap>zn|t~-A&~nb@;et6W_ib$E;V0jWVIT41ydR1MYOd z{c_A}Jp6CJ_v8LSq-Hh8>u!Y=fKWMJDT4=cw1|wU>+P!wl!v~9J+(F6io=Grp-PZ$ zg|7&t8EU)~TqB>^2-2-^LC|rq&Q1SgW41jG9VH)h8EtW|rOODBczbBN6=g*xam66) zIZdrTN4ga*2;dwsY)s7_S+uV%hEG=!JZ|VCL9k~kcmn0EfJFpNlS-~^Iz?QK7)@Uj zW14loBRZH^!Ab`oHAd<+bh7|rKJ?3MkNQ|V`Ya8*ZguZnYi0UDaZ_yA6dAR1gDpI{ z06w?yT$ZlT+*g#U_?{-kDHy%W1A2RqN20|}3s0r+1a{U@b^=45wtOE9`S9_d{nfuQ z1yaIK;YDtz&q0k_K}9HGTLIa=GL7eg;80`ifu1o8!PTr{2udJjBtMf`kaqBrk*5SM z64T)|Qy42sAZ06J8YD;t-~%7wfNSzG1SOF2Lmv>Esonoe zYonjjkqv%Sj`rv_2R(W;;D6CTIb)D-5Q7Zbr1ZuEZ-ieru^KQjeYi%l)!ujB*Gs)K9_|HD=fBuv}C~Jnuqaw=}0ntjx7|qZM7+Z1C?JM^Y43NQG zmG}t2uQmBe1;Y7?N8;KfDnji~o&H!cCtJ}ZMA(|7Z`m(|=pY1hvelr>@Dac*&}Qj! zvUQL78_F!WT+&ijE9PYDZhhi8Vnw8oHFAqXi;rMe4GtpIml+jd6kmJj3b1m3ITuF; zQ?ji4DVEHsMC2Mbn)X9V7y!TeEOROmuxRiU%h8d+)+o={oNUk44qF^mW%Q8G(=Ug? zFpZU-9P2QnmkjtVF%`MdZvVoFW71yk%Tc4AE2exHQ^ge771*W7U6DrN60lsS5lJeT z>44HznGPu1k^6big52%DuH=|R57(qlbAsG23tf-ol4V6m*^(w*0 zFY)HDnX%eIv!DyI5sdtjC}JJ;fEGz>;fO;=ehG(0AE}(OwH(m#}03NF$hPFFWOza5)7bjRwv3xL>jP6jUN5zlQpR*LTa@7gXmDC zpH!EQg+(dIv2hT(zwy5{$~H;gsW$B?jLh2f2DK^FXL`}0FY)W{@QV1%CTLT-?+1@xH*(lI<3PYnaO>hR7iiV4#MhUxT8p z5zHac3FLATzkn5?6Sn1$2pL;coS5P~ZaP6$6%yHHv!t}_{61Ak1a|}iyc{5Yt{Lbg zTbUA<>0f(bFKV-2Psq@oIA<;uJqe|vY0*WeR5aV(yR*I1ZqaOeZ-?#eqrUOI@B4+1 zc+;DZJ0Nk-UD;k__%uL0q7$SwfZ6sA8tI&lMEGh@Kmhpa{N2P@^1-93%b!3yjRGHB ztt4lbtkwe$Edi#igG&*L|Cy4QyD6FasKdz2s8gTG8(Hi?%^=W7 zxL9n*sWQ3A8(Cnq8GSG*j96kMA%r`=#+ z81oIrrrlt`7uy?*FFkkgB;Vj6%;fBVJeC8}>9mo~nVh$664fopl4KE8q8b8qqL2(^fI92_g?6PRnm00xEsoC#WmTdY z0`>lNqFQn%aXQLoTtJeNm`-_XKz}^7a=cgJ-d~!s=auk;snR4FI~O#>PuG-pO@>A zODmUj)?HrW-0>U81x&sxboHj(m43N$!hJt2ci~yvJ<(e^TK@owj1?Ru{x@8f_@*o7PPi&;M2lqA6bUZNiRg|}#CMJmwOnntKZIXZ#4orQ`w};qZ zNhtMBea-g=HTZK=U#B@{aLY91GqD~ner|S}!||0*R=c?nQmlJrcNKnuV}ajydfo%GrZrxvgSrJ#c^^CtF%!xm0PWw843!nDr*zJ|>b zS+}dXKjNLQyb@9qmZ!~`xI9Jk@>J~q^k4q@=kCSeZ^eDNVmA~R`GF0NjCpD;)R(Hm z1rb&=O5_L&>8q#^ypmTpWGrT}lHCDASr1zqlV)qcR7h;^f(Lw%6_H>EjHg;rVtdEh z0thX+e`Kto66H4OS6ERZSznRBW*+(k6|dF)I5Zy(zZe`(87Piqd1wwTk*u$XfiXBo zV3H7Yb^yUt?7k$1t>{3Q6N>8X52=x?tr>(GOO`6?%cP{*C~%(rKUmK(8YCuCmQOV_SNUsGAufOJ@*Ri-`9>IPvC!5d&8ZD(q(G^6>i zRBSYUcr?}Idp_A%Bqg6}3Ti?EHI<|?{{-!Zisk}C5`KIDfGpPhk6ITD@h->rVX}HM zhbsjz*p`i;jYYUy%ILTS@xO?fWaL|fZ^RkFmx%DMgL6W>SIwh=`m5qS1h7@SC)*L= zDViu95Y!eZ6{Q2V0kSv^CEkSg1qX84A0go-R1%E0t9Tay`X%wUa8IPm;k%qIN74eY z9N?ZHTE?IN_$?)+btx5vy#-+eZq`&xTfWa!e9mwCcR&8+D8Xty+)ag5#|fc=u7m}E zXMa#4Ap6Q+u~MK-k`0?M5SRPM_AFnj^v))gBBgXbLlya{+Hvns3YA2i zWrvDYt<-b>z9my<>7lakV8ZTvQtsuecf9>wk?4E*A-11;{#}vL(@dg@d63Z~)y=up zzl6sn5@SreMXlkO@lQ8{e|pm=e%Hsp^yX57)tk{VzC$*Zo|>BsSPTYBu+nR9H?*%{ zrL*xJG;Fo;9WZPR1N_ja=`#1%Z`Ug0`R2_@9Y(pR@L=Q)o7T`mr*HGLuu9l*%%#)Cu!&pp4`Q5y+oKPl^2g|DM1+=up=hU8i~3|0Y~OaR76sDWMa5ceb^RME`gH$fJ1!XYR>aEj zz@W5Cm}kW95UBTWVs|OKl*d6IFVDJibU_tLZ<38btrv~PdzcIhrLl?Mx@=;pP3}A9 zVqn(^uaj-yY4zq{RZ_K7TT4yQogP;4rWG0c<;sG6J|TB09;I%~rQeqqFTV8h3-5X1 z<*2rnA2IFCad47%#il)? zqvr~C&OwsN!BQ8@@NJJT-`eCBak+0Krcj}*jJWicR?hs zS;zx`X6LEy5Tv>-f?6Atp>xAXo9zedg9 zf-RZx5u>gSRtpY6s#_O4Y_{bNp9le9TZz-4HJe%1ClU2AX=bJ{_xB^$53^IN_Og~Y-DQ>NW-mWJ1nA!f$ zNSlcH2yg}=am0MW2bE$**^Zd8;gqeBHunjh@i0z^DALP~@@e{(L=@HL1ii~B%HOOA z5UT8a4h}L9=B|>|Rn+&vT1PMqH*$;SI>PPra{aB3{)K<}X%WpS8o=EP!v?^#Z%DWc zLPFhJ&kxD*_eJ z{ygGdxa5&g1iCgyz}z%8wXg6))_5;4!AKJ~esYv%>g%rXUL5r!&J8eR=CY(U>0Xqh zZ+FU@44m6Z{Uw(ibp?va1u!5!YYUXx?FL2V);)wf@PI55Vs8J<8!%K;zidAwKz)Ti z+*A`nHk&*~0td{IoUobPV9vabl= z=Kp#BCym8wgTvNxG%z@9tqum(I{q4ApiNkeup!3+hBjhk1@0Rbl zgEe33$Gq>mA716o*s70JzVrGaHUdU>Zwk;39i9__cB>^FhVS}UKk)DUh3K}?q_#(2 zmg0s#vSAShwKU{xHN5cbw@y(jf~e=HAn4^=L0Tjiv~~{G(lA^~f{R#@4ucC~pfx*L zh~6Hqr2+bb5Q!ER>BhF`M#bVQBC!cdO|A&y-d2zfg9{eQOtN`shBMAF*V1;|CHQ=C z=);!36Af_)O7!JRg5Z+)BS>NzjHarSWQI^<^fyw}klb(3xYVx6Szq&n0df-i0qSij^>m)q{W(PE=V z?_>9rG@VN#udTg4zy#XVvvl-)i;&LNx+v1topPtNO8A-wK=I|;QJd@l=cUP{NPW;*w}`4R zLRk9{dxgW)_o;CJ%Rn^0qFh({Ul{Ucn5#5#`2v_Voz9}hS62T$nq4;;WW+HFMjI>zUkXX zJAjH+>S^rZ!&YAX0^Aq@A@5deG-}e=a6)6F^|E?Q{LC$Up@xFMTrx98?8K5JjFk<^ z=4*G{Xe|$8965iq63n`Bzg=q+4g@Fk3LD=iVjj8Wr3+~n1a0uMVlmV3fC}B`~TGEy!A(J%vKLt)+*E-vaH!w4_ekLk{PnB*;Xs_GJ`l} zRz7R1G2{WEn+SrIrZJb5tp=0^Ksrv|TfMQZ|u}Jyj&Q%tybbRi_yY6X_#5)t2^}bNQ-@oa|qQ zQqL;l1;9Ao71Gjfzx{8YvG?!%Z=X#38>QxQUlrWkY>UhdmHVdIjZV35Htn-%fB5bf zq-&?NanQSvKAYmezX7ty-}utK7j}W+(f6gzB45yv@tS3k2XnsJv=8Qda~M9D^3A6G zFqlbY+1Z2Z;Y@1IA`fR$vuPj5q>dVFHNubIXF+oq#!)`G9reG>*RrXHzcjz;EBfEQ zmE+$i)h!K|V0;e3pS{ruacHqfE`bWSid@{8JXdwA_N4a>@Mqi%+^{1~0lL&hHe;w@kPbH93Q77P+s1PVa6^-P>*;>zavaELR9g+LI0QMjsRfY5dp zK^@ozWpW~>y%wbHEQ0$D7;2H*!^COw*ia$?wW@WDuq#ATV%pc{z zHdG&1d&6t~juUlDlSD z+-RIYbEwYxgF^0C#+iVQC}H`r<%K)WG)WfoL9}M$<5jc*U>Ao;@Z%%h$xX2T?K8#x zo&UYAOzg*%hQbAJwa}S<3*dIxjE_6N*%Fde|NJx}_VZ(w%^EDd@-Xd4OH@my2YF$0 zeA(#1sqBqpdXg95H6RNCn@7;$(n_XB8Dnn%P8P}4-Wq7*d6Hb4pC{nX%v0kL1qZLS z;poM(%_Kd{3&4kzGyrqdfsJCOIIWqUW)MP2_8E`f`q-I#C}1ByI-6i{MIyPc-_7X{ zDVUI~-xL%{2Hz<&cQj929#Tl;hZLKhlIF+skYWLUNcr(ect{7P@hBT_Le2;QQuda_ z{|Rre!>$X4B;1AMUh#2C?j3f>{?uc$>w@q$GX$X-6wgyWKDAyxzC;kky(82oyN7@d z;SEdsk1!Znf45tB)P=-2@A*q5o2`N!Jf7uRybc6HF-7=g| zQ?Uy}pt^q9j{Ub_2tK8FU~^b(tLukAwZCkq{e{sf9+=H(v8|v^TmC@l{AD}#7c5h3 z3i2u3YyGtx`9vVub`2!u7O@5Z;`nw8bgiBo^1O}pkK>zSOjCW)d}(;d5>Jc7n<{S&Shv60>BnDl=suO0@ueR_NJ}f}s625NG9n~CLT?&n* zF$LntOb`QIB(_?~lsG_Zk}w}ra*5$Q`FbW9b+v*)z@*zLV^i`qIS?*kFoR&(G~ zbYK4$M#1(kH;1wERF3}*i{JODN!Pgb_P=v=_hzfRWPXN^k?JJkep-Hre0t^E>7Blw z`zbeVw&60%!K2Z7i0YI!QqccSXd{JG717Gi-ieiIAYH$WxLLCeza5^XkNjQV_5Ppx z$TF`%%aWcJup%Wnj?Qx_(uTVr{IT;~iWSBH$Yyv29LKfzgJl1_(62na zfDA)ULvA}51T)5Ua1#@q`B5k;zqmA@K68f~VAU;;44KIRED2?MJ%Ut46`JhIY zlLPD%>@v-pZ*BZjm}bdP`+i-PTmPoR)bZevGbWH)$-00znNJJzx#juGd@740rY{17Pi~sEHU1{a^h_^~OXPA?(&t+x$@EY!(C5wJ zV|WD7$gU{-B$=M-1x65(n2VBZovWW}shHS~3ov-G$sUp@?6fb6q!U~ciDs?rfReR4 z-&a!K`co{>setqmul=-V#e`Y&@+%1@!q-H_kt}CBaLFLq)=EnL+txgQX-uyjqa!ur zcGHayuneEF}Jp}cM z3ZEnegLM9F0YOYwxg4#(L~aVz6UI)d9>aGxFW1&n&_8{r^%N8_^0Y|SQ>yDnS))2( z*^c{%x(4b;alt6JpeT|qSPLIfz?1FRzZt-xk5{Rh=(r8veCFwG(vhgRfT`7;d=_9( z``Ve$PdcCNVv8=O(usZ_i*5J$9|jLrxtyi)(s4TxRZlFU^vjh6yW}pqbjizUl5U=u zF;C3+(pS}gABesN_gCG7}MXj#||eCy(AY*6El{b{zv-$ zE{J*3@HyZlVf;sDMk{@P7X-8!v;$C0XqW^=dwtb(kz5c?AI5`lMF7J(OgGHm6-jXB z2uNqY-S5>wv#;<&R;`yvf!JJ+6$W)T2d`Q$6Pv@q+(Tb;k~5`lP&a?4-hIy)49}dE z;30r3w_lda2j}PT{`)>rBDGOkWB|)pV9r1pXIKYnY9pxSV?C*hxai96w^ciJyNja% zj~TG!u)FZzFM=7j963NYp{SSawjv|KZp(JqZ8(Zm)saQT%I-s;@*8A3_8(+O_+V?! z_`z@Z*MIDNA6gP8`r3K%L$_AoFj;5t?e&Q1H+ooq^{TeFUk|^#VUsh?5e0< zcgb_4xJDIV(oaabRyZ>ObJjcLxDe{Dnqqb_ zb05DJv~9#DRj|>Y-=_QTujfd9o1#^XdcyLOKDRe(WcfCIPDI!je9*U)&M1AXfRYr3-iXhJLxqv7*YAyJu zW17hWwywKob$5YMOzSkf3*v?Ge2d65iQY<`lZ1(GCD)i;*AGK(;qE zwFG4c%&4TBi6Vh@Y8brkt#6@9^>ajH5HzU>awH=I<+vECR2Q`B2v$VpPb(QANR{e> z;QrOt4_vjy$st&QZ1>xh!Ew=d(*8ab$QDMdplpnRIISs&7zf0zQ0%1g#k_grj!lwO zseYb7_L50aJSrPtmL&OFxFmie+&)SIL)$q|z9B9N--{S10+IGa169AKcwa7ACUs)0 zOe1;Q3SD*SB%qFpcB_Cam8fp+YjwUw)!wRfSM^Zsjq|F(dJ3+kmndsGY3ohiGNSp5 zqH$!xbNYeNcs2K0Q{5?==Q9<$lwB;j3c@l_<*kp*Kx0=;RfR#>=#nZ>libjs$Gv4_ z1JcWn#6EsqCJt>-Ha&B8bg{J&F~$_Ei1^a>^xu}^pSFCT;{U9dKlW`Gu-IV=v8upu zKPzvo(3`K(711OB`+e#Cy2Etc?@O! z`W4xMDq5d?>DQ4jeYVE*;tP0HIp0E2_N7+J9bSFu>f!S!zD}nppw=(d)DPA!2U9#F zR~#~rh_$vp=LiM2v*xLC`S!ICn5mR4oh_R*B*Wq zH0%N6XD9#w*bOyKmPwRooNObo9Mh#qlq)nw*5{bqI_PvXOfvXGPRXE@=0YKotztU( zI~pq;g&(4hYnUeUxF)7XBK+z^Km?Mxq;+>7s$wy>Im@bX5`iRJ7vXI0Kqt^j=DWk< zf>u|y7>8F$j+%D0stwp4 zlFT-AMcKIWB$;j5oXc2=>!!M@8+iXaC&`{=o%WJQPS~*`u+u7wM^e{Ll1&R{I!UtG zu-_d$?X+7o8}{32efTwh@e_XV%OY8;URI}qzdRlh>@iU@R>5DA(1{r!O!2BJ)XIpU z>!TjIMbDoIu2E2L1S4jL;7OYEBuB()DOIUIVs;eA*Q=eBwNA^8QAF9nV1^@RN4*_3 zRT7b)y(0g5wPM8VL>YMdBH;1bYZxm=%#K4Zg+YsOSs6%Ht(anVNN$cCutrHqX4jH5 z?EV8;lO-f!*OjLy4xZpoKqR1fQh^3QpSRbOVs=V`W=oEaNwn>cF1QvyI@pYO!x5Px z1A9%Rftt<{Se2UI*G*rfUejdk!8{Z7GJ*s#`pF02YnuvXg4n-2UuqBaNaWb@0d60A z@bCYlSO3~m?SRQv_o8aT)*GtS6^quD%7}a^)D^1Qs(aUnT^hm2mr|u3(8oe;4tlXx zwPf9kI05qjAqb^UFl%+_tb0#jgi&p#W>()P`dku37ExlW#?Ww--O7|Mx7n1u` z7v+_24;XORHBZTH2eT$#vTNxwIUD1Rq$CLz187(SaxFteI~S za>|+{M`X#uW4h_1VIsq)G5et3TTfHPAs795sK50@cxqJo!bM%vOL4Yk!JY19r8wKj zA)$}a*1h(&7Z0ERix4qB=^nM!@ETk5|Cnv1@1`3eL=G zY*McfZ&C%zMMFMDq2}31g`5#^Np!OAJ@@N418d;?jHPyNtm zzVBNj6{pIeV>K||0Q!?ZtH3ML%bCX#({1)1P*k33Pp|dZ1&{@oaJ7YxpI>)vR(7pq za9?5^*yLCXR!V8RB;y!V&5Ar~wP4msFlSKhB6uWppt_i>k}x0&CX#}f4BTE!wi>&g zVBo0bFf6NCv9cQIXh0#1ORl#@pOS1r<*^?yBucCXs6snyMwJZ_NF>-KtC_B6lI9f6 zq8jQ94lVR+4dhi#lu-+^R#srK>!oTk6kdF4SB`JuQ=fYDL|RupDu6e%1$@T2VWCE! z(JKt~I@qvad?R)>T&2*{rAYUX=An2WGE34YkEmzE54^*QF0~N7I%wVUwl`Cd&bF7I5q@-ak-W+UsAXMnIvuX7O+_FP{ zuoIC4B+fd9kD0(TFPqN>;g26>pXKczgDCXDqr)($CM-YKAF86^XHL&HIMz3)hN@HB z!Q)C~Y&T?qHjFhSk>UVPYx}xn2Sq1b$F(V#0+m0mN zP(S(!>?0a&qcq$(dMXJoj(a%C;xjJS*rh(Y#5HBj1Bg{9$tS&CQzAl_JZv!gK-UzH zzETy^3|S@x z8h-4&G&n0qmht0-FU=4aiX=+IMOLbkoI&8zngViisaGgikbfXgn8-gQrVbRYaFc|K z34U>TD?Ne-6+G{2+Mf?oK49&ll8YTrcF6#Ms)P|&+Mj93yg#?o@rBDi?GHkY3I#mE z4KGwLX}N~lM5*8N>F^y+r$Ywy++;{@_zDF*#zg|g52W=6g!*9?UE_xNm|B zCFx3#pCEg53{W&SL9<^l(pYMnG1B+3*e<7Y2&zu2^ZT?}q+_ z(0%BxFgEc_fRK8eC9dp~E2zsph8iwSt`Gn*S@}_bW%n58b|tp6>`JufJ>fJdhpZ_j z<>!s>9$r0o&r44t7s}^;;P>snH`@7?lFVZkBPc_u1MTb29@mhiqqf5y z^+}F8Kip*Ft zcl19A9sTwchkib*o3GW;KW+IodBu+Y2fy{#yl;XMv--#mBXTsDi?>vqQ`019m|rb9u`na$8OW*%X0T@9){MD0TM(->zob^RT(Zo?L7=Lr_H=Yw z4L^F!#n~JT(2^wc?E%9bM+YHgyGK`gd-QyNjIo1~UxfW*Z zijD~3)mdE%C13hINAIQ{`bFV zUz1sUkr5^@$FN##X6u0%<04v3ootg1DD>u85A!j~dRW|%K>f8f4}c=86!sXMJI*(! z@Ck~%1jH!JA7Ka7YIx53J~rj4yqM}kAMx9=1NJ(PEZ-Mof9BT-9o+>v~mJb#@<9NYTUgA=hfBXx1q*XH%T6b^R~2 z@7y?a^NmAkrdoQh!OA3-!z+$e#c+Vo)LxDe)p_lvqG`+bY34uuD_{AR34Hb&XdGz0 zeVNRxXu+j<=(dGsNkC~t5H!WAB|?y|z6&CH*JLF!2Zj&{L270f#IPR@9m1h|C{n4J zUC@aCfVY8nshT-f4?Fu#v{g5Ui_0x^qW$whC@YVaj);E42^9z?OF9P?LS1D4nW9t}^{EROifRf0^Sw z2oL{Ybp9Q(kkXu`5 zqv19h%U+yg<<_E8U-?yc(6a5ZHL+&yJSt%{8gM}oAGAMt<<>%D(hf-)nH`y_pg&1@ ziqZ+?*kk}yqZyV^H*(@P_UkB66@FrTPtW}JRHkMHdV>n|+Wj@t9Keo$IrrDxU4PBA zTh#jKGb7#2Fw*_>&wcaTzBf#@ddP07iz~hHI0s#vt$WT~cM&XcP7f>XnK%cof8+4? z$2mRpwY_l;Sn&qQW>cKQ?gpARj|=L-4H4(84;{h&IA?P*>bh9*Oq>Igt%adJ7zkIgf%a){|fZ4CjCz095>fVMm|H3~yZp5{q!i?dF5!cpE3OZIZj8tXB8E`V* z-mgZCIGWf1n8b=O;%(CIdPW>DNi8D*SJ37l>tyAcl^hbR4;yhzI%o0#p+1awdybV6 zKVX!I!aqTl*ZM9^veG9dfqqX*Q}IhyM*IZ!AL)u@T2o6tF8SmcaS86#^6y4jf6k>J zvy0Ft6aPlZl&qYM_GZvk{+-_``RipzUrFjcAIer>owDl zQ0Qgf!M3u8SE<>r>NtD(>K$)?7f$23mv1H#|LPz4ihuYiZ6xlPKs5%yKmxb{5CMRB zJ0O7t_+?*J6-Pql_d1;#oOKPYTti6Z+2bS9@Cp!%6(bVIie(6_B8wBqbdQkra9{eOV;IQ;mYanOiJi0hD4>KyVIGJ%g+F#qY+UG+RmvHRpkm*>HY%( zZJRF_f?`Q+6OHT`M=V8UfS@|~%Z#c7Q4K)#EI;>2lG@SNER?)xKC;;<>FBv9YkyqR zA!3CdbU{_2+Gvk_&B9oP``Epr3=cS>c%fzT-GS7bmlVIiYX4%z!h6nbh=Wn08=L1gbD6{z zfOJK~CqTN4eymMsHA)g7&Wi@Gb97}zgA2^~B?<1wM$iLvdP*cRpmn-vl&17#1Oi@v zo`Qg9KfnQS!%GqH_7X(U(`%4Ab?^x=PR3LI-i|C!(cHz(HuInO1Ap>;Z;Gf#q4Xa0 zcneLL-j2o?qqvP0n%rvxYz@&h2#+x9vh8+Z>e5$)Rzqk)!999@#Ql#IsS8~2gtVb> zvSa3Br*Db6+6D1ff#4wFW&RMMN32L)T?CP66a)|`!fhx-2vS$OAObFSks>_WZpglo zRvZmcijt^!y_D4}-uGKmJv@B=FBC?6%HQ*IeAD-d5>Par{IkDV(JUAFwbNK7>ls?2 zq-R=$BRX{|t|$TY)$*`U;mfz!a!JYMCqZA*bKHCYKy8+vqN1`*)1MyippWjU{6#I zTR@ILQ^@8iz+EdxyCy3@Gp?5VkUg#|n4_Z^ zefL;&vW9JujERky{Vnm?r61^s*I9VJ;^BnZ8`NVbO!a^sOP;#wF&ErzR@x>2_F+Qx zli;Y*;d?1>sVaeHWJUU>hod*%Zu#Vj!2dR1r?qU34+Ty4SQ&zxys?{UpU2D|xQg+= zP&Knt|Jpe9ckAO%O*7bQG!jCz{wdQ8-}Ar!l^^Rlu^}dti@G--zTRTkV7Rs3Um_KMB3~@rIWUj6kw<-=;fqA&gmo|i&}M9 zr5QFGjvHs1!AF(Z>EF9lCp`e=M^YXS9##CAz3kzkL*$cdB>&rdT`k_xO%EO+f2nFp zIKyM-@{iZlP?l~ePEgTM6(!9Ae5(8@!NHA5e|5&j8eaVgHt!s5tQh}R8v;nCXd7(( z2Qa)~P1ex0;_tTyl1!TWn(&O-8jBkVFqu}#lVo~+7e?ya&|mX0 z8X%iz{$`)j#&ez=)G<{8OSV(SN&?A}&jNpWH9Zg9`7GNc0uF zs^iOc9Df6He58*Hke=lKRRR$L_5KocmlFn;K3=P|Kgj2bNH2_a;Y$jal3n5h%@x^CiGw>&f%sGjhydS@ z9-W;v31;-@nD^Ytq$)Rstyz9dQq5@hLR`8`fATf4kaG3oJg>`1t%-pT78rzw3HCQv zCujHW24N83Q%SGAa8IFl>IF$sQRPiyCXR4V-Y}SnM_>JW{_HOXWzQa?gxo-eDIkT(5|hJEP>O*j*4D|CV6gt}%R`E^-{2ioFPKj_5>VV7uAUN>zfQ z*o)+nRInn6a#btIP~9aD;M$V#|Fqp2vLg;Iirppm2>Ufu-=Lt^u9@#nl5~RIv}V3N zcEkB&avEm7qR{|c^CN9ROaHYCS5u{K<4`KrK;2{eVoD9Cl+C38HctZi-^l00C;!g> z#y9av&$mXodj_ZdJF^BpO9UO>ixfK3YfDfPnLikjundAsn|yI2ghOHj__xcouRY}> zx!xHrGQFetw7jQ1OFq2p<%eitdhYpmMN}zGQguYvPu?h~ziGFqO~=o0k(+^w{E1)t ze?9-}KfIJQ)N6O<7Wd&}%Gerqu8CiA0C6bcos>p0=2^V}Uopm!Z4nWv9(Du-<_C-w#BrP z=E|$^E~cRY=3bxYWG_JNWdv=yy|U6uVsRG}Yc}6`DuHmJE!KU`!(EEZBeam0je=y? z-Mzcqjl2I1(;lDvJO3Ns^nIdK0=djyz4;_XwX%eJCS3ZYyWh8JRm^}nEb?AgHu*G#6%(91Y*l%4B{qfDu zQzd|z_f^(@%x-VNtQ8F_EHjKaG77uR783_+Kc@B&0Hqad2C}=|^mBE0Jfpos(zuh> z&9|qdw09T@(@AM(4-R+FQrcT&Q`+;)H^@-QNNH~|x3QAEGq1z^5HHD3uagY1aw1;5w$#8qeT1I4r`DWN%m9rsG2l3pFsGV(Uxtz@&kE)yvfqH*= zrb;=RgI;nuo9;tZ&K@b}b9_K9XR{5jDrZZ^Hzy(Fy)Vb_w#TF-1oFk{<%hq4UpJMc zfwe$oRF^vn^yKF;GI_nTHj}NmMC&YNH|u3O6ZLqhf&+L@h`I=YlZ)n8v~S1BPY} z6)I53;QS6&3t+RG6{(1PMKs-@o_wZQP!%dL=U9PtJr|P;6-R&yimWiNejOj_lnpN{NL z2Z%->#dU~~ee}V3YS~2}oTrv!sKLg;4Np@O-_|p? zk14I=Sb*AnS!`0dqsUlslba~+Cp@YeIv|P1#c`k|a90-raXT>O?R#G}gbVo-3A&Ljz zQb&?1(%0Odj+mE(UkLq+U{h+2P6OtWa6;J+0!jFo?T;>nD3`RV9wj#hv9zyn9|dPv zB=?*v&j0`^G)+h)$$W!KcQ<}_%i6i*sD~RGyj$3c?+onH*J7gTKc|(7W#In)pNcsn zlWkp#C0R|&;Zc;w9vyP6>|h<3dJKFOK5#j+M493W-v2_e&q=16Pcr!f*(RB8DCcC$ zU+O5&MX$RndQH1UZLl%JVr~W&^GBAy|6Bfk8sM z>FhxlJd(|eE&+NfiJw8vDFnqE(b3}YRxndf1o5{O6mP@@k4w@?GTpU>v3xg&T-Z1 zjhLi0I3qEJ4utk!kY0I}pgW=a-`NX$<`5%sM0FC23{IaMHA;82cf26W{ zrn2S?6|9^NVm<+LuW5Tb7?erQPyy<$BdtMF3$%Zo%E}okP(Yy?j>rZxQadD1RfcMh zp=crqwuH7OpEFc=rWqn~MWXlWL(4Y9ZqB=?W8?%M(XN-O!#NJQyEw!Y(a0@o zP0AdH+)NzutN->3?|)^ia1>^FJH7k**qXfbIzL6wy-*XO``VIz{bo4~{hFL)wQbC1 z`G`uje%mWmf_bQ;o$?Gj}&GN0;|~^QJ&W^DOurnEHY zSefPB0bqNT1dOqjtl|0QW$c%Gu)NpTU4!r3&0yNGvt};q!MW5lFA|u*>osfU+j9ig zL0uO_PW5jz*(p%d+s$ICwSFVP^W8(}35r_!Wl3e`7^R7E6hN1P65z{#e@jhntV3pe znbajq6w$%g0W)?y_>9|b zn2|*+G4m@>R;{4aq&&H^-YNPRC3HZW^NOP?h@QKvpZNT^wDPLBUduipS2P}i_}`g< z61k*`Mr$PZqei)CP00YJUf`Kl9`g&!!&S}T=ngHU+I;Z^{-QGdQ05nwec%1udowlE zbMK8N-h1+$H~C^CeKloh;f;fEub11J1Cg5vME>ZTK4bsKKBDvq8x#&nIwAmc3swYV z@)9<1IJA1j_7wyJgbP9Ku$eL(`pDdy42RyU?;a~MKj?>sg#(fRR+z(~)p-s`1 z73DjGR4<5OD2e27=RE1Hl=IxKPU8On?ZeTZ_0j8lw8RimuE__bk%apnYht>W&i>Q^ zr1hGpUW6ooMLPY5Grv1)R`&?5q_~K{8Z~$GBCkq)Ap;p+1=vu%u7IcL_8=UT_i)0Gj(Y2#52h;aF%YAXW??0Ebquj|q?s$Ldroh6_;6ksVc->D>s12Y^Gb zmuuxp1NTYGzZMPv1ebfwDx+_in9u6aVgGT}X!?RrL4e7D_P-KLJ{m}$S8E&9w?}6} zD;f45mvaE$imbUqIk%Mz`;VjJd%@P6811x@;iK^0N{MrQ)BM_J{i2$&GJUy92p(INtu>)z@ zUY6E)Y)A`ic*gLszFXOFI3<-0m+fS)IvpX%G?mXr18_z(EB32X;2B#*)vr!>n`Nu=vpPj=#AXPn)Ag1! zA_mmy;b7B`wo99O;R?+lF}4Zou>ySuLNt&ncg#pdkcpWWh@yD(jnHn?NAFjsTj}md zl9Sb`>a=Cx22~m6u@mSBP^rFtk(n|9*AqYSjNC z$33gl*ReXCqolizlEy4vYm(+D>1Lv&&-m7#`0=YS>snTDv+i|JbS0Cj0inuqP5MRH z1N+LNBo{1M;=bxH&AJOB+&VuNjAp$E4ox4Y%jJS-DmXtsjAq>hLFG&{2>ZVlU`cf` zH0v%nIHsIt-39U2VxOKdMXyCrD98(}?&=Q0b)WEf%QIbGQQ z_Jt|_o&W8ViGQP1YQVExW{zWKX#Zs>nkNd{KO5e=GrXphE{p|d!+SG^_fJ3l6L0!J z^wcRVt8Fns7aAy(8QhhuS|CpuvDp^YAcuLQ>WW6NwjqRu*99?5m$g3#F2Up^6}?!I zhSvpy#(9ge=#3x^ZxJjk&f84~9ZSROf5TQ8{nVk%rd=%k+#L z@(EhKjupl5x+IFx`a>f6H@*Uw9F1sca>M{tA2b~777yKw@vq9{YGGNYE9R9#-N|X1 z)y($wj)YB&PkB{-j&J%tQ6ja;dGP9g5yZ|!PM5XWDb_i1f|7ysy5N0ST4XFQ&k5R- zI-;nrofDk4d>eo12>Hm}Ds9sdn)D1I4)##U|9q z6QhKJU+&(ssF0w^HkVBJFy@j;Lk9q;Zm;-vx(goJ5m4 zLDFFlcp$SY;P_T-nVmkAl%!$Gil>tR+m73H!#kvaLci+c5)ZJhxUxo|SWz^o9~yMt zn%LP1>FIgCCt&FmP>7-41}uvuRg>D9N6aWebp>zverG_+B*|j6pC;ywXiXiv7(g;f z(h+b;S}iq*u!p9{^>EbV)$IYBxRANSGFrQ{uQ{rnsl8N7J^;Hz-bx+Mj~*1&+&co? z7jPFA^4mvcSNZ$vQqj}6K6j4u#vY~;2a!Cb3d=t(j?3}}J`J}r@7ZhmAW(T(vK?L$r@&_~2l&m4 zroeA-z5qnU@iCRY^70^1AD`QCe3=s;n>y<~mfNlu+lu;S%QrbWecSC~o83|jeBV~U z76`OE|H zrM6Uu`GUEf_UH2yn+hc^LAd@}zWL14J4*Wte;-?TIE$BwP5jnn6HDy?HY-@&`&ew3 zBl`P;2dli)FM0Uli~Vx|aYFD_i0pteoU$Wy3HpQpA>{vz6z2B>Y5EArGJwQceiK|>3#MZB9HYTM*2f|PHF**2-Z z5oX)uETX??h}kwdi!ky-%(nUN3^Uv2+k=p8fY~Y$4L$wMRrV935ed8j=O@YBp>3*PoA$2IHtIIy#|| zQGt_7fJ~b;rDM&{^LW5B$F~if1;n;jN0%8;E_nh*)uSWpZFAQGy`kxWUx3d=2frh~ zKwN9RW_sWk`{Tj*uJ_E3HN>g7o!ANf@SVm^XymJcMZ`{(?~h=nKH-k@op#mrOSqFxShnMWcR1f1J0sLW#FH)<0@Ve} zcI=NIEdPGmhUvl9eMFMj**6h;C1>H@eQe?3EWs8w5yqBHEVV;+EX7_MsImMHg9n>q zuf$j}KxQE!n@5`1NP96h5`0z(Ww`a~OIHt{m$*g3N=7jfxSU0DEtP;ng2%~sr9Yh?!dC4}BdM9OSj7tK)(@P#3`2;J8o`-63KSQ5+a`N<2!5KARkMsEmgu%sH3cyJ84SRDSnLLKVqB(etqnuatt2 zEYu0(s1`5D%!m*L@YosHX$K(L2)}U3kbPFCt5kwDX zYksmV9h?N8ZRw!B&$e{X-e+4{IEyeH!$1>Zohw_4?1Q8XfWqipOl3<4?YLk&+~Ona zbKa1vuAF4av!^p)$qn1#N5?#SRN86tQGD05PF%Y7mb=3Na$Ujb!85@fy~sOIyL=%= zPi$~D)?t+8lP-Gcn2+E$Wl-xWCS0Pk1wxwKn7*dNZKD{L{%|&WQKe@$AoWhir{5} zyg#@1u?0M13E7)Xj79?xAR_oG_^a)>ng-?`oyhE93?x!+ z+QIm$SwK5QbbTEqGnq(KSiKxJK&XEp=3j%1%dJq{QIfI8LF;=9C zazT({FtSD-5oH#Q>O+tYh6|!cfhh_k6gOxUYE~2n!zD>uD8V|4SLi9Kk1Y;HkvuLr zZA=&$&BVvS#ldh%bUbj17*CU+xn|9LcXoStO0p)7uBn2wCjCEO69a$P5++w<^%$3& zlvGe-Y_3C9WJble&`K|#%ScwbHN!tl^`8~a%fD-L=a8E zWiz3np3{i}h0e!;8(@TEwgwvp1TkgDKA=t@r3G*#V){cq_O%SG8ng@?kNo|BnbqA! z)A+JN^FLF9>nSp^ETvORagQmTTZ%7BAWTWxYs9^~_sr9ZG13PVO>&Za@OXS`yls#B zY-XQaGdt}T&1P1eq??Yn-}FNd{^MU4W>(W*Z9+e&JCM;=>)?4ZHM6!w6=~ERD-Ng5 z>0LFmF1X)fAe#hqOORMJf;pjoSOc&~L3BQKlI1y}j{yuCMq^w=!-}EJnto`U82S&T ziVmyS96BfTcj)B8IkMaijA0{~6Z+_(Tf%TtkOQ>yRZlXWVsc89$q#A=M@^=PJwjJi z*KXRsbQ`F`zQw00c5#YKz2dO##0$Ml;4Ze0S7<)56)6nukWeBlt&+!5XQ+1oBBCUZ z5vW!D-e^v}+o{($-;CyC1{voUXg&e-rt_EWbpAD(Pk){WL!}%3@-Wn1irGFsx%P3| zEt>7)?bydp`0{`B*`FHrQF8(whU!*fwa4o{Miq^zsxT?zRMEz~FAdyGcp?_GgCTN@ zd^C9FeKL6;19ar0(ZdOP89|wW;tI_}^@@>?Cj0%C9n8?JEASpPf{~9VrTvB!{Se$N zI~4tqkH*il0f=8$#4w>|#gvcc)j09Mj>%F?S0?0zz^WA=W|ru4}*rPFTFY)Ws(l>VD<`|h7z1a>U!SykGV zk>66WMTjV|lnO4}(k{3#5E!y!eF?JUy3CkshiQJGli9?IVM+l7!2n$?#IBb%Lp=n; zltK_A&$R};S`L?Xw`;QF^pEfhQ_7xwOj8g+#LtRvi$jMgMZmNLUyBx3F>Ru`>SRjk zE}U%II#^KJYH?NCJ;KgQh>ey!PF<)9&{b*ou-j^zAy`fBj_)Dm8AM3N6WRJrn0+FT0xh@#ff{BnDJpNU|Tq3rA>885%i%TtVV@5kCP|m7P zut*OlzvsCQH#pb9D#iZbvr>%ofLDs|!`lSe>Yb&=Csd|sC?+DoTbm;Ms$cNMAN6O( z*!B9ulv3{U7*w2DAA*%0UN=`9>A{I2;AUeyqkeDde%3)%ll9?%rcr)X zS6-N_r$G;{+8A5o&{-cI(Ql+>#T^+*vK5%5yHvFd#S$XX$D`AzjjY?wsTN(!fTKkT zJ}PvFJ!FXZZpzAWGL-HrE$I6K9L2LZSL|-8Vh5#_Y$@O^_;nLu1j6n&7QO!8{jY!F zn?5b-DEd~mPTUDT89WN8n6bve?V2s~s*75et5RB95F&{nNNcrIAQVF7#4We1;rF-o zXD2QV9a$32SNV@wX&pA8mlfaah=#~UFyjm`xEQSZJTxehTemPPEi~biE(}AwKnX<x^0U{!{+nbs|qxRJjB!v=i9bIYJ&402Dug4NSrHDBpa)SvGNqIQkoFM7bTZ~Wx z2@(HxUsFa8bgtXmFp>kOaeOVhp=P5zc_L7oQksqO%^2mMUH*T^uMeZ#AK^x}4b|>8 zaLsACC{qBA*9ztcH_8+}*!(KO9m2im2zLVQ2p38SdJJNQ&Za*uL_nAD?;D^^~bP|Rxr8QPhmJS z(S)3?y5V@QDr%%%_V7{}I@mq7B%YoLW%~+Ibq78Z4hxhGSnEZ$f3>j!LOd7(%d}0*HQ?A{N@A{sdX-5s zJ-!PJ+XZfukH(4vikqXS=a*}eZ3vQUnQ58MbNWMsnE-t==i(v4Oo5)6ByqngNq>m2 zQ~MBc*nyMt;p3e=L<2(&I$en~29qjpG6r)kpvz!0!pt%jW42k7O2cHs3x z88Pjk%=^m~gRbrR-hJ7QHcSrC%Wa&TN`V|=91sHa0VP-~7}5=fK;(gRoMKUN7($@l zUqZ9kALpB^@Eme+sE$udkS|ywwz5BdumKFKjO(i)t(aipj0~K9hyfP9`OMQYZ^HG* zea`lCVGK3qrVC?J=LD91RULidn-ykeXlxnNJVgZ;LFWt#`ov0Z^6K=!rmUXj2*`PH z{oH@~*T(>gQQOA&+m<6WNB)Dz9eJ1xe;2ug9>`;k{0EUc@_0F-Z$lP1KF>Xn$13tK zef~WVpIqBMU}dF^Lmj?_A?4aO#B_$x9?Ss>?)NC&sA@`%Ub}9ACYQ?P9ZN50-2y&G*hpyB*teMnK zF+Y@1BFW|VApcF)HMGKVtUCudv*u72 z5UPsWiKC#Igatuz%a2TzXc>tKlI3&y46|;XB zW8wB3NRv|~HS7=PXj9?9pIB#KCMz!SgH*{3wX2Dkdr|z`_)qz(p0CqU`X50Yw*Sdr{E5H( zt&!PQdK-7(bn6mQ)8m>@5&C5Z#*6TxYz_E4)LFh_DS;j_$XanpAxNE71VKEiAQ|-N zsP7np)LAZweqkyO6y(wQuf9`$z znb|Ia%e_(!pn2EmVdBu@z_}#Y)j*FTP?|3DsyJ{(a=B&wm7p6mB{c_6Ol-V4+r4D! zk2gn@s>&-|y`<|5dZX$!N5WK*x?d*~Jw=n=l#HIjAYp2D_dxxfF&sJ)D`jFkRN_Z+ zg@?ODU3<)_+Z7+7%D2_!yRbiMvKdlzlpX zkPTckxKj%t;qLKWP41qI^}M{z*Pi+jpR1!DXXNtTA(yA!qSh^*Q_nY(dVcG(|Ko?e zG-{^7dnP#J;|}@S#%3_IaN}Y$6ExE@&Z07bm6-+v2VhokkE0~p8r5v30V3u6Mq*ik z2Wk$T%{1^yI5i3?pILS2Y^FiwYTV;sSZzIoY^D$B#Knp*(_2`;=Fr(pW3J~0_8ca4 zv+oe8S7sVu=#v^>U}M{>smwI0$;j<68?@yl@9ZN?4p-D--2{P<8s*oDU8B$P!jj?t zz6f;g@;4WK=e+Y}mU~K~WwyL;Sjy3aN9{#L&6an1U4AvGF27o`!g22lKJCNCr%-P; zGy@zi&0Yznx7602rz!{QoTj%zFf1=j^-l6&SP@gGVOXja!}7xU-Y#LHV1Y1&+JH^5 zVpv`XZno?hA_Muz6l%~_N!&|Q-X1zEFV1ldzHqL%KcalnoMTvC`W>jMh#;m=w<{*g zOHj)}kByEPLzBhTB+JV+!8|T&Vn9lJ@Dw1UAah{-g{KK3koGqht!jrL53KgY^v=M} zx5sMoSQC_rnj!V`9JTuqC=c0uN~N&7jkKFwWwHGBq3M^3MwhD1MTPGqd+D&;_Ag+% zdrt345v@enDIx}rw~dJF5PF_MokQ?>3iZ`14_}?R$Nf#4L-3mk!T<9Yzxw^(@R4u` zo_RVT$SrLpqT2H$lexNwc3d@Ir`-}0oEbOjLA~tW0uRT3RLUX-3R1PU|Dvut^ggHQiC*kZ2ey0jIXyLx{&k;Iv?{Nyl2SdV=vTJq6cX+a^5gmE zlwHq{e61(F0h*G)!YV!5WDS^Jaoax_5c zbUF>lXkfmm0U76;Yhi3au4-Z0>6;p;jHi!Fl1_=mxRRb~Iu+kExHb1#c46OC}Jh!sDt2zs}{m|R)#)t8^^_{?1T>IK?l z`G0PpouPA#bGw#dKV0WU?)vVbDL)Ud-h%_!^}YMyRWwNGett<yb+x?n{rqB<;n^7{j}VLXKnXHZ_%{7 z!d-bCWmjI=>;A4VMfZ6h{Tc84>A>B=wPwiyh!eiCx`j7+yX35Ql(H~k&)~Qs=qb7) z2mpx?${&xQ;Sq1fzA_Ap3lr&5CqoTyVD%APH>3 z+6ULiinKj0SZZEqdo&k51gT_;AX0O1WKf?1BDlR6TB9Ne@5N9g?#IN6;+eZ7eiWUT z0ooWFP?#jCab0o`n4z%Qp8zA&tf)&)@{PpR1{sXH+=fOmP9gm+dGQVJyndgNDE2Ql zjnDfcOET^eTH3?Qk53fH|HU`)DSyw;@lD?+O3W=8l_LeR{hR`%BXv{)_+n)O69W??6h1H($nvTPEFKzPG-G zu1$GM4}_wLVqB7)40(ePWbo#Khdp^pMUAbAhpPlDeTRyLpa8ALUkPS?hjcue6GS_a z3aef*>$~G}i_tt3#L&}LFzdTu%Gx6*(X5#D9Xjs;Smug{Jz2!7bFB2;ehEWB$AMq7k3SIf9hu|mHM23oQkdF7Ni?vxYFVCU|Iw{sACkuvFbU0?`Su)f#nNR1 z1Gni&=KB+fLGY{8Khh+4{LJ&V1z zwf8Ao6*%N3_(N`jKU}3%ukcl~h$(BsFZAIUW|ongXBmk=X@WMT26iPiqD@}|W&QcT z{f~Uzx4*fhjrF~5ol{g&QRJl#-mTfsR%wo`tapGoA=M^Y-BMV0rK~X}L6f{dm+e~Z zmX$RUV$gn~)t4x|))$kN^>K+<+_bu7gX3bdvOWO>EeR0efHiu5| zi?I^LZCn8GX={=%r}>qH=){i>l2iSaPU}r4SVeL*)`a`SUmjP4<;#nvsru?g<3Hs`K3o$Q z^S|*;e6qtnYF{+0iWkXGs{5kh^!i@30p?PWK~{Ni5@A+(+)f@FOqVoa%+oA3_nuuN zkxXCsgqw<8?>()#*OWNsqn8r5NHpUaE&C&pOIUB(pYCo-93wMb?GNV6ocL#^yh{8d z6J77m?R0#cZ)Offnd_Lk}8bp1$tGd?N5-oBDWprvAQW{eAP9r+1Y0m;OGs1arQ^vxdYxHt}1R#66bUp`|MF^jK^M5MKPl z;K3?ywdBf6Mt3YB@2TuFa+6nQ(x4c^avI&$vm6r(Z~M)E|9!tc_|dgE>b|O#O5Jgq zFqzYxS~M9DMGuo3 zD-M7r-NKwId^D%#MMv|Gs2py$whnQjR$}jxoD4yaC=g@`u)w&-04~!mhZyxT|pn+a{Aw}oAJrN^S|*;-zQ2X zns}%$nl}Uz@^?7{9iP1onmg6pLM@TrYqV)gZQu2Jr8)a^vXVtGjTFjrTFUoq`7nxy z=WCHsiL6hozx-E9vQ`Hyk5_f3{ri@htQ7*wzJsM%mTld1)a6&@wky`HhulsItTP3k zX@HSiL~CpXTK$O3M%<#I3i^08NjJo2|CX=$=m|u)Wp+19g|*=qPu^@L%o-t! za3wH|LPNtd9>NZ-jUw1HE3Nhr*36I5Ltw?AK6K?FV0MdS+(3jo2!cdM2Rj=9-dJus z{I->cfY}^a5$H0czglx%dkAbQ6uW=00&`roWV75c(lKQsiSli8HMNHTYAvbrB0*@S z*0d(GS-$4+M6<-xJZvoyk|gupIRW-52?i43YSJP}RvrRou;VupuqJvj^RGTF$VP~* z0cp3``^NJS{GFaXg!@SUfIT4s+1v0CB6gd-06ICd7vM0n1PHrXM~&v&P+IyiTkJ2`nC7HG&VM)$9q%wx(hKmPXC68(K47x4exiq z@NfN{aT*{WHwrKb!E#dWU=tNH`3&&1QyrZWH_p~KNW#bP+YdcR!pB6O3+^^2;`B(` zBjl#l#W4JK!Q*PJaqVft`h9)XG`uc|Svf={b44Io8bJxaU2=!cL!|-Qp1`|YFDc=- zO9rCu<~aDokX6yIZuCGo8k?tbuhrlewd0cs_-4!dBv@X1kR#tXTV6?uKS7D_X}5?L zFyqB%%X>53|3CS0FMsAIjg`h@UMC#c!Nm7aK09YtV@S+yZG*le2b&Y$s5X+RkBM(2 z)2kG$4m$AsFH`MSbv|^MxKjxY`QeSu7~gG#B(N&q=fwB!bkwN?yUm(2#w)>`_%1_Y zkk?*z63T+<@FKd807ZLscLp0V_kKAst`lgW_TW`%yo~GAta^Q#RcRcALCWWg--?K& z>VoPCZ~!)FGJSi(ubL%C6Wr*DcO)HJhmGVyO^PD4(g8!T&f9XqK6=p%n{Y;tXr@Vn5`0;=K zldq0B421?C*1c1<&9&G=jEvoqE~qj|*AmryIO(XVAMz}nH>g{CE!s=O`W{?^)FdD z3_Fy{QP3woZ)N?C@l#d~0|*nXrhdeDmv+s3dyIJ*ZW@|O>PopaEVyHXyT^7u)akd| zE{-rm8SEjVB$`R8qmMcvnksnw>btJv&PPDqr}-u7%y_$BxFuJ&Sq*5tkdk!fr0a^~ zA8i*P98B=)6(7LI^)5?I&@M0GWsQIA{a2?RCsP_sK^JDXQ~jXka#BJxmiZ9 z@Z8cok@uJ9C-%qrR?Isf)~WFDBSbjOj06379Dscvn`jS6-bi}I0n|(1?(fYd`ng1Z z_0sHq^kOM50qXsYZ~VT0`mcRNX*;##k#VBv7HZK7S(`vxtPwEQuimRou z6^39`3UJj2426_b$q57{%{fvx`8n=3$E|?s;ILS3Ay!P40-WS}31@7y{8s#w&z5prw=&NAG<1c!_}O5Y3Wr#8D0T8^vn`nm}Gm{PIhlMwXO* zN&D`&k(6;0yA*fMzWb<~)D7w$W@=m(L`+psA=PxYGcYf(Ga#u;t4meXa2~sCkLCX!DK=srL((0Pv9z1p8aloLLv{d=%&LmqZR>qBL z*NFOOeFjv`7SM*qTA9_f*XHATRQsH-SCvs4JGsmOPcweL+OZD!Xdcm;jHCE!ZVk1& zrP@jX<|-NMU2FnyIo~*zoAg}cIKlQ(TifF?vV5!9*?Ijx{qQIJ=a0Ry3D-<1slABx zTFOL*=eT^-7IvWy3*iVT^wwLFnPO)-kMLFF`1fRt(`9 zzD+GE1Jx(G#Ihv4H2cZDstlCi2yU{ZhimvgwXBR~W38lzYdCr>D`PQbD;X~jhRcvh z52b1}3awN zUYhf*MtO8b)H;FNRCzVkYD`&howB?2~xp6IBkXEA#;*hH7 zFzrEAq6<KVBB(Sr`?OdkH6uk*Sw23dosnPgh7YrjBAXWSU34hyF1@Q$F3u&U zD7)X33YxZjp9=b!Kl;iGZy7Ih*kV2)RM2Rd!%P{}k{0ALhnX^{xO+2Y5KP*g$LHCI z^f!WLZVUx|hYA}!@wn7MSP{=%Y@yD>RPkL_Z5*JeZD`8`|BXJMl_H<3n`hFXcV0Yu zuQ$hZ*$+K4Hm~4n6xf$2Gr|ywXX*?Y2~$k)gh?-i<~grGGxC0p5~dWva%eiwNAw)z z6&c%>-3S_K=Kh45$P!A`LyM14CBXu!0M7v>2F;SQ)=DRW7&$yr1I9k@`nr`u62CdbD^9R$nlQ^0Wx&&^}mwR3YrIVD-xl zKVnj@3<^zKzO`6PCH%D?{(|p%$;nv?x`gM{(Q2rb6{kG0zyR~hRqv>vO~Wc%fVG#@ zCagq|v}LNqajY6wbC2FFDcJ$bx9Ub;(V=R|`EbPh4%KIfLkybk`F_1tikT9d5ShOE(?sxpquWq;DNk3HUbKZJD}@R7C?Klu(miFhZ+EeX=&!AFg+g(dPD zILx~SSS2>Kdbirwa~q=EGWt6%7XSGt{w{vb_x_2$)0508Xp2S4D?G)S8I8>boK5mb z%%Uv!@oab>^{U=5c%zp>XEwYyQ`P&6U-0z${T}ry;M-m5Jcyg(~NTj zk9QfXRXzeJAV5$#^a*8i@@4u2Qyy}dbw$7-`z4QS`Z_o`;$KBV?ck$^w^}f@z@K$5{H4n-svq?C9_w-YxKZ4k`nz}n_0;|2<{c$MKh11;*$;DbQ=owY zh6fy_bpR9q@1VX@#y~M3W>?&t`@1J*3k5DnZO&BTGgbJ-yPkgPnfKhQe%F~aC?9>> z$9~^edVNswW3P-x?{}y~o`6OpKgWp_z_^wy7>r=6jug`q0VIqC6$r;nbIq%jk8qA2 z5Cc40t+nL^hMZ)e2@>{z7-rY|kl`FOf*ue9gGZ}00%CH3tPicA2gFzr{38afmzm@__5ym&=3sKK`UcL~WzA-_{x_H1vUau+{zj(l0C8Wc_Jm1N( z`E&SA&{&-KUQkGMR_Ae3PAXOF4$c#CV4?K6zDRj0XN;iQs=4zQZrt?wa>OQH&tprz z`@R3hzx?mKxoNU9jKIttNYl~iMHgee?htfDYd2`;qp=HoBV9Z1;5_X7PzpVnx+UAJ zE=i$BOIIe8i%l%Fac;Y#FEW@ykG{xY3O)HE!#VVr86VD}$FhY7$)T$?uG73})x^-% zHzr^m$M^b7_I;n@&A0b;4cj#}nqhkPSXTYs{ghY7rtVSJyD&puw+o3!YxWz9-E1}n z+`xT%g`+FkVzVDWY~YRx__f-$p00FuR7oYCfd{)ZvO&MSq$tNE4;zs3h(C@I?@Fl~ zB*VSE*d65PC=Wp|VaMSMH}?XJiG-FYiRYnpVZ+_MC{LgS_@F0hoZWDHF95!g@&|DP z8jV`FSnRZYBT^Wvt4(5=W=*M=GRYGdbl}2bO?n)yWVpQ-$Mp*1^XR@q6Li)L$_F#4 zg>VSpeYa-EWr#*bU0Au9Fa^F8+SU8NzA*vwTzemc&Om#)>1@~dAaIQR$b$fp_#kjI zJP0^cdJwq%AP>UODErp4L``@qy^{*^=vfMVU3Mi?>D@@jA~<1?F%A36X!+pE3TaF@ zU_&Ca5tJy?Pjq}p$G)>{y7xcQ1|)i=VBv*B~>KD*bYG!M>k1gbDm%^%70HDwHlGj&)aF zqSyRYVb$$>zV9D>$Ig2k)b@8`3mq4L*kW_&fQ`Yt!~Pr22_L6*f~bIsZvj%o6^MH1 zTL2<#F~k^K9CoPW)q-C<@yTJxIA@Jk;8b;x)8Kv~n@EBWqG;PqhaoU{UjX~I^nW0@ z*^?3^_$r!zv@cUCgdhke!S>6f*aBS!TF%4z-1hg$IOlrJABZm165wP$c)~f4>m`}9 z=_I05JV{P-sm!5LXWg@vx*Zx8)k(52qh$u{ao(f5-+b&|7dBUv3ZRdL8o66Eh{g3j{>3bj^{`m+ie&)ac-=m^q} zGC}8gTSMJ7ho=5C!O|&(FSF^=xAD%ef|YD-w=M)8<)d-3HB|^rOO@(^qM&-1OY8G) z8lq7O_Gf!-KJM&JxvR?Px#ns`^K05yvH89!8Z;0=IgbZmN1;#8*D>Yh z98m)F{}Nr!+$3;&O#;&{5moxbxI`LLoU{g|M1c0J-$@pw8=iy+L*L>aulq`@RxKB{ zysY8QKpZ!PB=#I`_TTkYU-aj`)NP%%`dO@CjkIvDIj0RJ{d-Io5qGqF1iY59f(agh ztqQry5pzuyu604$Iuk^H8X!$r&jXs16yH?X*O8>ktCHx6l)3iW=YwmX>=F5`t>Xb@SNb-zZ z$#{7%5k{HJPmt6wDI7grA8^geg*-ubx3`8gzuGrKW28d)@KRTuHRBahwa;$^0}=^j~CdM2_6P(P%_PpFwT^GPE)(2bcccnbyU( zkU!p!!BqK6DSYT}!7vxbUUljIS_@sTuNqYMGK|_!=io|mo)HDU zN1u0Awo!*24oKRm;Ibf6evVOv9icWQ&jv+Lp6jH(qm? zOrZ=Qb6tn@YP2%oz14Dj1gQnv^RrA=rqt8HYT_>K==o@6poyX085kcn9ljC48OkBx z-fy*<@3@CaNZ)8wC#wRii9_35c^^_o)_cM&eKlRtD z*_m{7GxCU5_vSf7*UvZ$d~UkmUi(5-{~M)-TGes2gRNd*cni!vov!7wd$ofzwb&uL zmpcfv{z~m;xG%zez9>~4L=H|}hL>=oFZN3ok@3FRuCRD>|Asq#aXf$~RIK_6xJ4r= zz*#w&1(rhRDD6KGlU5Umjy1_0+$ni*G4Z01O!zkv_3M%>80905QrOPX!=1js9{MYR zqqO7g3S?$$?vHRfWzFNNyJoyb@a1@(c+NmS*gD3NB&%!UnH7u}-@N0L%aAmatVI+g zt|>b2881y;hO;S4WIo0Sj)EWFaDKpwyAebrH7vbx$v}H zn=9WS*M3&692x0Y9Kc+8Dz1?9b~u084kvtMM%%wXQtL=)#}jV8|CZZff2j=!`y(Nq z9MCN_Vmv;#!~QHq@J+qFsspLNmTf-q_>R&=9o)H#EjX(+Igd@0E!0gcwTsT@T`aaE z=Z@6?-yJ+yawdne!gNC|lIUh^-C0d?lUJt~I%V}JM^E*)eDddg?rN-eGmOgJfM|%O z%o+(553zQ~!6r`z+$MMg+Z)tASZM*EXt?hy%9C6BB9TQ9!4+BunnTls+lpu!)y9I| z;nX=tT5uCYtAY-L0VK0(zl_|p);@_sPce)btXEt>KS#Mc?HtkXA=VB=ab>oe2~M*& zZT2#FNhNiuUe-A4wfRx@>b{e|)3qLU#u7Io(kxYD??dSr|s?_fhm<%dVd10kZh}Iyrfh56N=P9_Q1GWnHF8oxrE!xB@{I?a*0}m zVkR8A9>SqN^5=i%pZ^87o$7D2C=ZGB6wn8oT^k05=n8N)k_eJ?*pM?I$BPlHc5c-@ zu<}MQ#8${PU?7dGh(erz)Jo)ysg z{AEJy%i1ZV^aWsAm*Mf|w;`GjZXB4KR)qi-fK2;s63XL<3@W(VgC~^75gB9vI+F3~ zAV0u}79k5Tq|VXP<-v4$!4l9?f-F+}>Z9HO&9+8~6Onhb^S)yZISr0yxEaM$5k zm%R~y9p)DQy#v%(@(PpV@x>jk?gjAwvV()RZ`I5~K@Yg3i6SmnwlI$ne<&F>M`Fq6n#>y01Mnl}QyuG8>6y z3L@fe4ow%p1Ys~a2$4!ma_Z^ObOB6o4@zEqYY6THEKY*r0+^&H%HaaQv87SqJ2`MQAqQNps z17fgD9oq}#k)T4cHF*b^+G@%W+2-2fGbcoKO!>LB#W>#x6(cY|i&0%X#PD?ln08Yt z{0Zlq(sB=9VxS!UYfR|`OHJKw57Wr7Dh$QJU;!7+WP_2* zSwVY76&3^~FPP3TsxatGw4rlFOmRjDPZEqOY=;5VdgwLUM;pPU!uI+@;{Fu!^0?$= z6(-uk-S}LXE;zuW1c7 z?a=K~`e;zYnQ)}0 z>T7CkvCmpsgEOL8F_go|&=0lEJq5lA4;-rRjd&oK$}cbPjd&owD&bN>CzwmyoMXlV zH#@{gMC1V7b?R$l)z@%!FEb@2hz6nhP<3y-I>Xhy5fAjBrBmH|p2b%)+^Ix~btu{7 ztCj5q1a+;p`ed;7q*Hm~btnDcV016|zRwZxzfoei#}Ns)SizuSMvP+w^YR2>CytmQ z!G{M4PK{%(24^vjS$_Zj_}xF}<5=Yk%`FhD+%^^pzeEA~aE6+Mo@ida$#-O$|K8EfQm3=h3wJsz98 z4{T0MD|#G*Zw)BCmQJh z-tbWkc@W9cTot^zEW2<`;LX_bt=mqe_w$<{`Axs`_LW{`q5}s+sd=|&I#c{Q5go|A zG#ToGN$J&DZYc9=Ra8`ZPD8g{Hv{J$P@TwQ$tSYDx#ZEeKijK6&;Cx8LBUMQM$`=E zGO1>GAWAI*OuAXr(<0I%AxDmqtMDj^LJyCU)?hhO3>T>a4&(8- z9iCMgwdsc^P@VXzG^yzho@|HxalVm`ia2&EQH95tkI!x2pN7!4^v5yS_ld`)yvy$0 zyV$~SX%$uMeZNw>c3E!(we93rr#e&9mhaOm&wSZ4Kk>63%4(gFIa8(Th;uiX;Xx56 z3LV-?O1U>mfuxs(g5O0Q6)TX`P}WvFu89T9iq-|{f^xT5ZDyVQu}35(fU65quh@!3 z0ijJ+8Y1W;xghn534)fI2shjmjOWy*0T+}T#l8%>B-Ec-p~FMGE*F%0$OI1?j>g1_ zXx3;2<@T~;L*=pGc!wWSCWEDu)WW~A<_>RN~k%wCN50!bkx~kXlCB1yXmJX zDIYuf0ec|z$Mdv-n?aHW)FdINm^~2rQYRE7}PbSU;KUBC2@p%Q!P+Rvq4toturYSGN%U&OWnO|D^97r9z-=u(`bgE^qR08V5%` z%)2z`;ZE%f5^m@CTqtb;`Hm~@vuj__j2a_**1n{Kqmt#h;+Jh#)ua@UwZtfuIg3(U z?1C>Rnm+i9(&`dQYr`{+(%SIpK2yY9e`)Phy9gVVNMG5V9hPFYQf*m%jg z!#OsN{v_~N^v6^CVbFRTmd7BvGNkR%XwVAsp0IPQ1ZkML*aW<#q|qb~;La6O0zTWq z3Q;}+8X||EV6*?O|$n z@31-_-9jPuVS}t2lWMC|DFZAY6@1(T(Ur%(ZMcbOghxn+(ij)y^X`J^!WO|D+<``r zn!p542R8U|MNpcyD^f?8;1TreS`Y-jg?2@nb`vbHH@?hr!`6#*jx_BixZ7aRGJSyK zVOypimyhtRY1*qG8u0OD5PqRhT}`G7N=RptNO-Ej20edaNez;cos>{Jf-zpYn z(U83&Dw48C4^p(sF-Aqa8ADXmhEYVv&ViFU>Bn=+7$0Sd)Vt`eywcFdaxagBCRawh zrY+wW`F!zz{r`NzH#%jHhENvy7yuFwFY2I-CMCpc1s-JqvQPxA;fEt75mJFS&kz6@ z8k&d|Aa_A=lnPD)u2l*G$Xc&Z7m^Ph5w9b(B4R152zs>k924TT*_7dOaQ|C`C?Z~a zq!}elAvD$=Iz+>;9?+kPPGQu0bB-DDVpdQk*PXWRgm@heU`?`HuU4ItPsILK z-+>IaYSf@M?>Vm;-fA|}_BEVp@-!UV(Xgtzea1+SDH>nSzkZvc3}>P2DZlpupd|rd z`X3-d6cZP&Ot{S#pj-#=E4R5cEM&|y+~*+8VG9M<#FK&B7j8gUGu-G4bc^ZaO5PTo zdoA4Q3s6__k-(ZjtkqfZHKFZtvp>I!Kv^1pEJl> z%>dtq=d45H;}0h!O9_=cNZgD$zIzwxIm1HuxIPu}Ir8Vl-^I@_^Q^Kop0k;<$8lUR z@PJj&JwA+knJIf>{#Ph^g2($1DSODVv$KTi4&!ye!DAdf;Km)60`U7fxdmQlT%@)6=* z3F4K47u9ee2B6AES)4_)m7Q0DcoVGj#j8^x*YOo4h%bU17z}d*14=qKF?KE}LA7CGxb`;}FSYE5*)D4qfa52Ev#gGMSTkOpN_dCKrp{lrrY2=IV_=FGhHFLIQT|5Pf(lZZ!~JVY1q_u zP9!`~kJU_dHq_=0*U^xz3DqNIqiiNw7d{uH(7pN!0NphAz2ljMB(C5|%Xi>DsRRfO z-4JuCa4HA*4CcAc_MMdsen*L7F=gM8r>X2g3*gV1+2k zNBA;yNKA0I#4!b~hy88^yOtM1_qBlgy; z!f}b8G=H5?Fo26w+ol?Yf&ifS^jl*>ubqUm@wgYnYua~DN z^z-N!l)rSEl=bg@txxhvjoHO)mp^qGlrA&s(dFoq(FHSigIWC^FJSI@dK74o$}@JZ z7cjxepjk47F|vGX!C3zPpFa7|{`mjt<^Kwq4J0jw%XA0JQ2BTlNRCQ+JoDr-#z%3FB2` zA7olFd&#+BrNS!2K9Zsdz*UFa6`coXbq+Ma7IVXAal1C-U{>IEU8P`6FsM)w#qAKR zNT-B7l6gz_dlGN>1Feflj_+)OtWcpm9W_ ztUAffr1cE4+MPBX9Tl}+!QZIN#GgvZuBi1;^@{pgYP};TTII}8)Osg;F5nWHw*?2e{;R%aWjU?4_Z;3$JLva-Zd&cFkpu?D9ZHl>!KF?xI)bvQUp|qF!*P~LFExSS0&DH(CxuN(=JhK zR%STpdf=cR{S)8&SHH@LmI6AE$>h*qs8tpyIOqTl5pO_8#vaBV zX^jywx&3OT-5%iD9wd{4`+#78NXrVWoXt5#I0)4eVBi!%jO=U#BOHYKF7nl2MU;+Z zOhk)JE69VAQC$&Soa$6n27IsZW6~WvA>I}^1XlJ@ARbl z@fwR*>~$_OeUfP^CM-di$Xn-*n&A+tsaejUiU&eE!^0z&D;Akv5wDre^zE7HX_sg= z(>Fs~{qFmp`Kjl$_m31iYoUVFFqfhNk0nzCU1Rc|(&Q9D*O(lHO^75@Odca>^f0o^ zo$dW7pG+aAa=w-mLC>niX>zkt36hT`|4p*TRrudhM5p<2o(ca&Q@>2wjDr0uH zq6~6touj^Fil6~3f_6zH2}NfXH>=BYN4A@%_|RDlHcOPg&Ojvg;h#RSL| z-dQtVAIAjn)jF6!yg-f#V4PYmY8`ba)Qk9)+1_6Of{Ka;A7gIspWFKZ@}1j9$$ZgQ zKKoryf7p8fixCDO>Jb2l6p)2S>X_7bq>ZC$QNd-()}xG2+CeA?Qg8(uUC_fb6l3l< z+Z9@xilr5#UMaf`v+hx?nBXAmo`+`y*#}tnJUoLSayo*Xg15X>wfmRxY`wIIky!w3 z^P6qqe{sPOp5bJX{X)bCplORht@$BCcm~PUmOl_7DGV5HCF8|`2{}rn3-GGLlO=fo z`-ujKvXIw`muHY3P+W3bbATUkvNqRv8M6|MdszxkD~eTx@`t9RGt!%k=(7Of7Cjm^W!0Z#s6ZC#WG(wP^w<^pjzGBRW!7{O}i8=dip-6SX=*`3H z*J3TscWVzFz4_f?&GE7D(Kk@W&Cip)c_4yC(wI;E*vXqe99VgPvqWKfDKrm~)aqpu z1qJ9h6G=47cN)*))j0vTl)5gA*C`CGVa<4Xj%&!unusdS%*LtSvxX{bV6+yh^4i}> zRokdWYP0nav3)8xPxS+Qt@3uD&fiUu$*mDimd8%IN-KyqS*2bW!!xIlxjbHcR zsv5$e?mAyLmuKKy-8>_Hw}<#myF@eMcQc6JkNoYgee7F2F{c-!^%3@a5{`?ZZowK& z%#~}1;Y9<*HPHy6T#xK8H2ubKR@Oi)nS2B^6Kh ztpjJ?spa2p!5Go3nS2EFXBTV`3Bn{f8hg@ura{QUgzZ8Ba)n(W(PWDg|n%iP4)9HymP@D zqs;oXw@|(HDIXd8%YC2YoM7EoCIHucUwHoFeV8Kr)JswegROh-d-1)ms6Cd?p6mT+ z%Glr4@{Wqx5-&Y}@vf(zdgeWT2pLCAkoF&c`S1A8-uSEE@CLNK(^4p_Y7+r9He~qTv1kuf;)GL^~#M~2dZsnt5s!ec^e~qTv1PA%oXsS){C?&cytYCf7 zDBTZDQ(XmDq=ywj*5cAyx*$!p2_9fgg=)?3i&abhYJ|TU5aR zgjqNFM};K4nkp%@?6{(EDZw4pT&Qp(FHY#C5a$%YHp!*Z{V8~MT@!$m_>yRc@2*L& z( z0R8g7oPf-gCdRO4xSbbB+yb)`NqDvCyHCGK!9Qb&PQ6Km7^mKG*fI=0-=ycV<6PGb zIAxvAO*+JZ(==+3X?(cRqJ_Nyo1X=H1JBq;*c`BT>P!*fI>P1>!c_1?>BR%=4%k0+ zra&OW?h>%n{XwgesoBAa1K81FdkCccW!np~l%UF&TQ`(C+XZ5~xE!C@@O8+!6RF)d ztHmb4{t#$)VAAe{#N&2(=Po&X4&nFP?9Sux*)A8GQ{4Zs*rx9ffpmP?_Tz(xhT5T~ zJvOJsHg)zuAnz~1ou9u%aCK9M7a10IcO$=6-NdKcHS0BC15u=oPq$!PvCS<$Wg9cP zPxxtf6X#Kv+NPCL3gWd#dh<8G`^CTWals)Hb{4}fO8Y-*YnGg$fxa>Ho@lsVJ}Oyj z6P!+ZQ|D@48|lq{jp@*QVvd;Kp{7BpW^j&TLkjWCX@%Un2!j2v_&HTjOh^?(wq6BE z<|xLd3W^0Of`{eyDA>huEA`B@N>xxDB9p8$JyDCKC`XVKkJKc6sOPG~xT99SNP+~j z(BpuQPLoezO|kMO3G=Dv38JC)o2!*Cl0MZF87 zc5^?I^V!=%rErb;o@CQ0f9bZt>j##r5mQ|LWSyslQKz2A*wj$j{eDTg`(B4mAHUmu zc}LNZ$FZQ!UXf{-ZT|z={%pIRcpRo2uB_hVFjn|=VY_O|9gYI)f`GlgW3ie(7^SRt zNj!h)puHI!x;=1c+9jIvI5(5W`OQD`?O*u0p2yLe6a$BJ3q&njA~^6f&}hU|0bKs_ zQSpULu(Bx}aaG&qC4xgHSQ8px&}AJ(T9LNa1W{!I;vR|TWt3SGq_1y+AdMsKJ%TAL zh=PkGNMEQ5q7O;ip}@=2QvJ{us)DNx0W5~oLb;z-q@6WEbUdTT0_O;Hf(+S7P<$bi z+?}>?E#%uUp%W!XEwUQ2z+ecrx41j;(saG9)FW`K|{(I2*3uFzZVEB+q;dVc{ zAkCxcqmfHAThg1cr2p{aU;Q8ct2fp(OL<;kAPL#%7X4ccqmf#8OsCY~t$dU$DFiW` zMFsaLC6p-71>KSYqd{Mh1bK+?+1i9a+y&i|;uJ6uLRZ{E8#ID$NwMO}hUcLSvlVnp zN?2`2o;hffH2{WYMYp8*G6zg-rT>5$i&D7g=jfIchu)HX5B3o(M*B?ux7kCyXB5GBdo;Vbs7~?E&KsFEwSU6^4hJMkC*3!NqkZ@ z3CTjG8;+i?&k^(e?{6-*Kz^X5QZD+*f`(+Ee8 zm*}{b>K1kkAOvgIjMvDA3}VuT<|BMfp-Hu)~oI%Z#?OMK(rnb5Qt`? zkIqdE=(#jU2S*3Rk$EsGg6!lO$sp9cLqyNlsC19$Ig9wy2qOsK(G0v)+;;Sv*@K9l zBUu4oIh^oF_JE*3^o)?K03(0gzd_FhQ}E*pjJP!;MKqI-+b>!yp^lJpszA*0B$b0tC!#L*DPDm zOg^uuC^HwNeyoB+y}neBCg`<iXQ@*=P3ZpY*+>R47C&b^yAn@*6e* zD~#|k-C%1tSS3H4wx(tbic=T>J&Q>w9O#EZVltwB`F$`!B!u@BY@0I;*Ripbo}2l~cQn)Z+aD*E?ZY zZ<8S(x<2gk_C)s&f+%rm5jppK!B;)R((RE6aL6I@ZI4W_;u35_0^Pw|)jFJVRtU4) z?H!@DcCR;bRrj%4GwE+%+xf5iSgq-xR7w5Gne)3ctF@{kSA?QKRCAU2Z@N*kbf$6m z+UOdUdsymDzemOdO~dtQyqVnaJdG{UYtVSq#{m-*eSW^iD>w2@X}oF6_i4P({*3?N zYky~}@vOo_mrW20s_~kTm(HvS9stFl?;beERt5wotRV*bKsjo_TM7fp8c;i~@$l2H zRwPkmDTl^6axOtQ$4Rkv&>IcSntW3A#ef2d zA65BYC39{Lf6B^!AW8>+$+U>Q-nn~P9772fz@inL8+Tp=X9~^>o}EM=4R0&esL8XH z<)gF2^g#?-0ne{e$)A#xdC)A|;aP24`;q@h&W<2H@%R$tRA&p$PR^eoJ(7ZU@rL65 zIcTR93}++tZB`eCigGuSD6hj7e#;s&x;Lb;fV` zl=D$6zFWa~Eu;-Wa+m)-1{#q$g#P-kXkYb}d^Ak`la>qt`(%eKh`RCG9*}Yc8sH1zwuwGD_u`9UVp1 zrHcUIX-6_%ANawb_5#98+$dk4Q7x_hS@HC&@)`q4gXS(!s1;pXUqDo!t|r5N=XTBO zshm68ES?76H>c8U7Ah9Qu%zg4m4%?aQnb+tXMH{~vtlO0*U&t3eIZ~5}Ww~waol<|*VpiNeH=dRyfTythp zbj4zT48yoxvtI*V;Br%jJo<{!T|~J!OICnJV`QbOHy4cV;t_)c)Lq;Fs%;J(-NhqJ z6DoR;?!lX!Y#LQW_1RT5&}blejH?LW*uUlh*S#NByy+*y(;G~)%!sw0i{U>9g<}-w67Q)-{Xp$wpHTghes83TT@l86}Tha<6!KD)&BGT}x3%IL~-}&`UyI z6|4#WF`wtCKX9{wNpTa}Qj2~T5EVYRK*Jmqdv)KS@7<`s06Yfurkajz}FQQ<6Xd0GlkdluRQ&^VZBKErl+*e4PY#T5Vu) zfe~iA+4u}>71ff_)w0k$`>~VHa5!u*^?++GF`cjZfwZ{4K$L?sNfVekJd`wD9;Bq? z=)FTp)AiY+cU*mj2OCPNR(n-yC(CP?)Tf`9x=u8<=yq>8Ph+snqT6fpv20eK!Gy{m ztTxD)oL%^0-)&s&TiO5C_zb43?G=fW*=IPf1W1xz0o&x$8xq=QfSIp(^zzgG)sKDS z4|=j&wTdOXi;j^*^Q9@I7+pBIGKQtK37@1WhEhmTPdF9wcGnOGzwNCt_QNpJ!i2ot zkmV;O&Y~V4Oblf`Zk)wEd7-Gshtona_QPn^%hE!lkrLH_s}baGMAbxEr_#bFLZ*-S zs)Dghu_NMQR+y+e?Ouq->`U6Xp7M9`;C%0&^u41*z)(%E*(>zh+{AZYOgGrXhwMy% z4x|wPB?&b<1Iv%f_485IqANz+@hhj@r!C)?pZU?h{L+6pA?-fZQ(co2oqi?eXtZi3 zYPdm{Hfp$s=&244x{n&Jwr1~vmyMuX@X*z-+j4+)Qp3qp1-%H%EQuQRNQPS!XvhZ5 zX_P#X!@gNF*&mcI74LV4iJ6ULygX=wx|D^9-(Rdb~x337-o04~}VUIQPyb(jGVF$lNynj}ay5vYbg^3jCa0{c< zojd;5NudG&Or7BnNGB}YVSg#g_v15#dQL~Ag6&>&FF%h}$=^k_@aroezyDcZ|8*a9 z&rMmTt)sATPBXlw!+~B2lo)C$htpA099lsR}eI0?V+jhOt2Kpqdx=X7ww_xxtSnXG2k@8#Y4Xi(>LkR)OaR% zT<-xc?WkMb;hm@OCNzB^QfPA?HWjUQeTXIy7iHd>e zrm7}$dFp3Hn>FNN`q_2mSWGS1>t#yGKIdznjQ@=iGvbJnq^fhE(Q=>BBjL1_8}+6z z#c9j;VTy15$*=7EGq6VKEChY z&_&R>8>~-51y)ovtY-r)dgZXE@0Vr>h;J5;FOI$+U%YwWlwZevC2&d5NS@2|TW;Q) zQZ&<+?^85i{fU3@2fuf`%tm}A*1C)k>v${R`q)BEa-Wpi5RAuDayHPub?QxGbm+79 zdiZi;bO=gU^=wcqN~XC>OLKil-^Lii3~5sKg|hWn9e}sTkiPcIr0B5Dzr(Jbl9(7B z`usbT7j-0KbXd625W}J-xl_6mlNTpY;cLMyhW>WejIsN1gOn1LHq#uPHRI)hy#P{3 zNnnO;1g#yw)`tiX66q?Q=eke^+OIiT8;i=l_MSmi2^2X(3teH{7otLY0LL1_CNABzUc2g;fh@?gayI61>P78g2HnS z>TJY&&mnFa=rA zJxDwzm6aMeVY{Mxkib)Pj0l_+m}_K))0c4%5^T*URLM}g0oG#!NfM-Dw=aVR3bv$> z4*_4R5tJaIPepmXxe?l|)N>kwm7ym84=fNsRLX%*i!DRn(rQKsqL= zrDga+N1-5!N1v>O`(0wynO#Uxm1_*Zdwk%cf$x`+$cd`h)U~}fK6O1$`@HAC?tEWl z&Yl6?+wx$DE{<+8@5$^o!Y>VeCWtT2@iQM76BMRwKmOTm6++Yz_6MP4^7BVn(pnx6 z`FY$=7B0`L`pK)CQm5sC8Ckwho&HOo_QfCni=&eWDoYvkr6Pdkh>bdRmNIY@D_-Y< zNu3%?*%3}&tAV0A1(_L>BhcjN`BF^LS4`^E=**7$1G~eLri$v+>C85V&RB)0PAgP+ zLcXOvbW*2FOruhTw%)XYNu8obpWy*i-v*s&%}FM8S^!N*u9ux3NXpd8&+r%;*G{TV zS10=3>5C_IdO)$fB$@z+bWD?kzqaPSa-<1*5!alocvZ!E>5guDow+MBE8XcT-|`nL z(3zCpxvE}`diNaNYkqEz-`he40s%zb%lk6uUZs$9-348Qs66Zdfp&+85p)jD7tSURl)in+Z_)ajQ~S@TyvTL%uM;H_y8tY=M!*J zUYjnY4`70xfLyQGyOvg@VyJ=#{3nM7vr8*Tm0^OIi3qwrK;_y> z5-YPcQI5toH%e|0uf;X5%?hhk))7XX5Tr4sYKGc+toG^7}vIBW`#-uywc$*5KM1(0tSo`lq!mL=U}$ne^bB zuDFdFTA_?tN?=^jgKwPU5T}|4-^<4aIn_M)23QE{6v_VVfjnwX#}DnnH=>E{3ZpK7 z5gt%;gy0)xIxZN3Z=B?5qqZCc=H2l@G`wX;T4s{hkogVVZta@!;^5pc-xx;+qg$zJ z4@Y;TnQNEIg8fEl$HRG!8uJ>Css(Pi-^_&ThvOOk%guOW?`2b^ORk?MQvT3C$yYz@ zoBJ!DTpYT?4%19v9$>h@XvQi&kO`8y&NL5X`gO4ZfGvy*d>}x`}9k+nlw6c zwd7!@f8yEC{`Ftxrd|E4Hl_xb8j@-(nC%Ib`)hUA;IL1Tppu(f$aq?=$=-q}y(d&o zG4+xaFHEiVM8Gko-mjO)pb7wQxOzEQ8&hxAO2ZG1xB|etV2r6T+pC1iK*5J%>cbX8 z1QjjE1jFWArovBs~f1C!vhSm07+{aS-{jL(((Y!5%i-w{&(6Xn$7IZnAzX| zRUdcnd)&-w>}6(Fw^$9dVTKe&5uF}w0gcCK>|DZ#S+U1EVQT=0Th@TBi|Om#8UV8z zMNZoyGV6jKLC0z!ZP`nL9znAji0N(GW6Ua0Tjwi!1dVfCBRNY!q9RB_q08BDh5*edXHdR zQ{rn-*tJKGR|jKlb*S%gyCE78e#=oKul71O!0AWK6y5u%6JYS3&CsK?B9$#HZt7$z(VV-5~%RHJ7wwYFRuc z4u-5Tm-0z8gYpOeU;Jp2g{+6a56(|L52GCMv$!%J=s?~W&ogoq-eV;V`JsMEJeN?g z1#mTYe**DL1y6#$<{fY;z2K?sj5v47+=Djo<9u1s!G?{+=FXGoIXkQ1OnJ%t>o7G^ z3%1ax5ITQgn(^zd;U}+3$8L(kJL(QG`YU!rrM z=P&%OfAskK+71~rp6b^i8M@hE3?N&lVLaQksYhK*Kf@x4;2xB+O)k`9Vg$fwc~n6R z%4n0t9uqTTy9C883={aP%P7KfR*14aCWav3VHL#4Yed;0Wvb;|^~)SK8W|#ATgZES zMURPbj_V^4Wl0uy+Pgg_W;72D3krg2yqha#6|Noov^?msAxS78on(lKxyN2RIS;Ef zQr3-R%qoLpQICGWV6pZ$4<+6BiPme7eDFLu0J2>(UY^2R1WEV}S@N3Pfoj^tV&8AU zarXz@hTlgqnMzM=qETT4(&bIt1qnAnKDpSx9H=a&I3Rv{lny!Qxvu-}qg>ZLmf-9- z0u!)zS|)qINBoS|h%xu~_dfC6fB0L*qL=-C&CWW85baRXJQnJ>!5Akh;9XBp5~G*F zpd>~w(EUo`iUx30ujDW%MlXA?AgUHzcIQXM=*3we@m<<5Cq^&M0=dCFrRLC7z!v6I z7RVhz$3{>&9wEGteRThEa5!c?<1TPUsKSB~V zDE~r}l%*(>8pVKWT-8-y+@znh%2JhBCzGhceKd}`gOQq*tuu%jucRU^lIl^B2xL-V zA+0bmlHv^+1EZE61EJSB^cbDi88nOFZVIg@H{3cSbRZr{v2`ZRD@Ot_ePP)Sk)S-u z9!bg1<86m%1fu0Wm!6~C&m%glqatUZ@%DhmX_u%qGjr?9^|Zb``^SIaM}FA(sPtl3 ztW>SR7IsoBa1U2Y1R4jqTB4~&q(HZwla7w-)@CJByBoxX~BxsNR;vw5F(MD92)6_ zN;+jDDIdW%=Few>M>e=o8J>>&4tFPYToqK>PzZuPRBI%BMd~;cJb~L&s~|UmVIZ&X zg4A&)2nqleH=;%Yee7BQ;DXd~CWyEOopt;&%Vme!lse7?0fm$*NT63*(BLal$5lZV zHA;;H_z2oVr&2`ByH(<-6PgSWI;3wS;fSqyTy0RxiLV3JDo*^!lEi~dau19NaTqfT z#@Aw}IjT1`Wbn!d-5zNn(D)%UEW5svcT-fH9_wMCHAp;E_Nh4(c@#pCa>Je*icCdo zrY+yP(L`&0?ALzN*L?pQ-|&VzPdx5DK;{A($_r?l^iPD#nN`i$E!Cq5Iwk2EbWM#Q z)ngT`{XnM!2%GbYRF5X;OrrpUQJ$3m<^-2lrcsQDYz3(vZAE7q1#F)Czed#wAN$}u zHF~6KhWf_Tg#5w(XMeU=|HR)_?Lnck@q!ddt9r7OaM?No?C@i7=|R`)v`Az~xPcO? zAgVvY7?Lq|7yzh7LIDK4&+;iB+~$*oAU&WMH=R$$`LfKf=7Ry-oP)whSm17#KrZff z2}5bQ36cLr?wa?crGvr!PK$Rg#8K8eSFg+v$L&EJ(=Jh~n`emQdLWL+{{FB3!2jpj z2)!5``=*46WYWeX*ch2;-^%zm3LeTBKYOBMi8LPU{gGA05L_Qvr<}}IvTvIsCrBYD zyG9115lr@Njh-bDTpc^-80{N;0UhOx3aFBe@N25O|Zi9o+xtR@J`X=hC{q%~9 zU;#z@3PU#2d_6ieUuUO-XGdUm1fGB4oeL(xR*Q>wp5U~Ww@~c%wBJen?XSG_)Wyrs zzw-Qx7x(1vy2Eq6?{n{r`@Zn}#rv@GQ!j}@$JV{j&c@H4>;34Zi+4T!9^db!=du0N zGw<=8@!2_bVPE%I-}1jrU{1DPDK;9VCYzQyxwJq%4CZ9(l^SDCuDwziO{7?y+Eltf z?g+$QsWIkc6k{k3cv!J6Ec76i@j>MP=r!Ab3zX>I0Yi*h$$&IGhT1l!Zh-FX!1dWm zdR-Wr#7M#>lKA2ZsrvT`jsV-qtXJyMWKQ;w9)r^B#>LInFR0Jb-z#Mgy1l}zx3T!l zoJ{;GfEU7~97-4@ap}=NefL@nPA>^upjVCW$EYDYB@9z>!}9!GqUfJ}=X;;}ieeAm z{qoCIin!q?eel11`v>1taYF?l+q8;v9F8$O99Cpc=qGN7X%#3kmasyw@*EfJ6FM!X zRdfu4CJhP~vkS(w$_}-zI5hRosxvVzrd7Z*T#_IlaBqnlVp;{`IQF{Yc31S29eR3j zasM7hXH?aIt(9zrXyKj_2UE)ax#ONBYnJ!k{kGH;OWW`azCE{a)DCQ~%?UJ{lnQ{O zsT+I6H4JH|MN#<7${Dw_{g8QD-Qu)E4W8Z>;KC3i5+rGE%H{&eB-ld6+Zd|XX8I)V zG|3YhjHUb!a|Jr&mtt;A64^5?Lq=IcGb<108E)SN${h%#<7Qiegt|S?aQ`ltkyEn> zGHNxT_Kl|BL>K8M!d80|T}-}-AZa%d-?o*K(hSVtHrNIltUAZIY*z^# zZC8ercV2kv)fhfL^{PjJcLqaC?q^>Ki?qAdk5WL&6BSIPeo1b<^5Vtw&&crJOKnW+IQlLTWYYZW2L>1qNgmyh7ph_jWqOb`XJ~F2PeJF5a>Ra_dw{eI*yq6^0(xKnZ9aY=e)p^H!=Pr@T-OA8a{*! z2eE2`WN|L@0np=B9u7A;3FdV-V6}+R|7~TaX>F<}QLt&d&Bg#}?ps}nV!!IXgSP8( zuVD%xJ@=Adax-jg~l|IiFBmI(TknG|sji zV$0SmOCG}hxIUUI2+=)s{F8a|_V9j8_HIwt!P!9^$zL};i~ zCt=DUM|Bsr9o}-o?d-Jy?84DYodE@Pv72D0F(uu;!k=i#Vubu9Knxmm2Z%$4dOIdS z2%aQ|6;lv?mH=WXUrR;sm9y0M=TwnY%{bE2h_-QbEr$KU_`(`?KR$1jczn5a{P-xW zh$G$~5Yy40$Djg&5P*1sKM@{o_!HsN{hisLnEi>17adFqh={`+m!l?*nPgwI-zRuI z*C4$Ad;Zri{WLE|RC8vSB2T%~1&2RK4Fy)@)b3`1#&7K5DZE6j<)0@_a2Aday@@hdkZ3&+N6&;@W5S+9%_Gqg0@5>9L*gup_(;#|Kx!qHvKAdt&!UC(E+~k+NrHWW$7YS*ku`dVqJyLqO_YN>AhBG^ zn(^ys(S%8^0NU%CtnY8uj92HNw6y%@WX@|Omg)p#;B^!w3c7!M5d5-!U>M|@N%wJjtX|mO8@ZkLtzr#hN z%Mpb8+6LS?@OOGPeeD7+S5Cf7~f)Y&8Dj8iV5!w}A?-IKVk+?r027r_~XM##4f7G6f+0TPI@xbQT3^ zl!UR&Y7RAQ$rzvwOL*i^bl3Sh$rylbR7w6gS){XOygJ7nh&SZ71kGyug|vdseszx2 zP<3n_=Jt)QNgcbs%?uE#=%NlTe<>v0tdHZqb^rUj4%#Z}QI+Yfz^PE5;zE^ITHD zx855ARBOP9f~yke6eOkujD|t(!80U50rIZfHt(7M)sRj_sZvg-MnDyu;b;@r@~BQx z7&V3+8upLu*hDqEq_pBjmJ+TLI7`HtU?Gz_6aHnyU$mmIHAHDBk;m;IuMtqi+$+up zgJ55ZG$v>Rxwz=Rf&GIAYBdVT1=-1nH{aR^dj2bj);r(w@@XE zTQ)S7k)@jd#e?&G^Kr9DiDB?{rms6M@u~@Y4}0h+){^H(i@t8Qw71tjUDVu}k>qS? zuZKtFTmQ-9NB{B|RV=I>Tc%KtgdVtD@1oGGnLCgpmKtcxV;HiuUg3mbJe!SfE)KjFz^L!oYxn60$YP(b9T35bBllmziWg z)l3etj;L+hsL6_UtjA~#d@Rz*Xi~WR;Ra?>Sy99hlj0r!r*o(`vWqy*5}*>86KG64w?n^n`jJQW9E(EcrHk#WrApSR%aevCMB)n zf>c^2SV#QqF#xxvYNFDrf;NsvC(sf?^cAVJOt4Pl!T6pMJ-MJjh$iXNc*e+|d>RkT zME>4aSA{!nODoiwxQkWPI$onN8P>f#HL7Ld{yb1F*XBA=!4W#eSOh>9- zS&Nbjm7m-W=g$BlY%-Fs@x)#HTF4@upEoIY{fJf~Mivtrx8;t`*xZ$87~R$-dc9+F z-~Ppa^&5ZMBL;n5EPrPGnj z_L1~aIFBwjzIzZViXdt=vY||bOHgRwJXD_pxL|wi#Jspe@=!>eFste++=syuHvGqG zV<#0fG}hIuw7oVT%cgy1uYEFVmr=A(-n0f9MGJb8hsbl{D{<|>Ptp^Q%*OXMY<#Ci z9ObDUvF%jg(Y7<H(lGN|l|TV}wa+xAuOy?zDefqEY#HZiyu!%0zDzQ?(Ng9h&2f~d?Y`@=N z9s@N=FwRbA?Y;1eoSp%zn~(~4*&-lI7D@`z;iA*% zf>DW;Qeg_NkPOX&QHkNJf&rV}<#t;T8(%SEy+y}Ua95m07fed*2z@8+C0@|kl8>E; zF))m}9Q?FwxJX9T9X*?%r5-5%s_G2Aq~?m*Yt?hE8OxunMyjvs$%Xx$t!S_QN#8q4 z1-H#KoxP#}XLj4J(QN~a93F7M$oO?hsAsb*fT+ylIwLEkMk&U*s#|DFs|=|-G|Gq-EgvOB1pqAg zrBHLr33Z3Ukm`bts6cRgARiO^Xaq1wtj~_9kal@pS|#AO1FcAcj;Npp9z0aaBfY{r zy++Ux6$pX=qX?pSx)F3lh1}2^OcI5qKW$LR+z5I)13%B^#AXr*u2`Ct&oQJk_-(Ax z7)?R>+l*RUv3mErL{MV9XszlSwU0Tj(7!!kuEH#*y4b z_uRfIMc}GkHlQ!(W7g$qVDI`H5%TYk3~=yLeN~^wE2vy z7aYif7yV|v2Qi$dK`^EbH4ifVA=ErP%F`g`D&^a&Ql8EF#k2Pw+K{JD`u;EbFBaZ2 zTrLIzU$-16_*=1*n2CQ>pDEy~g3A;kL$DV7^^86C2pN_JJcPPp&)8!(>&Vg{)@&|j z;(v+8++4-rW*vgZje^3MK>>r91>LOU9Jg!IDr3bJxEUHjH|s2OWP>yX!SL9Y61Z6h zP0&{6oN(Tv80%D=5X?FaEV7AQat|E7Er?7t2c;7jlG|lxinC-_$`!y*xm7m><%XR~ zMuo5nc6nv?PWV_RNFO)+K>=? zY%nz*Gv1)bKqG-vje%HgYCL7Uhf?Dy<2{fYAGNY-)^4P1$84V-!c1tL$`}kK zJ~Lr^b))F->@$1yPx{_bYS@_0*!rV@t(OO5L{rb$`gBb_PffVwX6k21e$*!||AYVV zyPvsmW2$2dElpj97_vGafgl0y1BV?D=(YKVg~d_G^^pQbhFD^23|xk3%YrnfwjxHR zZKbpooL2?6_7!PNtKg9&hH~g5>r2z2X-rKJ5hy}XCcaNJmRXR-)C7;9;*b)qGb`veh|8Koi!n9Hy)rHWTW1S&D@kmlie?+{}-1qc{En9*jWR&AC*x?bJ71@G}M9a`BCe*D>v&U zx^kvZegx=~r(L2sikcy5sG5b4u5%pcZ_1entXY<8>84g z1~0=*0#`<~t9W{G&jIDfD7Mlk1N-i3C+k<83 z+FMW>eg^B%1^u?Ld@z0&^xGr{19(BdtK?t=A1~0&M)2xXjP?<#|9~=dm5=pu;oUV9| z6N)33*`ofFJ!2 z_$jPbqg!$6OkNJUi4_8uGlpjWV~8>|`5$24Rxb%7BpCRov&{a7u?V1Mt_+VT&H?m>x?;vwjsyEx~-vg^7#KB~tu%g2TKoGv($bf}RB?c5Adl;~qx9VY_ z=rHmQasC6qt1uvf4|jBl#5n477gR zTNC^bkRF9_P*7_WhBY{Ng#QDozo#j_6#hRhH)Z4!SbEwOBmBPysEQccX(_s& zV}k!NvQYB`U=+;={M+72FQDv(^1tm0Uk2I^rFHE&CitJ(6D^oW_jo5!5aEBYdjrsb z3j$(5ES|r&cmZ~Fls%G52uBAXkk`Dj#TG-S=GC(Vy1gl;IXA(%Wt4YQjHxbHO5Vyw zH04(ECLdkw&oJeFGQ~_=iF{8Wzg?_iT&-q1SS^8Eg4I$x?twIW)zerYiFET0(XMsO zyad+afDp)L@|w*&&UYVy000T+jAc@1(XF-^bz>~OOQ+>3&E(}?p3U^5Yo@1NqS;K} zjG6w7uUdWQi*Ba1{?N>{ZlTEvG~H5ncHHedZD_H_s({RFCYSNOscDR6+E#?|*}+ya zr@q2eELx%R5h6@J`X;zrBjK-Eddq9OAU%5%1StxKVL|6^m!L<K z8zJ&LZa2*jbUns5SmJS_aJ8Zj3qMywotxCm@>*bQuQSQZlaCTH?rOHYkFMn{T504G z&6f9OEbnjr(r^166F4Hb?CT=E8l%vR*(R8LdpfA#{!qqHI%FGRaxXSV=Ty6*AZM0@ zUUFa*3@T<(!35_+N0|I@0@UW|hCqWP2J+W4XoJi%LeZXa6{b50#7Ru<4LFr0~JGs6aKv zneNHsPT@o4q5?@pOAisl2j`;N$eg^iVhkUgi)sTfra5#BADoK{ELZKJQ}|H1sJ2Ho z6s1F_@WCl8NFAOeCo3^^roD7mR9DSQ@r_Dk7*78dYiokaLtN0&WAbtHzbW9|6d664 z91ocP--E=>D(q2EVbh$=PQT1l6*g`8)|aIU`|WT1p?~dDf7Kh_aOa7~9Va&xW?1-c zkMZ90aZW4b@a4%X9~H6y6U4k79WZ`E>AnETKsLWV%r2M`6^9L1Bvb+#ykO*&s90{uQ-CkCTyjWSS}`Rm)+e4LRzwTLV4~tc z?m!|S$j`Kg&WQ^6pOSvq;n4Uta2EaBNV!7&I%{ZRfnVTNwyhyKQGw4jQ31y?Cte;* zEvi;~JtQgy)Z?6}cnv2irmDyCP@Ng-Q$7B^4?O*?PdPxWu3}rwe)WO>C z7^a4xvfIHN!&KCMD@6)}N>wPuorPwOVJcFnI+g`R;$?`63-*SoI}Ar_<5{Z5vK!Q= zg{cQjk81+o{xEgBrS(V=@+Dy^qVO}r)a1kk_ssQI^zKx*MdFdVzq@V4t?Tjc)CZg6 zR18yq0lo7i5|p=_u7xWDyNqmpIX7;Wtyu}^`7(T&`EnP_WayLAK_DyKU@c(k>kHAMu1 zP-F+n)b`NX)*cywh~%z=gy+g>M14}Qg@UXOLRIsN2ZIla8|%JVP0 z6Sln!q3E~d9LIoWC>r(I3xI!Fel;uYwif#qOq)r`uZNWUy?^DWp8Wy`Bnz^MXg0Bz zYRfa&OA*?u`B1@@z0^rbxA5=9dbl9XOxfaGE;cfwHwgp2HIQ~e&#AEH6g=MaE09tt zXgfMI-gFcTU13ws@ zt`nNewoCkee0qbfz9JcPd3tV2S5I5MPgnn%U--X&;u+V~c!m*zn6B0>_)lw&mV*xp zntBY;<^x$ivV6Skh98Q}cp`YTgo+DN8PpZ`TekVZyE~vrzgdyGzywjcNVnnHFCqm2ag~X;z9MyX z72Iz1(5L+xZ}QJ0y4obcSimMfwgU>UV)`L9A76gS6X+7<2Ra>%6yFany4u#{R3m6$ zw!gtmZ;wv**CY`^6vjlbH=uJO9DTB071g7ft+>E+7v&Fyfl%MyKta=^p-*Su_Zod) zq`tz#4vrxFx_g9cHq;iL@%{>)ak=4dO509bzE9hJQ8t9r`8E{tDmF_eM0q9 z?Y>4OV_OF#+Sce?m3&pIpP)^136fj@6I41^=n@c{=Gq=jIas++ER!Pgo{E}8+uDlC z>e62(CEB(KI=9IZL&j7&=|`|JqHT-d9_fGeO)-3>7^5nv+SVj7l@yYwliD9j@n|`J zESajG_Ul7oY3;OWPu7g8_uS*uZ3q2z`%ISB9Kt^=dUQkgd&)iM8Q;5>3R#%K(=F6dh>OGGOkjPgb;?J@ zy{v-U6L}XPxJFJ?w2lk%m#l&qpCH~7N;ZHuQa!mK6`l$1)+-ERfb)dvqM|}w(9u<# z~z#I-NjJ-3U6mikZluyV7#c z1H9@+FrcfD#Gp&bv7QdgLwoFiuF9H)>Kd96+BThKKv!3f9rg!ufxu-2c-sEvayQ!- z+M|k0)h*m*Ep+wYGR%fThjW!g+O{JZug`uZ&Mj3qFI01$@dAOqlxBgF;)LgK6t55` zYUB5$6V4yDSud>PMKx!L;2 zTQ8hH1k(Pp?fc^gi_7WHQ`tSRxxq7t8go2)tLapn&U_%Pd;)kXRvqK{d z3DyOuFzB5QK1&T&1&#fA2geo~jJKh7oEERz1hI@F6SysQ8D$?;V5)WQ-A@C8?%hu* zvU`|Q;M(Q>z5C;+tNFh6D!VuTvqN`sWB2Z3uwMD}!Z?WH30z$J;620?Lh_@(@1l4$ z4C4+&#`y%-pI(e{*<2jai6$-ssGo{44w3DLXtQl_O#SXJB#l6^}K&w+kMMY`nxx+Y1cvZaXxOl#ql=#oS`yQm1l-z;(8;(f2 z?G#}xl9&#uhI0qZKR<2L?z@Y8-(fS}ci4>g9X7A=zQbzmeaAmpp7mbOeRqLBPMc%p zH#+Zs#b5?|(P5(!3+e_2DEC?PQRIzI1{SQ9w`yQ< zzLAHUyx57p3l=jONZED+DZJjkNqc(=_I4u{GW>oU8r0w~Y_j}CiIf6^Tc z2(&xkIjUjbX1{=^>c9VHv)CjaZwTc5xgGY0LlB9}H@n3qeg94K{bf7skMjkZUmc9i zVX;XL%BFEpWIOCHimrYgy}hb~p}$t$#HU+^Jp}c3ckbfTEy9Yj`NZSfpy2M@#a0#G z>!t~FQ~aK~@>VX3ZKm#=XPK}LY0P#f7{y4O?{(#XbdkDE4 z*;{{P=5tp5(ThRplLoe!A=T;ViC)a^ctkkM#Pt?aAe(P3uCrPbuUP8iyolDB@&4tc z7tuPSV|0l1BHX;VCRb;@ppqAr&o>$#IdmBOmPPaHcPl&_w85aQBE`voDR_ zzGOlZfjSmG+&!Y6c1@~CJNjX@LMDbs-yYCK-W=U)HGxlna|1e`KhXoTZ&c-~0bz^? z5D%!fEwA?@oL2YC&N%;U|NIkwr$;HFLD{$-N?bkFLENl{2M7!1T=g~PsvodUGdP9U zt4_NkWkqq{9V+I%PCh5)>FhisDMT z#X*vhOxZ+%eyLD`Cr#p^EEz8jen>))Kx8&hldYtyQyg7Kzd~}0Ub$8>ULIgkthnNu zhhyjH@%mI1jn==?Di^*{yg;~++E2Jg7EQwzlN?2PsA*O2O;3-g{G=KrQ{?ulyH0Dh zS6QHo<;}~XieCP7A659}jg!u~q3CR`fJ?~C>35VfJ-noh(^3bi}tH*N&X*pR>p#} z9V`jbe3{_h=(fNJ#sp^)r1dhvU1ib2E^YN3jh6`?j6~}M!#G&$Ryni~2(~NIyRa4E z0Tc?YE$GwJIZiT%VmH*T#AHWGbzEnn!_pjjNX+nXn{25y`RKvMdl(+jz9NuWE+RaS z`jk~-Uy)!m!1I7b(l`*LM-nREOBcB6@&YcODNI%c$!r8Y@@5I+z+{mSd1J|;(IN@Ryso%G$?1R^VmxpvjtIvk_ zAsXIkmuNP;(=X9E)3QJGGoSL|Pyf}mAECdj#2^i?bz4S zu2wG9NExUYno?d_+E&ORcpPNNTcA+}!^fqvXjw8IelKgCL0Pj5U=zv*5cTEy9;G@d zl3*@E<1|wVnAF~pl%TmtmN^et^9c5$cFj;Uv;Y|p9Z9Y!bm~Zsg!2qH^kR={StLhD zcd=wjJWGZCzol7{uODC7KzLDEU3QxZaF4e=Wnl zdWubPbJliq)Sg2B)lDq5MUuMq$=tu;8D;eUWUXJ>iANaTbkFOjp z4v-2@Ee^oU(wwR0jjG-*kZ+8)h9yAa9l97eK}u=I?DO>E04#{Mg_|l4Xp`v{UPL@2xZ$dsSJ> zriepP{$y=TeT05}zNG(+YY%yqbKl4V_Kh@s57>lWbNi4iKz~83q)W=fHKR6<`ta7V zYL6MUxf#^vFMZpm{Mk@SpwEl7#+YdWRRFrN#KqW>{2;j)(b|{&QA4OOX4ye^@J+S19>=7+X znD2z{*%w(J zQDs3-2xE}i>nJ#c-5Q`jDrJ)R5n5_wN#<9{E%@ft6Qc9feD`>TDz4T?j*JQb_kD-i zk@Gbow+MeFrUIIyi?OluJYW!~dThH*=a-j^zDW);E=vGok^_v(H|kx9pW~RFwJ+Vb z)Ypam<-%(7FV1wf^ayRQu6OF*h}+eIc(#qA3Ss&2ke6Nv@}1s2Dx_#3#z*%m_$R^+ z098`E=SaJ2+uw?cN|Z%~0}3V)4%lE6Ehxcu*97WF5FYo>K{*2F#HWE4Pz2iX_${cx zZIAKsgQZRC-kL0X;&ExVEq5{cOY!UOJc@5N@zYXc)ENGTeY6S7OF2e4`w(0}8$u@JzCLML%jG7`}?B3|V*7iwul zgpFXdjWEW_8E`;=+p6BEdBN>O?jkb7ZxD>;Lr2A1>E5BD%j_PzOO#TsY@ufg0JW~T z>Tv8Q+lXq2GC>D>bgWa1)4?&M#K>SeEtB%>;8)V78DnN>SO1b@$fzwvM73Y3A1E7I z!snr)ze~EZY}|0cUt3myg1@<(U<@|T8dh8=SD0GhXt3v9Y=Yk5b)@uu;0HhNfBvaA z)ikaavswLADQ$zjM9(C3*=a3Lb*coW(lbHu`*JJc6TKU7I!U#t^Z@G`iSrHcEYWTq0~qKV{+iDL?w6j6p*ng!$6Bpu41QO>&Rqsz@EMt*6HsfuC%}w`8_FOhIa+h6XxiPR>yp6aSZX=TKl-Vam z`oV6afi&n$yAP9-YUUB-z-jk1plk<=MP=ukZN#GyOo$j-2E9&*f)MAd5T#-CVTh7? z1|-M`=P%oS!Vk>oBO#fGcx3cRl!Kt?Nxz?L`|%|L^i2UEYKU}Ke=YY1Kp%80ArcsQ z7|yX7RS9~KBxMbcX%KSmRAHsyslsK`mg;`Gp=I*ZP*x#3jT9SQdxEsPVBh#T;_tOj zPB&0QU-z|Y`^$EH&SuwfSE;{$;i*^O=M(R+buWP0&tJsPp1+8naX&j;&u;ajv=>iQ zItpuAnOm>Cc=7x*GEz^z8mH>XSGu(KaEWtAnA2?_s6Rwq-_zC%Mk}UK1?@b?r<7GV=m)rg)W6*xffEVK* z&bvyjDxsZl`d~^i>byuwSeqBcJy0 z1&2deD}((99FL|vH`quxpsg%2#=^rHdix2-`p*o{E(>&lFVbLrqmc|%}QHG?v zCzxig_-0w-&Zs(}hEO%I3=P7K8c{u9C9t^%>IzX`j0&rUy23iX3s)H|nmI0FDh6&- zYR#p!2@jpND!Z0ICvS>M#6DzsT(zns0NkZUW*DB^?PSLJM&@;PGN-7d)rzw1=PyRO zejE91%=^D9oVBkb9q`m=f9%Wu%3Lss%rr(=D<*0AmAPP&rImBRE0`=^BK)pOP&yNBpI3R%FjJ^cY$gC+VyJ!*9I7%?kb43iu@O~p6F3xM zsjH2x7%ScDIE|NrB@UHbj=VVTlFL5(!Hx<*71X2NZ^^yw-?t)A1@@@>4niK6gH6gZ zQPG(mnhAlEu9u9W*ohIpp3qM6>u>221vE7Oz^a^fvsrx1Godd;w#c;t%9hD(*|EK zlp`EUg08zbd23bXX`$*vQ-!`FuDLIXdKhq>Yksyv)ElZR$7m~v2H0tqj!fo!_vGkI zrx~g%@mpfru5^!Js(5FNS*WhWH#%*#!tl7|b&6|_MuHmTm2ogNEYrWPON>}v2l;n( z4;1@(c;<$_mk~oRB{UOmlpg?XhePS5ItFsL zUBVN|z%9K1gINz72uS@t#&2pNj-jWeV8|pAk@#DK|{y z*vS#Wc%79a!uiJDc;<*wZ@hGRgfA@H4!>&l(M>6zP_M?ouZ4`$`2lsghez~uC!kK{ z9yu&F^Gn5Q57Wr<6pdzp8S=mLxlg}+vDYiAX>=ZmLqr7mxKD}SJ}70O4! z6x&w+QH52(1OAiv1Pt)4AXQiuL}!!K{v9#rPhDz1Gy@qE1bZypcxVU2NNi;j++&aN zS&X5m!c6c8Y7>c1Fb)|E_*5glA_Ex{M5DUSyu~o#z4EKLAXQiuL}j4VnPMzpY1DT? z31m$2uw9ps2WqxcOUP3ADv7WRYa%=W3ycPEVNC`yRdT~#aS4t<9h1KJB(AKPq^sK2Nmc{c`ip-JwbP*HZD z`idaX?hw;c53JuFGzTukyng;9pGkd1NNT6^m+hb*#S?M;C_j&f8ZzY2%i^Rf%WmAe zIs(ziLMA9TDnz@{N0i%@b!R!dk>&ez=d1tJpZwEb;e*=Ymcf&;47P6JmxTU;x|hK%8%(0U)YQaMe%q#qR!$W}b;IAa2u4*pW<4st=!on=KduWEPi zadY6<=d_~ePCGQmYj8!}=hEwW42KroSp-k3J;xj2e!+A(=$f>m=*}v5WcMfpQD8sDsV{hj9W=DZDq~f3H9uh#6bB%j1|67x;`iNL&=Y{EbYZX zGG3r!fMvdQnG+Kv;}ud@Mb1;~M!G_xn(S9XSDO;8oIozyUQ5YAm?Xx@^OxuCv5|SR zetVo{VW^DN+N?sc!;M%MJxo8QrS|I~b+#4{9j4y$V^qMgUSMYb8tJTU9QI5oh0a!S zqx4~v$*Ga93c^su=lk#FQ5Z22SGPfWx+BZ?*`QDQxnKPFukl2L8nf1aFN2IYV~<)a z`^L$CKfww~``7-&a;xM-Xa5~Yfe7|A#fXm6jvN-tDMjKDMl1KkC#8*6aL!&8j0R02a2^l9TO>?5Iv3raLz`WgabwoQ|CK^o<{VjUvB z*{?M~azVx&Rj>{b2YrM_kS<80Y=V{92ez~X6D~-jY=X6d0YpWe`QcdTNA%I#}{*YdYcd#HQyDL zQkz>Ed7HoXS97aHkC_HBxk2#5cOzz9m0K{huBOpZNaKcc|y;A2;tPG2?2u zUn&rWunl>V8^YC4^%ov=vD@gpF(8d*JZ`eB<|=$W<>nuWLOMatY}em;@$9|Zsrs9C ziP}JMw(Hkp*Z02dob zQQY)`C?56)z;3|b4tux?jbH*44+qYv$BJvvw={wgPegM8tTa)=Epgh6rA9ar)PG3$ ziQu}-1Mn{s0bk5tRz3}|xBybkD@MQ<05WS52;;5`U!Sj-0N?#~rJRajnnDgRFPQ*e z0GMPoB*%>LABHs};EM`9<#)y|UC=WEz5sDC9h%_mepT24!0`-y3)|nrRh?-a`4yYmrvDEjy5TkTDO(+cMfA#xEOa6vtT+zsQm^sj696Wx|F0WvIVKresOX9Vx z0jDB{_DJ*|l@52s>iQfu$Iu?WL=jw`P-&6{V`x8E8yG|T!P>wW+7HwQPBNGhi`E$R zQd23$@O4wCf(JTg34!{KbVZ}>7)}--5hhdaJPFqdF=8~RylsL(74~P3XHhhs;#n3B zp3}yR407U1K-u6~1DR>xCEso54?bT!IDzn&9U|fUu|tf<75J&)xi*b^or+Y^oSz4r z7Jqd@ucT9{oByo?SF)@kKc5qBgyf{Am1*uR~$mPVK;w8yb&lA*~ zqT>&%Wy2#pNq?$hyjR(4NH%DHtM80)u&P&?7T5_t@K*~!_|`y2yuBm{fmF9FgMYMQ z>Pkl#j!fF|27#{2C@o~TAk>~!62@5y zc}Nwh2y~F7)pbeXGhq$8)z1gXaCc+I!Z@=0UCa17wxWN}Y z@Sq}f4r~zYPg=y}lA=HDJTcObJg}663T?i-6kwPn>R8LnBIF7*MG)2uH~50X2L)3{ zb0V2w)9)!&hPEb7Q%OYkN6af|CF3<>wn2&kfQ%HdB^*6oBYez!0)F`;e*3iM^oIs) zn3k5W4-NiS9vY|VthX*c?CT})hXw-n(D3ag4-G!*J~aGed1#!&GaUI^ADRK)PB7yq zrh4|Er;6A$@77?c$rBlU8897fQi;Bl@Z=q$X$LhvF|O*`pk9bLjk^XA$OjakyZQp; ziHxohc`%b}1cAIix7{@YZzb;CaD4D!rWOeZ}x^|f`6U>}JSKhgcE!>-*B#dT5y`{8O$^W^aJor@Kp$>jx|^G( zPanIRn@-{bLWBwwAVEzijFKXjCXo;zgdk|Cq(mrVp+K;OB83QD2CRzm2Sh3G`^Mup z#`w*-=bCGuefB-~_G;>K*4%5%-<)&JImh!G-+0T5Z+TY`VzwVKer@{IbMDHxTw8GL zu5gzNJ7?ldWz6!%PyLQR`F)W~QN!)nMcqR8y^i64zB;amekgtV)ax#YEIh{$K@jYc z+EQd+!LbXU0fq*!Y;m6t=;!ZO43{Cd^RwfyJ2X|A9~$6rf*c78T)}Os=NL{M=n3E_ z!U=)k=4cGzagL#<$lm}cq6lIXWWQp#bPxooJ}yR9j7_NG9bY9N7OpngXS(oY2dHLo{kIu_?2VI~H z$T^g0WOQD>J=3G}!ona1njD=MCI+SkkA}eEMn~s`jlsjQS!#hDrGUYxC+PsPr;n{^ z*N@JV4j`AD9-UY2(Q%DdGlW+#b;P~bAivxrjAE9qqCG%}HOQZBk5rr2(z)7<)p+?_ zzx0TkM9lUV52XDs5tUyXlaEB?9*_G(jb&Z07s%Gt0jVAjPC%Jz_0w!A!B< zfbzJH=8-8c!I?y_DLC(j=|s$=sb< ze$3qczuQmz3$F^Y04;_%{>f{albrVa^;~e0(_S(T&g_n4JDg#VK+A9;>J(c822@fr zCOAocFF6Po)S!ap)FJu3BpXa{lKh?yyI%|}GT}l53=g^QXC$N`1*`>U*kE zmz<`)r@3><$DzKbGHZUeYF@7vK7E18P}WQiWd*?MWBDfZOK_IA2BD z^L)Z(r#8(l`|OBd-tuEA@_+qj-}tw_IvA}Ltm7)upgYhJ4I;X=I*ff~5rGQ^Cji(_ z)JFw&HQiLJ`raEAt`a&=n%OMw7jQNjV6$UUq?qg7n2Y z{rB*Xdr7KDKf3jJA|bBHobppj9o8km=E81SmL5PV)32Ft4~EgP*N3^O6{=<3dFJ~A zk^`HchqBt>it-JzVjj+OhXJ(xn&l3m0~PiY=Xn5*s7Rjlv_ofCFFXEjp7xE@K3B>A zsa0{u+O}+Jp_+(1s;&;Ib6RWN%V!#=ZPZ}JBxTlJ@@2Ti=&$l$mb{@P+X%{wHcJ@(EE9oV~_LARVP&KrptU% zDhW?o0?OEwa&z>lVv{f0*}k&9i{~1GOV7&P^{mXhMZGb-^sL-Y&&r>A<6nRMFP=kYXnlHf_ZsCFe) zL{bf_VGlZ|CmA4dxRL=< zuF0@iu$TpAAZV*9k4Uu{EFwm8CWnyM0yB8ROq8_n8D>I6Y{6xSMa$=3Gg;!PrpsZ( z9tICT7Vxa+8$5fIF9z@F?a-Kai!KK5$#iJE|5x6<`QIY~*PGF!3XTUM%|v2&i-Jli zhUc0b63-~qqn<%M0Q%uhFbp09K~4-ogm@_P^@VtP;(8)L6|9!zhoc~B_uYz7^1+I$ z1HhyVgO?k|^rf^P1`ikjDd=;>^_~O>MKBB=S447>E26p4sFUohkawW+YUj8R9w?#; zR^WTCD^^s&`oPE*lIsoQ)pBex2x(1YSz50L*av~x}^?2buFi#&4`nwvV+m8+r89)2FT9&cQoj47-6N>U zVU*`p!V)TP9`NH5-QQhwZz}GDKYDR?o{Y2e_kQxfS$|d!q4aWt-WmiM+B}L_9JFA4 zK%DB?&+NCLXOf_OgFvMO#2G?)0*w;R4&t3DFiO}`3Qk~A!r8&0K|(7je{_*_iG^8a zFNM>_%%nEQf_9n`5y>_sV&uVBO}>+Pa)vAp#ABx%_0WnPuky5Ncw=ZGO)6a+xg5Vr zPie&KpkOF%72$H72T}N)TGkK~7pC+|WDPMJuGdsqui%$w=?&PMr4x7iy{TEl-DagZ zK1>oHpy9G!F^*ip>lh_YtQa0TvWC08QpoI}Bx}O*qykc{aQ9h5(?)l|1m`Zb|10mt zC2N?qAoWbvLL+)7{A$gqI{xhb9cK-nyrTK~pSkzyFFy?_6Y_l!(62bCfQrTt8ito} zcoRsM$qfyp*a)X4(*Z7M#RRN)5>kfRzSIcNJFk_II5Zs?UvadmO3NJ*Ia-rTrXS(gVr@O;14__pfAIfZ_(YN*pswo zzCD;XV3eK%$&)&^d1U+`iZ3NK#CcL}xX#G4a}mM1#Ms;~J)%Px;&|-Uw*}XfU#fHYnW4Pm^%GIm`L!V)~e9YF~1e zUQ^`~Ia^T))B*ZMBx`khjCvq9ILPiI>Vtu8<9dYD9Q8CuCY0G}6?SRDdm5VX>_L4} zDs0~JeIAjq>RbN!UwYp=gOycPt>?f@@eU)3rt%+_`7jg$x2U;8A*?E4z@bNQ4pU-6T|1VSd#hQOERm>YEJ;dEKG2 z3yHcEFr*^5-D*%9KTmNXQ3XY{iIRsEeyGmb%v}k{Hrl~4zN%jLEM?b}Tl;V`EvI|k zS{qkruK08#jRzxu|GfznVfi-$ZMsAp5@#onGd)=wRA#|;sv8p&BC?&Fo({}PukjqH zOJ6P{wiV^(Nc~B9C_7#9pfoQF^`QdwAsVzaZRwDwIDgqr=PzMKTTX#R5j4w`lk=-j z_6D4*@h;V;yQ@CUyG6a#xL`|f2V444U+@oq{TojuZ_KnXC$mN>r5cQ!9zcec&M^cr zmQl0BVS{pZV84NlR>8exi9=9o3YMBkt%yt)*F$H^%i+Q>(Ks}+$^AKI%Zr&Mmi%3< z$@Ec@9XWZk^Uf6IT&#lG@?uaj^3sx$*$fg)#qwh8Clg0Z-mC_Utu=YG$M_Q3=-p!1 zSzZJrr5_N~C4varEhS(p*CX{F`(@E(001nS`C}bqpij}x`U@~Y|FY&=2-YSGSz38hTHb| z*GuZ=@l!WivfJLnM3wYjqfcJi-o2nVBkwJp+V;qw>mkfoUg~%AmhY__W1{bV{@-~2 zM~7zCN|vW}bPIT#<&p^w;0DoZthp8Y%3>lHJRUHOT=GBQYCvF8Kxx#>O;E!KxNhKT zs+hEl`iEJoJL2iOY)A%)TNPWQQN~KL8kZg1mz+XdnlyxL-42T~>_x9YK%_ zOoN1SgqC9SWf7!i_7(A)sIEVfda4r?&Fqo~gp8U}!YC?Og|eh*<|etoPz%W^tv5Dc z)4pP=h??0YsgOm7gRTOGdTvBzzAcg#=Pyz34j+ zGz*^MkpUDzbZbB#*lTbOb^rkj0;aS-)Co#HDFEu=bJG460Sw}q(p(3$!C3*&6P-SF zAHNo8F3u02>{)(N>T%xkW9so={;JP-^^b*mR6X0LjFRIDVy4d5D~>Bj-^?Gyw75>N zIIeJk@lMmj-LCuGNp)N&3ZBJroho=H0|$u4L>)6bu9J1l?6_jO{PlIr>bOo7GK=H7 zovdUQ$91xjS?20Tl{HYUP|fG$mcZhJKJ8HL%ypGEwgH2ZJX0+h#XjlW#zsb_(#8gX zT9B0IC-xUpl8z5$TylHj$mZOdy!UN?LA`~g(Vr)BV?x$^zG|Q6n!W@D%^&#q_xQNjX2s810fimFYaHXz0~i%nfIJYRSj=xtE|#_BlWRS(aX&zSYAU>y1YT^F7&AZ`n+Dft8V zvl{EiRVUAvEwr$bDhWTeJIUnv^0ExE2gddeHdj1f6iXel2W@OzCVupjo^SJ3F;T#? z2aTy6fAb8&gPV>wkodiKYE{7sM|=C2XRF#D$qLs#1{N<;0`aLoLVPp!iP9;R;C`_G z%g}-r(SAh?Hn9BJ=wO5NR9_(>=YtKfPk(#UV-3lv!3OEMJ~7xJJ=hp*up*Zrhb4>* zHb_tQ6>#e)Zy_~?C~%{Wl|M4L_Vj39!SB+3H9}JRD-x&Ne6;2Y+e0GjtNfz&fx z@`&=kzAk9Q>yIv#3YWyO=>Wo)-W)A87UwC!5=6aqtr8paT2;Q5sEr-D91PGA0v$vzK6MP=SOo$&yOWC%Fy- zODx7Q?EqJxl*K@HSWUM$$j$UVHkaY!4muEumpFe8Iw-EonlF2D9b`Lvg$^2pu?Bb@ z(sDAmApL%8zP)KVon(-90MUPmiXqVNfbZMyHyCtqyyHO!7!;8^C^+b#bTn+J0`mn+ zkkHOw=0L>d=;PH~Fa5QA6N3tpmc*a}xQ#nnP3RYA7~8rK`}`Ou9=Z0co|f%kU;`c>21Fnx8C#4hc7&j@eT`}!9dFYko2Fe3cmF% z@TlHO!P$3(DUCUIWn8YE@MTZ|?%36dyTWYx7yTFC`hUJZCvH0a<|^@6mSZ<@BYfA&gqhvAWb3% ztO2zvfW35fPVf~eK%+%uxe5$x@DwWH&_Lm!G zs=^nHPrBk5p+LyQCzUp~z;@n`_I^V9hY61_!VmFX>~B7(>t?tH_}`lnva1J5F&Q+S zjOu+>Aft*4B0gGjK{}u9v049m7x-K{Z=7$*o;?-30`_8t5xwvVyyG(FI{9psoqgyi z(X+C7PWllR%-{NZ%fd2rX+hb?BwtFh(ON>bIWa#ny&>#BK>lc4p%c3ec+wlVi)kY+U5e{@@ zkS(N1N7>uHa*xN`LJlaki{J{QOFKdFcuWv!9QNRV}j8FM{evWVA(;S&9eIm2GO zu`F99nK|_}k6Xa?^l93#98e|GlZ>NpKr_WPk!S25Cv^_(=x|82afzuv<%aT`4>vMB z%U6JzXtJFx3>G-(B6K*@!+eE4OJ+_1(g$^zIolzbp607PTDlavvP4T(e?{qWz5-4W z030NMzz5C>N4oa;59zfTNj?hdP(65P4=Hkm8I^)fcNO_DJ)~H))ij@yhjc0nDa4PF z6uY>9LM4@4*lB7hCNVS32XdAYeuA!z59K`_(UOsl64B8r18rgx z-(r^R9+vGuViSCeQL$~430WFv#5MeOxQX-dgywx@OVj4;fBL~Q&{pV1nIQ+ij#wp z=(D)sB`g=u2tP=CC8v-~A-d^I7J5^3qLYjc>+>+;qWx6|+g6>4yWRHs!R4YM}v zL$2`N$es77x&QY9;(Z;Hg-onB&qfde$+MB|uMqqPI= z0DRbF31_$077Gk$0{2bL=a&)z5*~=IP(4~9N74!A3c-Gf-&g|6%^tC6U9l7hINDSN zmxH2%gn)iAOYd_)yI9ToD=W1922f-RR*#VRK(E-KjKNaAOTspAr~@8e^q34JB}%u0 zha~LCpg2LqudjJHq9I>mZ;)8_zj-O)pmL&(=ZAH_=1I+~n(x7*QpjLFMHFv~QjrW9 zq*Ai_vt?Rbnvy4egf{H%!J`w}I4{i4krIhdzA9=L?PKV8KONLB+Q+Q9V;!!4BFQ3Y z4!wO>Hj)<*EhNqQ$2Ql%kPzY2Ts#sOWJ?0>TG>iZ_!Y7r1ePPtSg)*MG~Pl@bNJE0 z04k5f?m+g?Zq4+JUjal#h&~?OMrW1QOf|5p!;UF9kz5T1qzORGcD!obrU!Iz_79{0 z#9Z>=U@nl@461q(kGUlDt#|#Pjk3EUQTHwx^}i)5tG#5tNAMCgvxzLM(Qery&}vdH z@->llWqOOj=F<8TTyoxvgTzdJaj@CHILOru(mVP2mdA5Ep<`c>s-}I6M?4>o( zd~tB1{^Iastm>!ZFAmNO-^LN*lf5`oi64QRMI5h_aGLp}S4qF$n(uLPj|T8D zBwk9f6$1SZh#uMjHyg=zwBH|jl?^mV_+t>L`-_Ja`vatv@p6QZmFpM8S3Y40oML}T z6vgicv>8BO`S`T=d4Gwg+WuW0Y9)`C`-AuO*YZuk&yv1GY6lD+hj0(Exh6*5&}dj{ zlc%+Du`Sc&?l0b-JlG`{lrs`fN!H;4KP$D?r{u0M7xbg+|L2YW)2Uq0af>M=3?Sf^ zAd;Zw^>l-hG20dxlN-&(#^699C<@F{JPpvsREK(OA((SPNN+I6;h~2~qnr!cslNst ziWU&91ILOv7liyW^D#otI=C3>sdh2&^(7<$I|Xs9Am5U)WTKoIZ^Gdzr4d`Sd1 z>HwmIXR%$JWXS~`R*b6HPUvo_aP8KjG$$Wd@ zCTkrUm$vRNU0iR!A`}4`je$4>>bc&?d2St?I(3BXU-#04wPBx?p4UZw;St9fU^3 z#<_>&J2nsU;I{F246Or&hev@()iEx@3;XJM3R?|-3&JEb8AWky0`U6^(qVt|TYlxM zUlY!$_Afe8P`3b9@F|LT1=)289cEuyV%-JXa757k;AO3X)L|~zJgUQ5Qh#Md>M$2X zw*`<|c;I5c&b3xeZ_dKL)|bY3b7ECi{;ToCG${nivD8myipbyx_lnRtWX zYC9khqI2qkF`N)So%+RbXwhLqNx;hnl1!{O$u`Ci*Wdr-@{GDXeY=sG>!>nDnF0s ztnSm7zDbqRp64g!5YAhkaFs88_q*FkFw+0z*ZhUw_`+}q6$k3d)KH;DybSkk4A@GC z&;<_|8=@Fg&|B*3YF|O?Qkl9S8{8#0W+g*)0Q5i$zeNaAnKnU!qeY{^EA0h&Z(I<( z8Z2i*_3f7A@`O%_6{$>J5bD{c!XA(2-G(5QX%ob-6xF)qoG2@v)IF+Y`msyRn}P=y zpR3*pM@c?WEdQr(KfE^kkV^x4&@l`}x9I4~V>0nKp2Jj?jswYZ<{kPTqtUXS=^%qW zVH(smEZW% zfBJ8JXzT7UeZ^`ZUO3&ti?>>$$d9ZZcrdJJX8!l#jAa5@w(4k8es<2#4^4TD{LbHlUf;>|*vvJvlBL~V-VQ6SW zp!ZwX%r^&>r~{ z_JY&Hvn%Hbn!NrVWwICaNiZeAD_UB=_w-?s1wGNU0P2^Tc0Ha~yBAbQoQ@*@-0^+wvx4b& zEpi`Q_%&PFwA;kK)Mu?WJ2!1=%RedeHE;Pb^YyXM?mqW>f{sBKaxYo8;Oyv7Ce-`4 zGM27HwV@#C6|Kn~1>~Io%xd@>`VoRh_9Wt`Jiz(wO$e{tYBoqSBXy&TgS;2CK zcEpN{jb#0llj6~qH=~X0#5%@Z~)~eFDVDG&J&Ks6bgRJe$9M$ z_8=g$WD925^pg4Z&`p7|XSQWe%8GjQe1F&ps=>(;3}d}yzCj1vNHHKlPFaU*`jyHZ z0=`TmBUr@2(eo|RTp&yh=q)&8JDukfF*Ty4$L&lg@Fe8$s*Flhn{jTOqkG*PE3W(3 z+{*QdYS{Ba!K@}HX>5Vyr5HwSP^fsg4rk>iQ20XjA@+x!y;BoK{1~)WgC~*dq2z{6 zu#<@cluV3-gl=N)KnnaB0|k z&n_X6*6tx`;dgJPhG*mZ%Gv0?(!$^No$NWf9!oN(Zy(H1Gy3*>{;2v(`0p-(qp7eH zhP}7Em!UbgGc@N1{@9Ov!s*T~r|1OMeLyKr`{?D*7+3f77JbotWpuJ5<7mX~_NKC_ zX*3zl1FiM=MPKyHQ|0+DxdS#v`?U~-YgidoaV_fkX@VXXk{rYXUqgaLmz-t6ld(Y~ zlc|=pe=^lTev~o-^NS^#>rpqdoorj@8zA%W${Ek_0p8j5=qvyBAO7VS|A}~lKn6=@ z>K3wLLC$yS>{`5uH0RW({_}j`bav(T6o)ZJ0?!h4&O36B_BAyets|ii<5OOcpW~bO zqzidF%CH%wwW3TnqIjU>9;*Kf2;-#)eO{n9S%il6Wp2UVBxE}A>n7e_mZ*0(2%~Jh z6Fxe_nNmBtgi&`ldvx9{x`a{Bz3nYm9>O+OjuGxT#^rM&U1g}dy8qApo-h0GQ#DKs zZbF`sg+w2Oslo4H&Ij58km#eJ$6Fy7Sw_|{0Y8xX;1bhy98vYc z0E0mtk4+JF%BY5}wCjA2@g@Vwz@6dXE28JP<`RJ1?%*j2%(w?k}G~jyXI?xT$p)(oF_gE zt|;Fj1iIj5qa+a4gY(R{sPzu-ap{Aj*Ly^KBiH1E(w%3iVS<$jxpXemaTX)phdy~2Jd-K&rQhbtGKC=S4mJ7K+ZCUhJq;YCQS$>kIhiGgMPLVJT6j6PE1=$-yiJ$0#nCvoZ?Vi4v1VfmXI-e*q4rO}^U! zv&OF_uZ;JjKH-|@#qGK~x672+3D5ZAc0C!l>&t)RH$Uu|9y)e??Hvf5zG$1PyRG&P zIRBt8Al$B8dk0Ne56%y>o@(##F4lduI^3>Ydq-OUY;`KbzTx#@)N}294>F%lFl>6R zy`zZM7q!Er=i2*`{3Z3!*VNuIKB2#wYijRXVf!hUliV&|kJsMOl+a&Ka=VuB3cdD@ z_Km@L=GzmscOU=;Yv%hCwf7C$4f<=(Hz;cFpib)H7703rD{8g(?GlY`-S3%iQPkcA zP?05{h&vO`L*uS>j92&uN$660cM{hVeF2x+yD`LjVV9X8i`LGrz0Yut9;0r|zJ}lZ z@BGi7_(ub=rPVUe(R%?d<}I%rRqAE6j=?}!l;CZGWQ_Wgs0U+K)V>B^G59ezq+zRq z)JiURSYo0tL+fpu-4iQPDY@Woy;Q^&PL@$4)NBaSYPeu9Zej-w)^L6oY}To(SCJ4-fAe zDizkGi!?pr^nWi52CpOT>l`St_!<#uYN^?AQcpF5!6hucyRg*s(IqT>N#@o3$-n$( zUiHx@yU=%Qj!Z+AYQ=7#?ER~Qp{WH1ksv5y)^jX~%yCZuxJQD>9QJ_L$H##z2hnDU zde#^gr^skRkLx++uoV2;q(dcy8m74u%rTEu10aY-q(0EiVX2iiAh=tyPpO8GQ4K)A zg;ca2dn`1IY5>PHVD=4j(x@7o=t9RZJ?SYz$54l^KWXA=^HLP`*uCYlmS=eV-)7k3 zNDsG$k5VsS3{@3|IX?M{{%?E}pY&+s*k`xp{_lk<`*x|aiI4yi{LZk?Pd@(oeV_WX zZ@P+cUTUlQ_$!FvP#47eU&5;F;kWtmL;PcnzYgd-haP2E#o=0Y#q8e)iWMBsS~zq6 zUOQ%N3ksRZI_MMd`7$X~&N0VdjqGQ86q1f2nB%X2eL~-Ag)0u7<1b7^aMb6L6P?nE zvlNu-h&rT8-go-~JZfcgt(&eS_;08O`INsJ`MRuDbkl=J0CsW@KPNACwe8!$eY$$` ze}Os6Vd@onfYDFHrvo!5`d>anb20b|wN16h8#7^Xk1{m(>bP8??8_c4jFMBUm?YCf z3`S^FK}B+pRw%J{Niv10AU3sLTavR(g{fC~C^>8nPv=pl(xpelYRYzqD|jG29AX31 zaekN}nI2>?Y_mKqU}K?NNNdi2>_A)W0dS*amU|7harH^ID&&Yr@e!e&yWA%e(P>gcIf$yT1+T7#JHM7ydyT8$3@<;U9nc`oT*PZf=j zwJ`q*HF7>-d1T`FLh};ERi;bNDGqlmGJP{FO1US9sAVQsIzEcSg--%h&?wiY;;y`K z?fk(UjVC_#NA344=4dbS*}rvY0S$D4*v?*go}aHI{jhtV8zwoUKScWxy ze$HJPmum}-{j}W0Cff3c)mt?0u29+r+Ul;nMKAli!n(yj^O@iMJI<+FfQq-X<;mp$ zhRT_jM;z?raez{U1RUxabqz*!3fH8AUF#N3BFfpJowt+R4d`Si5gqZwv)|mBy0(n* zyQ?mKvp032_-e!+$ z1|6+r{a=$IgM!6}onmLl4C;%!+ZGVIth6 z-qEAzOVMbej))BS)Q`CT%>gQBI`nC{gSjR8G4DG$2lR!9*NtGE)31L{7sIdqjpsi1 zJ+}vp0H90#1`PY|ZE|ri+ThR=zzEoG60CtLe94KxHBXTp42(4Zj$KlH%cVlf!^&p| z0}U1!>V;?!1Z!O>{|&D%4hCpka50ih8O%4x4hDJ{I4_KXi{Z$G>|hWtA{4({Q2Y+E zF4@7@?$Dgx>x2lv%fgR zKDV;#QV#Fuj4#h_>P}nV$vu>CgC62L7xsU{Ak*+5larZPe zZ_k0oCiH@s7tXJg6zMs@gflIY63k+iq{@@V%t_OHIzEzI=Om@No_xtM1!AAHGfdYRzaFK7c@dt2x^O9S&`Pw1#JWsNYwy_=nqZz z!v#s}DolD9#mTnJvLf9N7sOO^q+Vcy513`E^&$Jpxf9@HZ8`Av3nxJv8+u7e^2TOe z%%PgyVTM5s^;s<0W9C(tKezqPO}GC$wsQP!+=Ro;lUXN=3vA*kflb)6d`)2IHeg_; z=SH~mmhVjr)4z}Y$mjpUKMHCYg@?I%bg-BW#X!j!yq|ra3e}?vB2dr}35W!SNO)E4 zD`*s|$0q30QV!6ls?0(#qsqW8$|wbg2F_bGa|lvB`igC=%7Ohi-Jz)-T`-77*XZ=_ z1gRcf5YdD&V{SK#{?K&YToCzYQ&5`~W0`W*q8^*%`oR8nsIoQC=)EMPGnb4}EkLyO zKTv6QidikoBUoO$qvyM`N1!VaAE?3yZCwY=js+UD1tIu4>gTl2RRM z1$o^#H=1%q>Hmguj8FcZ{~O=LC;!g>jc?+UUPFbfxvuU1h3*y3uZSiP9f0C1)#L>Q zk)t6ffXv8Dkk)h&Uqb&@lO2R#LZ6uq_@u)x5X0nAF2oq%Sx+DYzS{YMCD3o@uhD#L z^0iIjlOP773_L?QQla`Qb*}EcAj|I#S#DbI#4YOW*M+Y0cIZ0)tN(KQgWne;dGuyn z?*jmLRymz3vOFv{oPpkQ6(3*)hHf!3w>95va>!Wm0bn#GOA7Lj!Hlu&10b170vE;} z!x3ZE2iU;t5W$fNV^q^_*~7M;KVeKQWSa7$25A{c$6FZm)0;cbOFGhx22xK1Y#|{W zpWK4_zwu3cnzE!Lh0)7|#;0LI<2*He<0xj{^1T&c6!Tq+H+|Y4>U(1x{H@C(?Xg4k zgee((7?3fApNuyZjKnI4**rZ9RcJ8yCXLr>WHwJkF~rF^uhqzGo`_;_j{CK?h@hEw zK&cfP4Ce?o38@I7&T`N?k6kAstHjdT{QaTX_TQe-~noW|=a{*Rp)vUliA$C@hj`~Uo_|Nj4YGBLDT(}iPVNUHHEPtZAq`#qZP zB^^E-RTC;T^(BrCmI;-L6vfoMm{6%$vGpZxFo3JekAzAExeX>niVDJDnL&CaR4U1B zc1IH&4wea(nwtnQE~n2)C6$_+2sfJ{Urwl0NMdlBDBsa}Eum6zbU3$~Y+6a-yxg3^ zf&(ROjfv;aYf(iNh4W6u=GKceK3w<8sh+l9XiWDX{onW|KAAEd85}O(bp03S;P!O? zn(Hw&+VbnJUXkm$sMDuMohAhNXsvbNp`lFYba-4&TAk5ZfApH0w92%6ueW^K@!@&)p9tEti(GA3kH0y1}HX?c@9gF4AH0v(7 zm6|D2I0$fRo!LeScscxVROB2ea0h4sG3#x~HcT2cbN!N~93Io8H(O&S@XI+F)u;^& z6Rg`-X?YkG7qw_Q30f?u8`crqt80wKHoD3<`%hkQWYNJvuagP;PKh)IdL)j)oRr+h zriM7x90qfpdyqYHOOsWZo*bv^v}Bd1G|nmND;npzPDAa?ELEWuoX=Dwp}UuhB-~D& zb~xYMI0#VQSr|L*2#F#KU(-}%5d@z0;t57Ay~R%9>z#UY3o!N|U!zB_jd3nU`RPsShsZ$p`eQ7fonDIkK5GE8L49lh2S8kMLED&F2A>yG@ zBuSoNu=FbfVYC%N_9|w6k0OUsQpAd4lx@X5Y%z>7R@B+7M0K?l#VETVDn5!R!^liw(ZWo|%WnK_4F(Vm_Lo?R)i;0N<3k)O2iUuwF*-qr%_!~TqcujjDQ$_j?z+= zoNegEJi3){M%B%`ele21RDldhPYaDT%!L__PjgJXp266RZ9N6d_lYAv+*=D?Jw`J6 z?tlBgmuUNSM0%V9jGs(iK3(&DW_T5}L;iN3@G5$f#nk5i@-_E=W*6#KbEK|rEn^B* zcSPs|od>#S(t6>Iwy#Xxx*)(*Xo{iWdTDbkLoiaC&{RINgqh2}tQ4tD_<9Ivq%aQ1 z8?lLW(o0w8VrNt)|8mj~8^5`_S5AROZ^*L%cjzQ_9Ku4mc z%XrH3=x($-KB6YRuPthV0!=Tvgh>d|JWLXlYi`6zVo%c0`(-4E{pO*s|~TR($!s}pv%zLYeOTW6ykSHwV^T2%21%WHZ(HN zAQWh>4FTxWV}V0~=GqW|Ku|cPmJ$jy*M>$0AA|zUwV^SB$qX2pCYTHbnrlO2YmV23 zpq-UO%3uBOFTGho;c+{qsBpfSo9b4*)yFKgpIsX|Po17xeoUSIuHX4}|L&*s_{-iO z-L>(3$kk}XYzn;dM`J4A3G`ApW~(JS;H#S%>XdWjVD}i@0-S`xcNGkEng`Bd>R!KM zs8a~WytyO0XDyV;#G@m zvH05QRfO^``Ec|clvh`jdzH_x?x2n>+2(va@qFUd?<31TyDq9A@6YETAD`QyI@lvY zI0UX9R`%ZOm|ZWleh{epb368@iH$A&aT+TfHlasfL5|pa{>cCJ#ordyJnb}g&0&4V z2>w=t>yhAM&3Qz4+3YLR94X~l-^vgNAfDKWuGmM?jL{3N%NG+$Ds zu{Eb@zUYYgniDi%^EDw=oW_h%>`O|{Qh}=Rdd!XPcYRFT)_w#g@V3F}A8~|667IUz z|Lt_HZ2EWp@0d*djZ!I+b+zdKUK(7Vk_K0Mp3jX{FEzfqtMSddMZJT1sqx)TjqfY} z{qKJNheXaqZ$@vbiNi#$lzic9G~iaFB{7y67|b+gp$#^D#XM{k%%&RkDuw?escd5l z?Kyz)&!!q3QNXfr#l?XwQN>Ls*&*4JT}Lt^^S+s_-sd9t~7hVPe5;b^^Q&&V6~{X z(~hNUjR9`L7{(`+eyNklU8r$^-kj*Kz5(>cpYQt|>4gZ~NU~=nC72g>H}hPxJY#v< ziJ8myCWSS)<@bE_tG+GNq2f9(4$7+tQXMwIhC@UhtY4Ap&;=uVyWM;1CIyrigG1|TZ9w$81Y5tPR_{;w`Fj+?w*orlVgPrjo68{dq5qSSN*)u(JE z`rswLfIGAS);60*Pv#Lg&&A?ZSHL+wxu@+U}xb5u%Dz;OD zSyf~HtKqgmcm`LmtXNd_lxoYWdP1|E)IxkOT?55uZRMBuSe3#Ej;njiRc_34)aA!j zjw=uUw_8*;{X746OeX$DscA*CxGL;6($t^z3{z-H&#>&p9t6(?LoXG~lbDVwkHlKk z=zIDdxT|F->Y(f){7LbaPw~Ujx7)QQ?wfYs$sY&1r%-GBSAxw$>|SV2u?Sk-8wlOyz$^ zkAem4E;!2{k~f<#yuR2`&2l9a-;G6MBJ~Ii>!BgqimpcZZ};`B%ABc^@Fe2rYc7sf z@3T+DVqx}i`aW}5W89a72jDYPcPLI#AMBl5pYNeb=XSs0e6vr-XbI;R=dZKf0$(88 z$*GevYdA&n^Mq3bSUjP1=OQibAw5?qU8+}iSG}5di+aU=sb1Yq_396Q|Ign4r7(`# z1?AN%>xn{h0SLOKO>FHT4*SZ}wblcLG@o{Zw-(v7+Pv zd_|>?f@WE+Q21f>Dg-45;430Oj4~I@EqJE1!WM#(12Dk@W+7`U+h)ZCa9L4u0Dfq- zqBOUA8~zq6O1jnsg-aKQw$y4_QPZ_1i6L>AZ9_?f#!rOqihAWIjksE1tBC1_g%e@9wEYFA#;h0^l{&Q~wdCk4s9^Ll8ee&mj@^Am}5Bea?Dx%vRDJ^>4x`mwM zYDuUC8ztd*i(#>^%<4Bmbg(1bq~LzCQ4+o5fPo^xm~P~D?sFqUue+yDOTUt zJS+*6!Flf1N8}m0H6tsED+9DfP&hk)kNVpqaByF9e^}tR;hIRe_CLF1-(3>pbl5}- zfCE@c`=3(?SX>fpK05&m@#uqV&$kGDQ_SAV6&(iWneP$$&UiC%j}|fTA;C_JF+OU~*QMY7esBz2`8xAH8B4qXyp-q>0bx|U6f5}p`&@G;#Wv5Vv|10Vxrw5qGPw9;nYR`oY2ZfJP)E>BZS-_zn&~e9?5mbVjm2;RuyawxKLqwp%)e#9pHXIE03bmLNGidHa2@z zaD?G&hDd>tYEDEMbhDp4?WLVf~mn|tg&O3!mz|R6aBAu|zVofJ}qA~!;1K=TP ze+)k=mJ|ZTlFD|NPVt-5X0@dFwZO7>Q%icPEBInb=d0HuM) zHECF8sH-e+>^Q6xnd-b%Jp^lI;pykT+b#Cs8bMf!tF{LHxR_E|KygGOU4Vsbct!}8 z%EAFC0*SK$LLU?>N@Zb(B!k56#1&~iAg##+kZmH^0V;sr%P5~7)u>C_2wO-F`1H<- zp06|GYI8>rlWIB#O|IGE#+vh5TXo4N5+3(qafHX(VUui}RP&RK96%cTay`oZ=U{*o zN0<(XaQPgg$_aoO0|^`c^e~Bhpz+@Q@=tv5v)^!1)oxa7D#1-VrD}Hv4vlyzRP7FG zPIc3E*HtLr@cLZD1q+Ez>OiB6B_KnIF=%O;X#vkqR4qDb`a*M7wYw$eR+~;96q<{w z-C>Z21}(e8ury0wv>hDHEK=o0Y1U>r#aWgjF2XwHQvk8+P$_3sYn`M*{b3D|Y0Y8@ zG1OaHNl_R#%0HL9Yo%;z`mH4t8Y*T9iBuM|>Bg0~E9NANX?3#GBgUT?1Y&i&5AkkKQ9L= zFDvHYe+HLK4_hgU+1XW(;C<-@i((Fc6`pN>*~JGAf0azE-E|kmOb;DqfvLWp)!F#; z{I?ALXN(@LYo1iMs=gjPD)^cQ=v-_{$|Nua)Mc;ph95n6=>9N2KFZ~w2agIHG&Z#) z)M>?b)U1NXWc*x8!RWyw5I=_we(g6NGpUJy~a$h{*g$J_!XX4HfErT4f8*cOwafgGO%ok1KbNN zNrR)Ohx`ih9iCW`L~gk|y5z_FJW+2|F5SZ(1LS+jui|>&0gH(UAg%0uV{g4bEz9-i!0F zzBt(IUz~>z{KdhS*S$Cp@)w66^NWMM@{7aGTX=D>Onz~=nO+>6LwRwyeI23to3D>7 zQMW|hGy=U9vZs@EP}CvWFVK+du+e7~F4t0;jQvp;l@!8JXGY(976K(*E8F2QthZNu z7TWRGt1Ffg0!R*lx_@;*)+ik3bn|+1wJwEY2-N+#9mmHHmN(ajt97Ql+~9IbpuOgM zoYL)^)zv0fy4QO!Y3B2XK)*vi;AV5Rffj1lzgb>wph4pLAyD_{cAWoaceTms{>}Po zQ?4HZb^m((8=P;x|C{aAri{^nK;3`vgXPoFGJ7jr>#yaTuYGot^yR(#*uulv{6TEu zw{DwQYLi+1?qjhnoS9Yyzdw1f;_iLP3vYd^O#OQJmgn9jQ0f?0Lr1ZtW}2X9rBBJV z1&4FQfEd8i58pzsMgC7mlHD5|kiAHMTF?|k^e^Kw0MX%Bf`O|mUi1m!~H0%qS8`qy*r z%D7xR;jy2VyYQ^-p6D%_cUQP8ucPhCD|^}B6~-<<^})aX{`bEUT5~5mZmGMhI1MGv zCO9!Rn*nz=^^^-T{F0>75zPkhZAyWg=D-EvpVGB~`GOw`SFs36?9wDr*3jZQp!skB zt0dhzmkb2J=f0E9b5&{9mLOF0@v+KT$5MZ_(t}+1A-**&AnT>^%uvQHFcE^`_qb(s#eRNm1kd z`nUWiU;4uz5!o7<3hCG%<2Ya_$KlKH=td@EN~mPRBo9cgNa%?V1HvVVluQs@1jN-4 zBn04$y!v)9&(@eAa5wOw86@t7cMm!u1nI>2IpSY{p(%*Ovm$jukQ%QE0vn8TgnruW zjRzqF#WS-*Z;uR$MG)a}ImeSRT4;p_4;LjdFyKTP4bHk3Uo}n($kOVMT<^2%@ZfZ6PQXNml2~#NPr5FH+zivOtV?~lV5?+i)9!-76{MFPmXkm1o|e~%E^56 z6^<5nQL|aT=AJx^d@x8q*RPqL-Yd{6DsM9q7Z^(}LAfr1hfZKjs~B98Umv ziQ^&A?~nsu<{fez&%6p%cjQ63I37Ec{gHRcaXj-XC5}Il$3Wfz1|nTB@+u{chd@35 z8plg49ccx9ygYEfufJB?#J6h?+_$Lf0POC8J2{MyG-*Z$Q9e>AE{v*=W>i{~kAw?O ztF2rU2F1r{VRH-a+`2Oyn-?vP%e4i^qQy%LufhH$hWD&X$&pA#re!^b37Pg)*{kJC z+M~xj%j0BL=+VCKV}9@to@V$vViKZwwCoEnX1N;*kIp!CRMEcPTl(VB0+RtZgH-|< zh*%ue~IibuOza>6~l5fBS#938$;@o1M@ z6oe=Un$a6OBiR+{9g(?ih&v(brM{HI7(7(AY69$=w{O8S%{g^Al^EudY?=6@DG9Ei z^e{dbhQDOe@MD1*M-AR9p5gCzefppK17G{05mb-DfCB=7s$pOLO!Y_5=WodJF%DuA z6=Yb6f*0iVAW; z%$}Bb9@qkQ-V{7Xst!N1N5C(E6KB`RSQwqY|Y-m&yV#@OmHP|mgw(G?|sU@6-^yn8g0dn$`!#HV3V z6o8ye(14=9CzA$3S**R+p#_AHY*q(k8x+pL(_i8zDHP}ZyZA{8?dgQ2E}c)f3pgdD zihzp&YK|WfkHL>h`%A-dI=*bj{#_T8{yZL5z4lpYH#P{yz5Cb#oI7H$x`}Mv*5u>Tt8)tgwEkBm&{k>oJ4ZrraQQJ}qGSBoHAsHsH2#X@I2*|gJUu<7lZ%Pwf zZ?}RGLl>u|TSJgW$pt~bq{Ib>%>s&2;&Wl6BqWeV$pwuf8;9Ow2ze(+o#%o_9N8*S z80_5%@_BT@?FwTG)ZNAKg-(zfuL&~qrw%kI8#+Ngu`alSrBQ_k5{N1|E1M^JY)sRs zo~UF}s#UVSv%d@gzFaZ?7df{0l)vZa_$EHhk!jp)fuK_!>9F<;Z zvAXGn9yEpEO(_~o3}xLRXOIRd!wV%O%1`$Ew#v`F+f_>QmLF3}f9t0|`yW1ks*9s| z$`&!JPi0EUlp?~7HRD_M=%A;d^M)128fzU6wZ#dW+=R1VcOQVo=LO0 z4~E|kLEZ%y+@Z$wc}~>QHP7k) z-n)O9&0R3!eQjB`Lz6Hph%GU*QNY9ID{D6QYkuG6=ib#*1bI`Jziied0?l!XJaOkL zW+StU;4JxDnJI$s18=0*O>w>4nYxeq7m|19yG!=Ko*SHA`1vmUd@sBMOu<0C zv{nZF2xaPTd(CtVp)K6OdKvU%-gmN@gpDTSxQ5$iF8;BP`uU%I)rYlmqE69u<7+c@ zfwuQ)+beW+igmHC%x!nU{pP4(-YrUcYEwf{f+rix4bK@lP6PtTqS|zU5R|aW=XbZU z+!(j5ncEPg@%2Nq{3c0bOakCgGZ^0xr15pZ9cHWI&@h#NKB!F%K^osCi0MI+klihO zN<#>Wi)QDz1(y;GB_?BIkN&E~`1+Dtq`oB?jPXcJftQn%B#BG5;o<0BFrMEyxFktj zvQ0`O8T8=jqE+oYIV3@x1XNy)yCbccZ;v?%xFYOYf6SAnU(N4WIz_)(S>ek5bySCb znKROK3goZRMmor@=w7J;r#rkvlN?8I9v8Zc^QwfCbQeNs;Yk!hdZZT{DHdtX^`cvW zHcqrp*eh_2Ru2SZ?QgiNc{#K|t-;Yu(Omn(eOrg4nWDDuWTWHt&5U#G&#e;=&bvjl zvAG51u5OX~1=6ypAG4V+#gLb$i}2a6{-SSxG3qGlQoD=bVP(YUV72W7P#U2o*y8gY z0fRM2&Y3+x6ltv(@gw=s3Fge+c0<|-81y}mB3i<+gTXt=@p+p`$O?s8-w@34c?9xH z5J_~0F7des^6&r*NE9pP_#8<^i_bS4*-)=qTm=7pj+o>i2~7-tFwZEK6c@oITXKM- z0Q%&BxCk!UvI89B+W+P#o5tOV>;U-v``=R9RD8|I4uC1|as?MB;r5+`JIfW!@H1!@Tmhc&GkPON)cF_x=4X8DG8C}l zuN_@uXl#_Fk&9hwT*1rbOQ8#<0-rvi4t18_Z(_XIfInYkJ~T?*N{1?qHbf!jg>TUEXZQUUvl zN0itlnF+M1*U+1wC}5XFeTbk-07KA=uFdH770ihivhCog^P_iDB#HR1Ke-mNT@wAJ z+U~gqb77IZk+Re%r&6=4DzjES-N@0D{l%N{a*kD3!!%e=!}`CSij__O&i}osOzg*% z(ySBrQ2k%%$8Nm6>dOrD;nSGvv?!;52CpK6&Z)m3clme>>)mh`^cJ3#3k4Rl^d_?P zP++(XYRMNmT`+bSBu`T=Reqkxr3#QU!R6DtEOqJ?Tq&avoT1zpW%z4$Lb*}2c<3{h z7u{(u;ECnObmzzYwRiuk52LuG3-!8l3o>ZYO9vpG74;Xde9@h302kefp+<1Iijt-C zYP$hvD7q6$7Dc9BC8pn-4=J@Vf!lyYcPnPyX&7tZkB%GqTjkJ2ckVbOg4!4*Avk+Q zFzZf2dVs}-q8n-L$+{CMC>nxmG-7H+#2hkdy@w=b%J-LDbm#7{BIO*{1gS?k%aa;W zRhhYt=w8-sgLb2TS+^t4L&ElkS5`x_yWell+1G@Ae_%tz8NFzulsPgSImn z6KvAc%J4$Eah@`j_$DbszNV>~e#64jJdHF^c$dP`-4&MR-J;%TT(U;DGYI>?`_2FR zv!4sYt0_Fs8d*XQy*&~+une>`*n)l46_#Mr(54Eb253CyG}5SrV6I#omf$6)J%x8< z#NQ!E!|R8J$Ie)UWuOiFRZA%*7naZ{t1KI28qp9VR!sS4kpIG_am6i&b^Ah0E-ayj zW?i}n*)(+$E9SzIQI=rE4Ss;`97|#8u!5`{d&@FdzM7Nfv>B!LL2Y0)plbcrW*>88 z(RK@?rVAJ@%{DTg)p2RMsE=6WLixW~FFxh(M-Ci<@`)1D*7P#`>AX1=T6$a@QDWUJ z1m=>gDdt27s6*?Gh$ZmrVp3_NBgc%3n}9g%$p#h)a$6V4#269@a!qh@42cA} zCU}?{Ln1-0A9`vGi3GVWI5~wxf?StGxkXZm=yVx!pbL?hpQR$ik-9Z}Bx+24n$b;SPUBS5Sth3m^Vk1!mhGqTOn=93tciNBd$?n@yl<#&m4pNc3~ zALMJ4<+a#|*=fC5%l8o)OCrDLul@Dk`X5Dfp`qR|t%q6dnp->aMJ<`In$~m1o|9?4 zHCL3h-hd4!r}b>`ykzSDBCChaX+4X8n5|oJB3(JhoYuoU1LXihGH#k$62)MgBUaoB z{eM}pr1kcDbj(QpZ-MGWJ#;OJ0Iny|1z9lqlPqaHk zS47UQ7|+WvT>_JrFff^Si+U4tNdn$Z67VBF^H2S!-xSCN4dgvAv9KN~y(4TXjOuo? zoJN-~$)G_&K*IWTSWW`ArhaDHdcl$e+;3O{L$+|WGDKKp;&Ku&5|tZ}j+Fe`8)T58 zUreMGv0|TA%t^qORs=nWAs6D%ISB}qoN`J7i`}L6fJb0p;>X5xM4~2$+FoOqoCGxF zf=jkkB~e~|s5WJ7@^)q^+C%@OLa2Gm_x6n;)XzNs z)1UZ3r$Q+7r?ST#0nbQeR6?i*78}7aNYcolP?K>?389?J6jc{+GUWh4VZ9znG%i!* zV+Me>5<)dDQ?x1dVN5|rG%i!5Ybq$?q=KT(QN{9#<}z(P{-^<5xJ=6cGoZZ+I7_w@ z06|Q4R8W{OOn8K+hs*SWRk&akJ}{bKufFf^{Lq&~m@-~JX+g!|6sIm=!(ubR6t-7K z*t7o1Kw(?YOcq*l{UpW;!&}$teymvPCsPc#xqdRqfScQp4O=Eq z#yDW6xVZmD1!>SQ=S>CGfDW%dE)b)1g1ieZ2>vhDJFwy!Z6lo^Z-5J;G+`?(18X(X*>;u@e<##K8FZ zE^M)FUXqMK&njyqFiBwx&23Xz_mL!AEm6$lsBtu_+?!GIWgWzy-zSw0vDf3o@?+_c zpZgns_j^uPl=o{wKS(2v8SwJfSr)>SlIJ9#570O)c@s3uc7iz_5^Z~{C5aAG8PwQf zEpL+gKR}+?35pMBf(Ni{tKwX(pd#vuH64P%3vw|da}rBBWW547S1ZcoSS;r#KA;^M z%^xg1Q+urk=U6Ms=(CZNJfe@dW>~r=JG`)X#Bgww)UkLycxkdjW4Sf%tz9Mh%{i$O zRiC}$E6Nk<@lsK~RFo@A$`A`l4gLX7Mq)bUOcQgdDBn&+`Nx0Zd;k7-eq`e-JC&*d z%~r)-+5|RB1_Y@)N03X!G!iRfraf!WNZofjtmsJEP+&SqT%3ZpXA&lMxrMDnTS*9( zRLx?Awi6mv^rLGW-qWR+Qr;75L?njnw^sdUkhkHPa>;r0zS`mg;FrMcEDkF4G-@AtKq9#sK3-pJ~t^ zJSse74>Aj>n*0Y36SX_$99Mjp%Xp0#0+oWSO}sV9M>cM5EbeiULi7IM5!l>Y9ESA^ z)jPMJ2-&+;~8;A(@_U%v)X&V}Py>j3VqGTOsdiYXUle4qy1n zm~ag!V0j!dN&`?peIl;F^+2LMN}_eDmrRfN)p5Bnw-L|!;OOZYzXER$;gWD07b_zW zk1LYMryU(#()uET_n}YM%Fv%CiM7Q0$M9~$LZmfQI`Rs^`$kg@BhR=r>-(C(elRHk zi1>1aspa)olB80TTr4pyL9Xb?7P#_z<^<9e8gAI7j`s#L)$0|_dv7qcIlnj99HcqR zdxI&?Bkv9V>hBFdKGIx)yah2Sx^3!PaP518J@R|Q&Gg=2{qo*$`*GfziMi2(F;+5` zDv?pF_`s&HnsbVC;sLk<%t1~&$mFQHLnd?JoMKKLp|!bM-+LX#Qt@#~bB~$c&iiva z0{1oM6ys5d{V{xsiKvzbfI!`!+i8E9vz7M89OiT8Cd*i_v>e86Wo{0gR-8|VuW`DZ z&FX5C=SE}9@&IDZKAJLL1c#P|IHO^$+l?0aeCdn#EmfTJUw8{@&eCLx=f)P+oQVEw-Tftkk1S6^$eL8SF!MFubs#{S!J5Mm8 zmVqoER3#=|^(*ECW6MN>;u*7{>p6;FH^@Y;Wr$0;7|~OH=mWW(VWmLMMW!*jHu@E! ztDIoOSQyMp5J7;#I>C})+$}l0MN*Ng0oJRutfWbP8%7!FEa!PkkLWF#bKlr#=rZ}= z+NVdW_BV|>2KA86|FIS794W*c$@M{qP`c^4eTM6ki#7b*oj|uqiK!V<2o}T*)|-d0 zVof9jybrVm^;vKY^-K~2d*P|luURh{Xr`Osp^8gl8mtC|fNsMLu9E42zQQa=l5OLG z2IZz!=i;f-P{r2-9KurOn6uHZnI36`KN~zvydt2o2S-oO^c6^zIBy8g^l}B3xcW>B zB+L^@VAy6S6j>hDY$_{HzlJJyp4MFj?`_FGw0i9ts+c6oh@3GDNf1L+Ju>g1hJ)?d z@CW`-<8SsT!@q8y{GrC*&UmP?Rz_HJGdfhh1$vpRg(MvWc~fwtKYX=!lDYYNw#Wb|LYaNq6+`0d24RY@VCUJimm3S)#(%u9<>%C zd^AQtxPQRGxUUy~WyY)h^(o<2h00?ZB*6P{u2NKS1rKBg=ZbC^dht33L+HPo(*i$D ziVeuwvfFFQ4LdZ3OG#n19&kKd)eTc8kj@nlTK$^Y4cjgDI~miBxWcBwB-gIEVT&y% z(!jY|k?*TISH%rGtfU>DlE7AVlEn?%??7a%Yl2z5mlOc2xnXFE0d`H@Ft#?9UrD%< z{P)}g_vMmEuy>N>9xd1KW+k#Yb^zW$A;0(Nq-)m-4RENMd*^Qf{+8YXY}s1?-z>8V zW7D5(eso^Ucnh#+{I$IW{Oe2n7QETh9fQ|^(^=usg~Om(-sCVyZ&-2|R+9EjJAg;B zbb&yiSa{hE4{4AUKG#Eg+^jIpH>Y9Yz~LoH7rgGWqOu+5F9nC#RF5ux4)|lp^5*6E z`ljD+;Gw~5xl<4AakIk6svpt=!>n*|Y1MaHEJ^phS;5nyFI9f9ys3{@bG+cMCdZ4d zh)6kOx+E5Z(?)N0ADi^ya4YxF?fiDQnU~UJvma=-)5Tnzzdgf5UL*^P-0anF>eBt< z$9>tWKI=nTN=-*oczd}Is=yQxhQc#u4y#({z>(>h!+TIVO9}$xGzL>Yc$@vuBtaH4 zwO@NfwtWTDN4LBQg5_S)3dp?=#)I%#a6zOpGy%5TfIme{kFPjO`Aa@Wy^@>G9G;Ug z(j(-8T`kwuV%=kv>Uv$?p3=+A;bjS#mr~0Kg(TadkZ7)AOLD2L@blVd#Z&Sp?LM}E zrEXCpgjcz2RNniFDGN<8dm;u^%8f|l?Q}zLm03)FCe%H7d4`H#`Q588fAP09y`nx^ zpQ=BjHF|D>R}T>?K?pDNzbF0NYFi5 zel!Hb90hn=GLe7hiiyg$S9^@5a^$fEv9e%lju`jc-=~tK#{^D8cSASSRB;y_0(QbQ zIWl=ltCDUMB-4|OH2FbCXC46B9^Cx&C|?0#rsx~=0!PCG|7mVk$N`_o<3{*mD1CEg(;gT`7oAR3cjsgQF@%OFjExyewC!*O_K8;Qp7;F z7In3UbP#UIGjm%H;GA^^59w5(CH?IYC;$v~Vl+x0nZei;M_VG16j^kU$pEh-flrD| z1fP=k=c5#sa1iJT947C7BGAM6=G-?R6?k^i{>ZJD$Vj%+@%dKACgZe%hLO~IUare5 z4UZP6zB$@e=st19pDJZKvXKzBw`nMg?%7bbR1>%K6K7I)7FwVpEbX z&9S+U!a|OY@GY=K5ojT(1JcpsE>;CtP4qx(u$h)Dna}#9H^2G^KjM@FzMt@`Q^u5?WAs_a$yk%y zGVLxWujLs43$CY5O->79qzUHKyi=R_8H~=I4Pdbw3D2Dx@pfhg(z2B2Z-#^WKI}vi^Y1s zd)l9^;26x`5|0vmc!M?5^9z3#p|*Iw%e{@GO`dOhfQim$tp)xOkT*4yg6Er_U|__L zNEcEPO`x?hG0UHg2L(ldoJzuGevGhri;odj%a0K^D{7=XM(~Uu>oJ;eW6{4*CiF2Q zGMR1R2)~R1M&S$n)5(ny_)d01tKX-7a`KzSt<3v(eqT2da8Te7gh$?=Pe(pJx0Bxl zHoRlXcLQ{=DsJSUKvLaEY4}LX0hnCM54fDk@=5Ra!fQVZk%IC?g`kLAnXdmty<^}; z3&JDsf5MH%`R4miRQ_avL`neV2g|oZlX>o4-q&JN+r+mhPBgz2-xBuiZY*mvxRvh4 z4mYzK3wJwhmdsxB9GlnaIW?$G_=qhN+XUUkJSEo_yv`TBxUmbk;K;j*A3po8+@#JD z0m9^6;nEKg6yVPD-d`Z!%p-r^Z~pwJ{LT*zH&*)Hygp@pT|j-Vwy?zTPIqfgPbU0R z8{^xFb&KQrA<)002AD!fF*glJyNS$B#ITq32?zZKFFal$fQ5CxUnWk zMl;DKa(XgXJfw3JH`Z6g#05F@@pyEAwtj_+5jWNaS8VlTWWPmmth;J)(p>Pk-Qyf7 zxU^wuaWM+{Hc5bdO;WDE{*a|v6P{dJdSRU2r^$~bF&-J1FC>^Cbm-2pm- zraOa=v@6%-sGTPgo`j?@DTMrFzh=HayTj42&b$4vF*4tvE%K~VKtxL~@xJ9D%FUJ_ zog+C0j*juK@)X6boaOk5JC8IbU2XlGK_d>F%7Bpu7a>?AcJs{owRv@2z2bl!1*}0u z_64gaSp7iv0(CF&qP4Slv{(NzLWr?X<6#Ayc|lWg44Sxq$vYEoFL?(8uAL5142yl% zgy+Y0=X!whxmi)1Z%#wP`-4u%7u;n9k@hV93t#c%-7wf{Svl~bB@KW+HDGO0xe>pm z#9!W}R?Oc-xzW@{_$8zDKw7U{53EU|@#SgnTp^xVo}zhqnu%|E1mG84gRfClMaxnwN_*q*`|pwtJNEt?69?;_ewlse}` z7j>1*gb}Av|85z07s2$9z=|4z0!1p0s40TgOrUK<42n@&S4pX%nW8>ogs2)b;d$%U zlvv(XI&gr0SHKkI9DKm2V#I-kn;BczXH2v6bU*r?u_&YMDy{8jL69BdF38%l7IS<`}_j-hq!& z-Jz(bK6n@Hm-c_s!8^`3N6Ty{DPTQq5D09CY=?T4%um{sP}QTw4>b14k9pO*f9s2( zZ51WrPPt)5sLV-o0W8>dbx1fk~@EFFS; zMO?7y@7)FyoV!Ddwr!GoOl;q&}J?vluHDr*H$b!=`cmm^NOOA`C07runo z-AmFIy5x3uRCEDwbv7}^zGB`s-yRrE%np$A#2A&b=1ILA+Sef{IU(xlP)doCQ`PAh zYIll5|0SU@wXFITZn1sHidL6~TWlYmpn8V7EUg5|8NQ4qIS-;B<|?UYzPrsCz7Ok$ zOly!b%yoC|K|kS--q_upxBQs9`&VD{uYK1KpBg-Z5l?)_S#BTB>JWF=&|}fDMc7YQ znh|%m3BsMDN~bF2Y&!xR_J3 z8ygqVso9O-pjXV?ZZXZY38qq|B07SO3C?@DnhY6xW)Dc80IV?c#Yl=%nJJa7p4kG5 zg%AV9BXF0&I;J&GDofQ&4uiTzVXA72WP)y2j-gIrX8*FO+D^u_qQ?JCYjdQn9>B8( zp@ARbyE!YzfhavJLFQb+g=zD=T!+}{q#pUMCiN)zFvTKo@3}LpFP>1T!&7cZWlfv- z=3Gr`Z^5~m^fEu?GC$=5V}8n;|Kfl6`ZMOwZ}9?(haHLhoWR=Sduc))D_`UU)?{8P z=g~>-DV7amAjW;^#@|@o#hfyvHmS%VZ;cnLmFDmraK-S!KS#X_N11GSVn7tZxv* zJhdtNZ~NG9y85 zs%KMA=8jb|iPlQAU9P35oLRqA}5^rUOtmcSp~+Ct#r{Zw!u}?@z;pZoy<=#?XGGlbTue;4p$xWx6yyX&}6& zI8hDI9s9(S?l!0kaL4?gin*$`Edy!l!eiCgh>PM=UX`EYo3T%nn2x6|P`t;2;&o6m zR-*SeQPukRo*N|1h{3eFI&1l{bkk41_W4(TUx08lZ#BsGLqS7dGCRLFqVq@;QyJLS zGf+k3m4+Zt9DP2Ux}XUn9VPzU4jQm$Ubf6M0WmI3j-&WOM4;pot{i&=NGwqb@Nwk$xfzd4GP&Go_sb2MP5g4 z9IrBq18fNzn^R#=%up^2c7EaEYFT)_q}E&Ii(AxJE>~Aeu`5Jhtrz#6l}cUSpHE71 zt9o50g~5Ejd=B#d+)n%Bd^2nVndfQPvM7hxp8-p3>5t=eM9u+5RrSsi)tB_j-KAGd zvz$=wz4pD#Jh`2jC*S>Z|I%0d!YMiebX z-C+g0ERX6!Xzd@1aIhmdB>;p~m0rQASTz`{WNb{&qHV zusp$7wtuLxmOs?|cqb3_g*iBULiA}}n1fTF9O()QbMmQaB^TykkIgP^V`-8Fe+-Fu zS{D|#-t*3fFFY?TSJDy31}y2mC5;s&GvOfI{=Tn>ti2*scT1EHIzh=! z*oxM%zr~@qHmfaG6o22Bg#SpS86~A>z8qWpeV5#$jw4aYZs8N{(wb5av!k=QUR*eg zBqoz_T~kVBCV51=hTu*C``u}-F0Gkw5Bdbje}(hJ9oNjGOU`r5np@_PhC5>R?@XYo zdR~Kifrb?40#@e)5p!e)fre-JMoPOEc*xx&~M3&^1(IE*{UwoP<&Fwc&C{B5vAAFEl_7b3so*0(A3nz zK_xgrrK0dvNKay$2@_%!JKm$VdoFQc<|pGL=O+ZLci z7!ju{F4nyhn_bR5{3>Z-+F?Slro>{!>~ccP^erZ3^)6a=IS*jQmHI5s0X5?4%Vn1n zDQnElL*5RDHh^Osy11O^7S(1Wxa5Ol7nc)L{zwi1($lS51z&Tv(TS#DAS2wVtnt@DV6KB0ex^rWeevo{eP}L9}{S zpD??6V5MXKIaCi0tqRu;hGal?^^RbK5q+{-ZwK&|?CNb72NJn#u_6b)=R==VJVRZh zsv<$sL{aEpGak+U;s}r&WXe}B`3M=;Eh8S*;wtl_p_&DxMRu_0%VvM6YXbs<$h1Qy zb~F5|K8l5^D|~E&9(b{mu|JR?xuu*AVIlBX@@dHDD>gCq$N7?Cf_V;3C1(%*IsTp6 z!&pv!oq&=^!~LB>N#=sk?43HH$i_6y$N!e^{g6+Ko<~(#u4znxA*FW&5|!yPus8O} zt(-Ih9u*)p`d8~c>D5bmsrXmu=rchuLw15WrH8`2QKq9sLW4>>D=3icUm-WB>=|32 z2vm24VD_&-QlkFV7SBk3j@iH3a^$PHIOsX=4_*8#Fo3u(1Rt+nb@8vZ%MJ66n*6Ij zYj$rS4^;k1=h z@LrLKlP?#ZMKj&g1rm2VkhpLC%-#KujuM#~X79hzt>rV|#N%KY;L_la!(agPVqaN_ z%mp#48vtAw-s9fql!st=?$8UHJu5(j!~tX7tr(s=1Th~Rwu*;dtouWU=f0$=S84#j z%B}S$4jrC5E(XKtb;bSK2D*e`c%j zYFF1&+$*|Hjy76`#7%s&`;p?fRT({ngPtW1Hr?~m1rN-w;_igi8{o)$cOT)xIqv>r zn_0ku3h*3e_MCJq*sM!B8dmu%zQj2k0_U4)dzMewfPtAlFWg8(%Ck%iYgoVXt$+NP zbJDqMYt#!@zJwc4Qd)W5Y`|Pv9q$rufZhb^k$yK*p;a)48$jbSOG`m^$yC7{Zh*8} zeSS>*-S<&M2{+K_2*raYQ%s5KSIprCU;OQV3G(HNi%=jgCLforEB@o(mq(ku2TYV{FdU zdM?Pua%g6@ph@?3IY(-}5Im5EkAiChSjC~K^;|HhGB)sGyQ>zh*CZQP29&<0V`53| zlQ4GFM3%9%#7&YE*uO~zTL$Wenz%`l`R)W=27dGY?kMcv)(pA~n6ds>7eA?8QKQS) zuYAsmE`oSL@~JL))T#39cv%j9PN|H$q`q$O#=)#;y_o5W@-5neqeRkM?91sNBZd9@ z@3}gXr35z<2>mK~(u&YBs13L=g}3bf2y7k`*2N8w|Er?jJua5Z{^XWujOar}##y=K zyGO?5-LC47v4xQ_HUlL?@*E2fr7WH=gk|4XC1lgbYD_`&(zjmtNg!(h*OQ7I{+I6jy zC_#<+Vi?hko-`300dnUE5I3C#CnTRxKxSpILAPN-O9Z%(HZx$z!QtD-YdHeM^bh5l z1l_-p7kkL0rENdQ?E&ql5>u{0O5DYiIReDcUu9+h^x6vgv0{z@k&@$dU_@*Coe?gC zV2J>aaH^#K4&aZ0H0?Ou$IFT7O zf1@rgz>DwvviCgqwzpi_Kwd9!U20?`-)!w(!%`$&4;xvgAEDA)vZ9%bdPb@SfwAD_%%2HCx&Xdn^e13gOn>O8k#R-C%Ym9{ zzzZcVfG-KmGch?lHip}DKcu8Xn&kR`sRfY44u)lpvlJJ=B~i~|%NJpNj{bGYS%zI~ zY_aOP5geYneQj|}zecDZ)>=MgAAp~`uV>5X`eQ-7eDiSsFfDC=$|u8PPq9ker&X3B zti#kUg)(}M$&cyg#6HPF*>&pG!4i*IC_A-$A8JxZzV<)+)SrEAuOn}aBbY4ahmJ() zeYLasS8H;*<>I%7N&1?fsQQPF1dafR1gZKXcTBu)DdvZcJOK{~9eDyC5IPcv#vE-a z<^e{*sWIiyNk`&p)<^P{kXq*e=A2G4;|MUM5U>eKA~Z#cv#yzO1bcw}Owx&c?8jr$ zmJhrCmbZa8XqrtvsQMaeQ`J=05pH(MQWqHumUNj$XYxeIO!OF&xPw-SLj~>4ez#;wASHW_52UBOP0(V>yI#x<`;}y6OvU*Xc zer%AfUC&m3T?NjG7;F(L$?*ytSVjkRwS0G?0yjojp9`c>fg2;NFZZWa;83;hAB}4& zaEApcy2{UaO$Bc682EJU`4&Y5?%^; zj`QeKW0wltE2jcCBfCdG-SG$~Ox&1D|AlY*CqCwrKCGcYH1X~>$kXuT&)0&+3LPz? zJ|baHE$4!>D<8<7P`{&=f`++%#a#J-O1Zv7lG3DjFD6PP8T&R_BB6rwiw-N=ZP_K zJ>XD7S*xZT)=MOMD7h!BFCz|5YHan;$L8+pH^Ts+g$bT0QH4J!l=#>FuZqp{_*Hg% z;I5t*@+$Tr=0JAAN4I%8pVGJc2(CDnN%&yq^a6tV(w~EIUAWVMR6f<8^SHoanLHBx zL9{KU&+^3RTuclDP&)08j-G@vx}{hz=82S4Q$2|y~7a2O2DhX&z`L77Bq z@hEDHumwa4kXfmnx&iwoChK%7Qis_3&wwmMr#WCQ^7MQNHN~hF>=uJk4i$^92&Ql) zDgeTrdGT~HCv71ugcuFAEO#;=LJx(B^3_a^n?9HEe>)4;ZBlB8222^R%Y2A)+JwZ1 z3}eSu+k`h4SKy}Eu2b3lm!Np?g@+$_&o4*uK%LTIq|tafr@L(SOK1WKAdtbY9;yxj zYfdkkYtZU~NCQKrdZ)KU8YKB3P8fNQL1%rAG$M9E&Zm!El1l>3B4cu(V!$h)*)BUP zsKFd*>{nahw^_MdE`fK-?zRpAYZrrA!zzfb#eT&aX-st?l`b1pVy|yQDj2KDJ|yj) zu=ruJ5vfEP=%&2B6G`yqe(gYwX@?OAsD}8me1nYa710Y(aOLMbsj*diIwkvwW=ZP9 zq~6cvX3=AfaYV^Cq-GBVY=4?JVA<8V{~ODUeKNIfsimgQBgF)?RYgCEZc1T^0Pb@f z)5jrj#?NYCeB3xhFj6%RDLe}RQPnu41|AF^3aTA(SmE=7K;2*7`_Sd`cE=_)eNydc z=RCl_AgWv7UMZP?z$JaVyZ3|xml?}Tg2`UO8zh+C``XX>7k@dRwN{LQ0*taGerY9c zgCE#5<+#IWtt1p2;zqK=2xZR<2DCZGLQFY~z{ofm6efxl_fEN7+z$*gsFh=I;~cZY z7-UcY!BmG))xNJ7xEkce72O&u@|kc!f?uV>X}?6bOLvZ`W{f=?tvfbHt>S8?2B{TX z4JuIAPf|-YV;uc4Mya{t6$RB4Yx|&ITag&k8C|pSUsa7cU1WdpZkaI^JEjQrAm{eh z0V@sh`in1prgjtJE0E;UiPJ< z=I%Ob=G~&cCUWVhxt)%hzx**@^#@-Ub*1r<&u9bT^dxwOm<0^G2HcVjR< z1lQ8tQ3R!UZiCBqOJGo?*>kbxjHe>VXwO$PCT(+b2~>gsIZTSXsrWT8qztQ3{RSUQB%c1QDRkm(?}zI zbEFBSGfZ=gm#;Q3j1L+^Ek>dZ8&CPidGSh|6whZ0qgsYyU)fKIe&Nl+fNybg6#bz; zdW;@Bpa#}I7>fQdNpNS_=x$8UP}ZWfrl3DuQfrfVhL#HlspwaDe8n-c9j|N#2qMz8 z&zMbXrU&>6Sqk)R@!>$X8GOR@aA3{!1YgDTwq21HIZ2-R7|Fb`c#N=_A0uqu;$wu> z`p1ZW^7iPl#|V?jZu%Ha%o-yF=Ps415W-G#{PZ{rx(>j7rrI3qw>b!wdTt8>AG-w! zF(@AN#vw{K>73dcLf%qaL@5uhPd@+Ym{?#!L65PL$=7i@09`;e=H(>l4a#`TI$LK_ zBZSb@{PFuip!|Na9S2-*t`N?p11_&b*#gSThyUKQ5UBfeJMF)_!fTcGm)T+Y_}%rd zYffN|^UXPd_4aCAasm*j$FDg7{9yTXlrgr|HWBq>=eCJ&*GLgy2ID~*zmHdI)KGkL zjc-vVM!2=$x5Lf66lSWW%@XjpnPIK(C(w}eU0YDn1icP;joj?j>2}RoJzJ8*8hTr^oRS%MGWFBb%^r{G4S(py_iG znYl?g0Wb+`)Rju=v1f+$tm}FMaC=Sp%7bHvj-)Gc1bqu^Ng_M>6ZY6nC6Qao6-Yxt zYv%D$C5hbFpLASqJ;{T3du+E`uCj^RQf_*_mEB0^Y%z zDRjp5>^WJ3IS_QYm7|}u?V64lmS`h_ZKJXcYl;9?cIxT|k#&$y^HU*r!oJ};wf`4M z>r0#N?ep2Da%_5)QI3_cTfZf&v}~4mM{M6nBt?9_WsLk@R^IoEMX!j{In)jq-F&+Y z_497grCgxgDRXYoIqVzn`m}%HXTRwTaM)#tGkU>bereGyTtKe|{0_-IT!uJ5XT2Ol zFF_rFrG0;T$QHc<-6sPKlCSYn^`eLOVl@*tF8#k!q&^kTl~SLQ+s&zK1ZtN) zlt=(UXes7gU2wZPa(F%Hc+s$@kxU3O=jwuuPY@M5tAvFhT{IU= zWFFFejz}g1d8b_Pup~7#gN`+_kli`*7P}wR`$5@fkRuj~=1Xk;Y6Hcg` zT`)0pNWWt_$AsV_hY2f$Jjo3h7rT>82rfu2C3u7+eV1O6(Uu<_d0xbV5|<$|?Ip|I zIc~Il;sC<`%1&}JF6*+Zr7|5&f1yujgSfa${!i8MI679o8`&fAsC&7|K+Ax4wWCqYXF9>3-|zf*6iQ&IPRC zuEj(`MX{LM2=$x`SUcop1V95ZBZUjWWcGl#*pg-reHEMIV8vX(+M&iKRBxc3CnSee zVl$n!3j*B|YaE(g5PPGE55el_`as7)VF(E+w$a#rD5&~G$IaRZ>0-%Jz-l8M*$t+I zBT16Vw5{ng9eKWkQdcf79ydFZEwK*)?QPwamo5l`QdAOq)QaUvwW}KMZX8|eNIl+G zM>DE&bX9Cy?@&EDN4d_C2~|w#gqc`Gmy8V9(edmo#Ch@{L|davR4 zrf**XZth2Ie(kxR3pOV8oq9JHy;7W7k32latM;B@cBHJxPH5whlC8M8?l>Qyt9pO0 zxVi2)qb|Paow)4ghU2_kQ<)TBGrPHLXcbo+=sB`H6v6D~f(y>P!VNjTv*3-@lf2cc zOTszS?c0Hy%~36LWK^lm_Wv$}tqTgQ?+laeq;SMqH)m2za;vuA$0pja&JU$6?zt4u zpI)--dAI12ZhtcA_7DH0pZL|k8wGTAZTl#Mk${k0)5Gr__w47+hSBZ8l!S8k3>Y28 z7eY{Abc3;jISK)lA)2~Ha5%;yc`Iy;Qw~|MIt{$`45Qo1czq_EOREvL03n?B_@n{`1GkMFPIxDQ9&C3;I1$I-iKIS)kx=1M zM8Cx%I&$JE-GJBtzphWTVAZ^C0%Hg0`uTRrck6|M=H@^KYNQ z=I9(NC)pe)9Dww?Kf{@yQvA6^HpdBMezxl{sMmW6#ja0z3dOD?1BebR*kBEIOTMz$ z^}`xNWw{F+r0c5WWq%p)d(*M9%&Ef$-GT$Cd76Aovk$%bBi)_?F}Z~W271B~aqkDDVXnu%sdzkZ*1&N*)|?x68ZKkQazI59gN{iGK_+Zblq+v(J}M)sakYKvdS&<;n>jbsci z<6LgBmF}*g9T%g1cSik^+h%*lN;$6+KU+yo#-t>W!*Yd6dq1#y^5)D?tUCnv)z z#AuH^yWnilPd6xO9*TNjuC=T*)>(qZe*-TK)c$FdTbyJ!d_Zj+sfxN%4%sdv$ zOvjRf%~uw-{=fgTzkl;%eE{Z#ST&;nKq!wiS@QR$g6*q{v#8tL}Fa#7~kc|do z%Ob)?WK4Jkn~CF~ga|vBD7J8L921CTY%n1ZOh5*LL6}z@p?u$Z{A>Mx)!9}1-hEEr zZWpNM?7Dl^Usb!R*7IB6iU14*I;XfyBLKt2oT4&~01Ov%lF5_+lk!6zHy{g=lf>}N z=CV@&MzH4|ka}1Yq*mGUT>U^P0OL=2)RHY5v)kW11z^TE-7lnPVUZ4`xn3|K|n|6_B`D6 zQHHzT1!pQaNY4kJneLc@XOA!NY}zfFot>L;cHa1gumA9miJY_EjGnWDo>HVB$q@iV zd1F(uv%}s~{f*!roAAGU753NOVJ92JgRsH zoF`lhR;F~>F*z*`hcTGhBM2WRTT*n?BG*8{t<3zb4xJ4tzt$Cp`uQV|0R`4|Xba|& z7#P-`WXfZJZh60NN$KM(Qyzov26<@Z7s99N!Y`Dam|h+h6Hf8`pBhfj_1c!$R zA<7$XU~uIjnNwzZpfo&#$u9^dze{{L(qv~-s7$i~Bq6PcQzx*v(QbGIG2#WZCWf@t zfAahOnG`qaS*ITrq)4bSiaKElBm~f`=m#dm@P3 zc3YRCdUQcd%Ro0PSA;%o3y~Er7Y(=U%t7}MLczN4epHpICOL(76>Xtn!#y&MI#JQb zjwNb9iLDo_Owg}1lbI!o4`E`7Li2b{D}_hDnkb;;$uR<;?KW@53X-e(ocXC3hF-H& z$<)4d!ei6YalUz?0(w)=n&_YnS<$>IKTkBzWA-`fCTA-#d)`O1Oizi;iPhUntWLW{ zts$64OI>EP)F*!1&waw`^I*W@B2MctAH; zEvf`7V~VIm%C!hOmJxfI$X$ zRSmEadyws83mApcM$8ryZ)Da$y#xH6Feoi}1W`scai*nhl2#P|Pvj0VBRG-EBm@)^pXu8hCzqLR=WILgzwqt_ovPRO zu>bb+UL3QXWke6G5+RMqSeeITcq0$VCKEs8u#w{nlDVnr=U&D6Niko(L$R?r z$I5nUoX$XWYon#(=cxkGi_A|Nwa3M1Rv*MtUK!Z(ngIwZli3{XU;0xU^$X9wg;73@ zv>dYKQ|x{0N9n}lFkqF%3MV5aMmHHLX@$*3wzaAVdvDdMHYGh;Rg}b&Rb{n0oiJ*E z*(-o$tfFohVZ@nvf3dR>bz_ITzZ9;MRc68{S!GNG+{{ty{THw9$vg+7-C+{unML!= zqN^`_=d8A+xW{ z@`m72yE2ZeL#J?;5ab(O1a)Q++<5RGDB2|inF20?%IAuF*m`Znxe#Q9%msJL75Q8V zFmhB(2r_DQLF>r`dNT5}6QMy)Owa3C~YYUwEiX?cqvnl}}`vIm4#9Fq_f%j=Tp zKjrK|R_9hnbzzbu6>5=O9axlvzzufDlzjpJb!eg!X|hG;#V} zSnDvb4+cRlW~Z-gN0+(k`j$IvX?&VugQv*UEB2{b@k1Bb?pz|fe2FYY&*@!8^gK@~ zy8p`S(T1BY8YvFUndh59xqQaEe(hiU^*226#GR*~5}#aH``R)t_ygHngJ#6_njQI7 zteeZYC;K3C8TVu#WG>?#)=R==$*axb_jH@!e#;0cuYKmDFJf7freKs{Gsil0G^Lpy-kaJaNl z;`Wt=AufpSL3D7lJ#f3GON_TZ1gXMYa0`+$QHn9!Aq1(yTrgS~y8~v4)++{34=Ib) znm|2d;XrTJ9y)+}%zPX;(E{hVSsABl9GWW353QjBRs_6+h`#D#sKSci9w-ow1RFSwolP5Ns?iROJa%ZahI!AsVVLNYY|1i6D_=H?pSn#iup%{Q;aukG@}X2uHJKb%W4oF4av$VkC`E zKj*0WRy7-KY zihmOO3zjGCkBFwq(qj5Voh6lmdD$OX)hg-9_qSv@!B(4Ie1uE*b*Z^l=nf`ZZM{iY z&=DH$+<|WP28}3brWYRpjd*T%A8o+*|3|;^^*n4?8-Y5ZvtyZ5M)kD9VaJFJMLVRAV}{BK<;8!dF{yzsCnzaV0aX`RnUf zI5ZyE;+4;zvkL# z29jjHK_EIo_D#-nPtLLW+VdSkuN!|Qo+lEJtz^DMU_4=`AM=kZBQi-x&-V!btSkqI z?GnLBKKf(}B-&cldg4h}8*q_yovuAKqEP8qsTbdqdHxrgvZ-aw?P;${dzwA}=USQ> z_Hui$mua_XPTbv0;_e%N|1;nFy%F_l`0O5!C&i-wVvG{)6w{fw!9LF8R4R}b)en6# z6)29euXu4vw39hQT2ntqM03g?%AmhZ1y+eWdIcJxu9n*tNu!`ZyBv6W9N&Vtb9wAF zsAy)!BwzcKXq6|S4#f4rtbT9(CBr4aCXQ^w)aL7S^dav-k2f6DPFXpQW}&S62-aqj z3xO^EMo_9LvXsy*M~01)0T}CffEj7TNTDPEGxS|im1Yt5&QtJO>QGX$^d*$kVp`fC z(9{aX3xRrnd9`DIf!(J45n7)U3b&|UI>RNDy^CK9fpIDX@@so%C6q1S-Z+f*=ChYi z{N~&L@j5^hdYP>r-yC6nO_A7q-E<^&a6i|9|cngJgfu#peNRL557W<8Ga zk%UGFe6g7^H5wK1dR8N-@M52$PWZz)+=f1huCwjqj;Ygf12SGOz1iksm5B&4r3gfBiX*Zr;3Bvrr zouqv+{BWyC{ip=dcosqB6K0LDPfAMT>4N*+fn;A0l*AovVys9{$puTf3X_dcHLC?_ zJd5CZ33Ck#hVJ*?InsE#AkZ=zUcltp7|A#^ji(EOGmV^Jr27PFqd7E7v;YDBT2iFazp+i|4(~ z;{H`M8d#6P)w}J>m+n7eE5fII`~Um&M};GRx-Bvx-in}Gpx$&Ni+Iuat?VnS{}#aw zyWpfAC$JfG3x*&a0T%=$Q7z43y&=S<5~L&Gf+V#P`;MwE(I}N59RU{vqn5E-5i8gT zQkl5m7GsnUz_T6T2uMu5A{~Ju=)lM$2*p}KIsz_;TDQWFP%l1e1BQJyK2xz+J$_J& z)XxOQcbCora^?JA*xvY*zvt)pCO+wz4>MWLG4x#;_l%%nqV62yKBas9eB)>j>4@g- zfl_AUczeci+AW%+TQ2+yi|Aul!po7Zq;Kqt5VF4qCzBUfn_9wbBY;! zEE?KDj7*kQ=2+?pUI^1ZJs$cr#y@ur5J_8g@#hpXz%gZdQtx7NiaB-hgA=?t$DCpY zY?v*Ah<$M}3XY1au1bZNY@$ryfeTKODNPtG5&jOCQ%$f}-XzCGJjM4t ziOaXfji}X+{R2Pv>xp7mJK;QP@g7|?18^uh%DXF&YKhXbuN<|yWv~O9L!dUL_J^FB zQ4EJ5-+W*3pbgYWt8Br-)vQRx?1INVsWV{{5IPuReyqr-#RV~#0j2<22lL}ewh6@? zg1mz+h?#C^hvvmVL$`;fVs=5K4Q;gR9-#YXMJnbZ2%=A1HR2$o8rwrFYSn%p;QUF! z3`qd~k?5>WQcS*lakd$4GNP4lI4zEsdB9aJLf}E4BMl9Q|fWr@``E zEk@u2K0V62<7_r+iIpJht3y*g`k~>DviXdH&Vdo<7}Xt6o1;%koHw962IKyuA7;q{WKy2D`;d#XoBVlcTTv*Ij8J^J-*kDa!FLF3YI zO{Y1k9!ri!Rg^*1b;I-_xS+z@t97F4$;<;as2f!b1sMDAa!L#7p@tY58-+W#@{o)k zWO90GR*#Q^dbDTxT=h7omu@e;H0>6(CT1otz6^Qs_ka9*zv5#bJ>f0HQ21W$Lr(M;PZQ_d@^tqblL9We zKiU1pwxBeu0})fSNM`89PH*+;mU64dwnl`sAWzk93% zb+TZTOHhYX1*59QajFiKOHe1vJJc9ni8)!_Nx5pwH6WP?f@DxCH$4eDOwCu^A}L^k z8>1ibI*d+^b-_LQ&9w-GWNfdrBQQEE0YvwQt zRBvuw0oo61j;`R?qsO^+>`4);%z`St`g2l1-pXECcV*t|6J3r1Vv1FH@Fwl|DyROBAXISB=5csn@9eRynKK zC6V-cI!1hD8;UL%QvdO9!-fD)REg-C88*r|atb2fbo&x^$4BSZx_zbUo-px15S&9? zwU%)JX@7J(S3~h2Q1=9IJJq@PipM5UhzV1Vh%RXWM098vU_r%+ua+!2oDV9rm+V|K zF=u^mFY7z)7PY2!&iY;^>wEv_zvFA3j)+cE@NIF{VDH2Xi{Vc7zqda_gXo3AqX71a zG}$|l-o8SPmiEvEOBHu$jyBDq3+_53ASLU&-x%UPR-`p_!ELGH4$RTc#aR%yDWl{O z6AfTCtBc9SSzM}CJ&&MCQ|w9{n##%#&C9i-G`?!hQ8z#>XU%(=%ze{euV#FxJ%DC4 zjI4ZWeSqfcbd;eYt{w_GT>Fqqzmr$KI$6xkM!y}LCzK({hD+mE>Wc$KBPPd&(L{3n zG(9GEsH|j5F(O7d9Z)*f(*d=EJz#>3(*dh{p3{Nz-KXkT3vbSWTx8h7*RqJ{8R03 zByWhF%#$E2gH8Bfujw-NANkwQzb0JA_$zn3q# zv3imQ4<*w681}bip7o+cJ|}ub9hwG1V35nYPSD<5*T?EJPOq&PlHy zESL9oPGYu0Ul?>%bup5@@`kKJVuoN?v*B?uqP$EnNX#&kt^Ro)%7&)!rwOv;n;haa zHZy<4&&}6q;hDtjmItlgWCQl&MPfEJzls+J3sPA$CTDds6kd*Cwo&R zwDtEKlUAfW#)(#1eF=q-mDc4k2`3Q*S!taF5M-rw8b6RR35QGJ2a@`+fS_puP*1;w z4>U@w#04e%cmU$1vT-$BNt&V=r^v*~P`VEr$cdwQ`qg>dxQbdSA%G+@OX3JRPP$?B zT|~7Azb;DOUM+$!!l&~CglS5sM?rD(I_|Ij@aKQer;oKzMV1~}o;D+p6Bm)CM+Z=w zxTw-fr<$^=v{FgF-+Bub+TKZcz$^^L|5iB*#a)#&$mYm$Ia4b#A1Wm`kOHEPbIHh) zpWp7J3MumBx}64eh|UNFHL2aHXgT{3*^kgb@o9?Ynj#|yo1Ph;72E|C++C_L4zH7J zh8WZO3_6q_RPSOp_MK;X*Lf ztjMuY=MSoIAqL>G{9Fs>Z~@EM0Nf3LYK>sdacs)~+zsG_jbP4k!~on4XFk@4&f!8C zfQylstRhv(kQ^?Q`L|ot`P)N_DfJ&RhGQTX+#b7vFz*176KXvi+%Rgkh*xNQO`_dY zQrH{n(-c3^C2d+L3FT2ht1f6#E=FwXum#tD4K|!?P}Okere*t=*JkI^T=G7z_;Rx3 zjmRav?TB3P>+T|QX*M}fWcLtWfV}|MDDLOp{oZH41p0mU0;YG#T)dHK+AW$Z9n){o zYErM+YRRPGw|(vNZ~2)>8miaa;xxbpLX!lr1n^%<*<|iDOi~q4V`z%tG>&9xZ(`6Y zpa!-akO3e+fNQS{>^iO`LQv-;@5hp45Gao=NjIr8N_MJw?=~s?{9tL&;8kZqhU`61*TyS zFin-etP0N^Yw#d}F$kJ*3v^f;0OYU-I!R|g9k&>EFFL~3fC^2H5c({aWW7V5B^GQC zU4=bBJ87RK24l2=R1SNNm~8YMrV!{!v?1yhKRSB+#&Fy3B*aSko6p1b#|B^dwsFa7vG{KSBO>&<8rjKDw} zO*dGkTPv0mjDfZqNOomQi=-I)MkQsrY|UM^v;l?Kta^gk(gqY_yQYyxAP}}YTiToi z?OjZ^v<0|;K49zXjTN({Ex-lD13+Wfw!5;lfn4ZtzO5v2qjrQR1GxYu+BUITaU>u( zJDQyzNLuE*QwY8B0q#q4p858mN5}}h;pw!AV$B#Cup|h*J0QraHAj61bs4S+f%MA& zTj=)qFie#@mzqKMGGv);WMqc3F3DF{mz;Z*qoOv*nJ4=44bM@LyzvnR$$K4}e0x(= zB(U)Fi<0&Vo*Na-KEv!Y{Hsxv{M`TWn(7L);plwA*DPkp%2N#zgcwdrT#fOzl#hdQm83IiKZqHm8T42+qEU`W*AR_ zD8?pV(PB&I=-gl?{=nTC!IrRCDS#5KTMEpi?E3;)3IkhG(G<#ej#WgL4S5D zNK5NQH3RXo0JcWvyk3!(wg{r=rd_>T$y!%sx?K3<*V=qco{DBgTH3N=hr!xXA>>Ik zg0!?Qhy=V1x7=ffKqFWc{>U?d--lQ8(2NseqG+8oQtao!_1|kCZP>N4dp3o-%W!jm z8up>UwOMp<zX=z^H~MJ8YdFJSm>1nDEVAf`QX#w7&7jH`&iSdl)03z9=YKs?8t zkfm3LrjOu)D3g*h7^p=g?G&{bE7C_Of;wIk_798?>hOdhqZ}6`r7%{6NnLKNVCaIA z4W-zVVvzVj&EECHOu_<{eY4@x-8~)=5&I`^85p8yXciq@c}OPy&CH<%A*Ee8nZxDc zm{VYa&`BvU@qkh}iS%|1o1ORv^|sR}L~$`AN7hL_t=( zMJp+2Ems?)#W_@0wrfyhg`!0Lu;MKm0X1rAI!;FtgoU>VKNdJ`&o7_Z^Lehhn;MEt zTYd;s_|JcB_u#7o>Hx4G{8|r1bPHL7HK!`V%|8@3-@bA+W6am^b#?G4*uUC3|Z! zP;|-Tas`S#Uil8KZ0*s-3iy45wU8?Ubtzf->hlyc;F3DmgI9FmEYDi9xQ> z;S#iWjieX?|KV{Z`on`suRhQ7^t&kF2dQ)0b-aG@NJB1kiS6k=ZWV&!w+~aK!E>(C z`;eA@xY|1`6yJmah)-q;T=fs+r5eDu0=SbcP(ts}t?Pz*6uS02>QNbl8@7b3d2j?$ z{e{m`GCtZ_RkW{88BhB^PJHKgNyLg*{p<#Bdmqm=1~Zo8_OKMwZc%GcXA-;1kl6j| zQy==4&rD8<;3nJH2S9#c0O6EiB1Uh3y_h}OZG}h%?E_+X(7~X!4i6X1DKSA%e`_fS z$vs-qDx}G=Paq$bVD45^rfa|-%CXOG&zv74l@*e-jbM&_?9kASyS)*-#yRHLXSd!1 z55j1wRCru))HaqV=fSgu{v!g3D1Uf!f;&n2#T7= zLZT;kzjt_SB+HE$+gfG(<`_k>OM>hfX=8aXFsi0`FeHEMl3N+tNy$Pckk(A0`+^Z0 zt_Y9Dip9P9@fCckuZeJm{yd#FX4|vm^oQuGdWf*qK15fOA0kM$4-x;#9wIC~5LV+K zZ}1^{XX8YbxLPJt)?IfqAeAaFJ8|4j5xEqC!l!G9i8ta!WuK6*X%ukq~Hi$j9Gw3%iz4C#%8y`OO^KDEH+g}0|NE__4RLXzRSG- zcCo42G$By$-}}MxGF{=1>bBxUmzC|)~X?Qh~d z%2FG-558HYBA&bd{PP0#DGA!h*c0XBZ_k|~=;)nm#Nq%aT4$;&y$l@mWi7Ky&v-6m|&1rVh%}Lc%)0=b;mMD6D3zmHF&kBExGz2 z%>f9h=FPkTqD;~pz>wA@S0AN0)K5~CTu(QY^|+1=b9;yjpzG%P)u2oOHOoq{FnD_?&dO89teR`0qUX-S2(l z6HnlMV?uePxvp7X;@E0YVT2l1Pi0AxPcgf?L*b z8C~vHOC!V&K_(qsaKFW%HCb`J?;V;Rf(wGs0d-bJj5tY6w8x6nBrb^5zH&w2(3};6 zWM&A`LvTS*=&`KRp%An*iv19zm*|4K|i6kHw|09PxDhfpLnj{!+!MzqT$mJ|=c zB{9Q|quBr*1MXI#R!K7792R!)n?n+dD}X9V(nIhy!DPXCw_8Ydy$ecne{jQ>qyv(p zqr;}XqI`c2NFzvX2d$~bewU7(Z_pl(hF%fk@7{UlJA`@VWGLtFx#ujQ`uD7F5kbj3 zPu~7kGT$RSz{<}9ev97YA!gTqrQLA@M6?_oY$45>u$b|C8a5jI%`5z1mE_reRPl9? zP*9)entoUPGNae*Up#M+A#C#1Ro71$=obSC22Vdc7^>UrUfuv3xvi_6XTEG)ZMm8U z`{xI9T-WBWJj(IEF#Jt522RL`)6$NMh1XO?p5j4S+X5&5dwR7RpF%}Rd811j~^^Y&g#>-m-X~ho1_vD4CB|ui{=F6 z%_jDhm+Rrke6QnQo!zd-0Ow}vE_~iW&v?ClkvYGP%vrZ$~EBJ(A4D)*S z_&~0l|7#ZQ9kiUukYJHcRVKI2{ulLuna1zDT#U9$6sJR34&6!{jh~uj$%Ul17%q|I zaolK6&4t*5JfiV?+`1E%rN7Upc3dAFH8jS}AMD||_642(n#nq@X z^ffVQ7iyCQ(={i`R@W_AYTNNi;IRShKXp_;?+Hg7@XCB4wn$Kzjg@@O!J&+!Djf|W#DgL@l!wdRe$MsluYz>wdxL8 zMx;XkWa>4_O5Ve2oJfTlBQR#TY7`OWU3vkw1yj9bs*`=hr)Rk0=`cp%0#=I^=>_3;L@&Ts+<{tH5~4_L_vnr31-M|45u<~-z3RGF zUD$}bb#MMlQ>*s8?Gm^tvF&l)RjnGCdL-0gu|a;=`_0wgwJS$ZX%xrcFz%gazCFQl zjAm=i8vD^FdI9$yEY&!xHNNM_c;B=+zQU??w-B^>?c0hWZmOQJBe(^3k+BRxqLU0f2=m)ZP+Bn5(q_SMw{tUl@s zyt{PFMY8uwYJJ@#$;~bGCiIl_Xa%%Iz}H-_I1+)p0s`4(dRo82-9)Q$I#2xcxSKpW zid5~j=X->SNY;nH1)X>$IqgLQb}_$b*t8ZDdCsxv1e;oovI3O4;k)uZ2r8^fDI*|0LhUkO zg`mQ!tq}tC@kJSw<4dI_E~i5!BzJXo>qS>pR=TFL(shfj0zn=ZT?Kf7Ky`fCP6ymA zx?GCsHjAzTL3VuE((fnRY5&8b+o#{Z+b+85`n%@(WjpPU^Ue3a+b_DR6xC5B=HrWs zEWaOqFzAA^sgGAlGU=~XHu3G2#myDUpW8ck@$Gh1HlKcKoAlqEyVxqiz*KV6g}Euu zaanmQx5YQBgi?V@zGg6$pZx3pW~6kE`d;_i%mHF2abY4f9;LQeL{?VU4K}k_SSw zV_S#d1MGr3d@Z()x4P~*8MPrL)1hurwjHn>;?C$dW-_u96wZ!(%3qab8yQ{8QZu%3 z`z+6MlX|7iF3_az>I?8eDjk2I$<+m@rKilB%}OJkKoi<(BME|6A%p(ofA@d={%=X; zL`mSb8FbXZ2o$0{A^0jMR81#Y-gmcW_L_poCAVp8(McwVb{-T5p_BIeHHhcy6>|oC z59}5&HCVA*TBK{q7C5Y;lYB*Bw*-81076Uy!w{@8=-SV&sdiIdx&~Gho#Yp@M#npq z7cRyiX|W=$rEQ_~2d7^JI~z+Hf?7_rCBf~1);lbTA)+>jAW6z&XOb|z>{5r)Mhw#a zKvf3a>Zsz}vG7>u+N%t@R$L*8OnzIos50mWgmBQ?aDaq&pjorJKTz@dBOwH-@AxAs zZc(izvL4XgDp1^eckWvqu3{cF*RH&&Z|@-AMG(*^ec4p$NA5RwFW>&=g!|0h&*7gb zN|BH>d8rzr7Pqt=&?Y{ydy8Z`0etJ$67CahftEY<6(6w$L*>p-d+(3`xnGEhW(ve{ zW$h@$fZQTM*1}m6f(z~-^cGd?ZUs_wQ5?1g6d{$huV`JlNUZ=>(h5=;xZn|qHYhCu zN`WV7R;02nf}m7aMCTffJdGfgwF?$V2Z5*UioDw{2vQ~15sCZ+ZBnx$m9-19z$)G_ zdOoeq$G*Zu4 z_47$Z8)!iQ&>W$ag4svMNuAfP2bu0lH4=3`^$+6EH2Z#zQH@-lravwnA3$nar%kL# zv+pZL;SkIrZ8=afnszZ!Hyjv^TGuz%*Um;AHN3^dmJez)y?{|8hx9u)v0k@mB9CHq z?L&kp@(-mPSO+#de*UjnR5tVX<$Q+(i*zam4g@drDAV(7y;i`(*77ASYy$JJlzCW+ z;qd163Jf-V-@+L&>M5sF=Gm;4cT~)m7?^s)IXgrY|Lh-m`pZ8mY%LI^@VvdfLbpI8 z47w0a`0dI5%hHQ|g&2~raS=qvpyGcSmNtU4wJwO5l%*Hs8j(&@L`1Aer`rWlD6xDS zr-nBx($>15p)mx4%X!?@IWoj{LF3p&)u;1;C2?rl+9HS}BgBT_2B@QEMcP^y+^r8< z9y%ySCms4c3#t~b-_}TLrQcZ)Tgv}5qG~7+ur{`AioLs(jGkRR|HQ*ouj>0>L{9LW z@$;2pyS7B6G?Jt(2;+pw&|=<5N#}ofh)ntKSOX2l4e;ES$8$yaWN_X%&S4&QG9}q=-9mEs2Noo zm@>d>kS;q(OxLWiaeCaT1kO{etsZ2uC7pxN3kIRLJxOd%>E3oqH<1I*VdgD{nICxK zzkkoq1{6>&K+lp+pUEk(5)!%*T%IjOuH?d!A|Qjwh2XkF8)+?AS<BTI-E$6{l-G!5X_czyE&4U2$mEU@RTJ5ij+)H2wY*LYqO{Hincy1>4|ZmwIw|@ z4>VPBVVy59y;Cig5gk@g_H*HgYE7-;Y8x49=qx;XL?4+w#i~w`(N$~9>oMy<`gd;Q zzkD12`7x}$9OuTcQ?V=4mT%)PCf45c2S4urc{+fGy3oyQJSaSCAR16)S>vIIb-g01 zG@fc@!UFr_inGQ8fDaw|RAk7kwP8z+9l+Q|mH-H%w;!O8>tlygIJ5EunPcvaxE~`bUsU(r7`mX_WtBxAV0+#(E z3piQnIfa=Xa+g)EQ<&+2@l`2~<<;Yu@k#d>R;R93{V!62ZH8|~t}rE#Qj*#M7db$_ z%qc_3S2m>tflUE>;n|~Srs=yLHs$8k>6d)y%l^O*L@Gw>;VmWr7&3Bzu;-a@YhuWv zjGi$8m>taQ1q4?lWvT@;CIFp=Bv=$oKo4agcfjiHC&vx*-gpHcbe`&}7uRI9UUD$Inw?0)T&KuMH(R+oJw`G#PDYSsX~TcfyTr zB&kmQ!r=$A*&A!(p;m;qAAK~vQ;q5s1-H zziAIHVUkN?N-CS>pqQar)dNUt=DTy)f}YcIXGR5)B=hY-VM`;#1A5IAVd;{izJl87 zOt9cNDOy-H(={$JM>6`oqbkUtVE^(O=m+p<%Kr*P9abzXC=5K{@(FjKgC){H&(`G6 zb2oBSC4AZEM^*Ohp6dlnjjE1Ux=OWJll_rkA#1CM9(rF< ztod3tb>UlOT6BHne`f&L+in5?mKyp~+>OXa!Z43)CMXrAcRXyrDV=3HV`TXuo%Pf^ zKI~V&KQckeG~kJK=hwhX%Pb4abRb%l+^rKF)T|;gB^K$6R#03LV-g0MEEc8;}8^&(O1+-ZN$K?tvDHi;xQIN6Pr7W?(~Lg+dBA7aEm5; zN$?!D2eJ%QhZc{~1>r|S3$i$gTzn%anIONK1JtSdf$(azj2S1X9;2-Zw-#v~dW`6y z*E(jBTEHzP@QuY=z>`w z)*Z@J51|iFud;M}mT%GVsRLEc)ui^=kEps{PJPY+-Cho8+AV6W(VPRiOb+OO{@6eB zyMJpe2ebwIs@PwQ$1v7KPYKkVJcUr~0Jw}sAt9KP413{vfse4qT(CB^BWl37X)xT3 z2pw?pAQhsGp|N7l0g*OHypHV>CF3T&QssaSWYCqI2|0J_)K1O;;dmhLg;70h1w~XP zi*gPK6&>Y?J)kAEmxUq8oSicM9!+wH>n3yZpipCdEpP(b>#lM@Ha3LWqNUZ-;un%q zxgUMst!euuTV%mxlFYXUff{*<*)~CD&iXf!T)wY~5djDe`6KQ2Ms=Ll%r^)V?~qBO zk8(h%uwApdL*POcoEI25fmz?3PnegF&7iX&4%% zM>&_fP4}_^WwxQ1fW~QRHhI#if;E7dYT9U&JtC!}dTlVxL^Fm>=Tv~yU`PIop%C28 z)OW-8JQvxy9VH_jrJDA~IFTxUHZ~wl=rGd$D9cwBIM9^V$CngD6!|65P&W1F@e0;m zY*HVbUF5fy<6MViLgYvb>567#`PP^+9rgBi{a=6TtA1M{HV<4*z;d9+wBG_WK4?Ku zy`X|iOGnuj@RE|jfgsGgQgwwOHH`}*aieoSKpJk@<`Coq;ezPjpisPd2?vzw>x0AtH)o&z*phzBQeRxGH&UoS5k*+A;Aka0D3ktR$?4#`slBBq= ziN+ds#NgVoM^7@}BIFCzDg(*-Uck=4)VRex@tNnu^PAlBDl}OhG}^Jgj#@H@ zCcy5JP>*2*tLe>}l5Di#4NGBe1||2Xj<=He?x2ZMIa(2t+2G@Jo>gdqE7s5iBs?w5 zpbkw+f5mo-A0eOTB>gS=)s=VJFpYgly3knt$~!Ccz(e7K2si(u(nDc`+Kv5H0ybam z4N4q~SoqMUJVRM4n_cKG;K@$o*?R1BP~Nx7PAAF5IN`Iy51|=I`@=e&V{F8ciD(AV zQ&!dtre0Tcq5tel-@1G*@<^)ny_)SFRnUcEoRPk}_Ej4`?6xHLWcWaU3t!z3tiuPA zMAJ22?NKtW1*`A@3qln!d^q%+&g`8Q0@9dT5{J&=!*;)>%AyO8ri$j!**8V)Mh7Sn zO4WMs*)`pDYZlJ1;u^D6niZ??p%8ZNF>|*)c4{)iBUk7`2M(TEE+ARxLZL3(-#oQk zAlxZrr3eD+@L^Q3s-kKTLaL*}GZwuRX_%pw3ti{oh*xyqTPef4LLJD*%uN@sTe{Gj z_l-aH9slP~rk-A{=)1agOb|S%>u15y`*J~~%sHuvP#?^z62)U*K`&F?x?pO_z>tkb zP||nal7U&Q(97tw=+F+*2vXhpiU13sP%nZ62T_5?p{Z_*AX+Vi5o+6U(pxP^b?bsh zkY|V%g&G^7X_N+Kf`^3OsycMtl5xbaD=KE#1WpF4CFvXc!IAFLgYTAy2Cp@$8maJ# z<|`jmH}u4s+^p!%D?iD*ZvPB zPE$J0J-v@ZPp>`5Hv|((#@-| zj8uA#Cd_zCMy!<}m7WV)es2oi(gw(l;w@3M6E|-ZZ&@bIA#;fEyE?}TZ&^moAzjJp zW-TcxQ2&9-tT|9Q^wyLVs7c1KIp9-j4CknNRIOyH@v2dg`ei>CDgWLK+6av#pQx7d zf6dpjX&Nyr(+hDE#L>ppZ4Ybw8{rHa@Ti~hK(t^WP_)>Ut&yOUX`Dl12>zE!Sm#Bc@^&B+0Fn1$(RpZi`Owpn$+iCxnf-n~Usmpc2*I;<=WQ%9o|-dj2yx@ZB2z>fvWjM?0UE~N?;1*+Oq)M|P7gnm18 z>9g;@_xMtCPxDCHh-&T`xJ=K$8@~E?e%n`%Mx`i=3ibn`RL_)D<_;DDiG4Kp0YR>W zqIP?&_~51_+6C8w8%(A|XGFQ+5km}NKp7B|^}Du<+1%MUTd1HT3eAmRHg^Z0HMJFB zy=JYqI&?O7ptS?B9c=e;&#AVxpa65sfbOBEBz!$$DsdY*i(d1qF_tH>$=Ib{vg!id zuD4oCLAioh{B)L+ZLONdh&6RPO0(JW_H1io?nHJlA*ZW&6~ea8;J14yv+L$|pcXr) z;Vz$sOD)kUw-J;M)~T+7`XJ})r+sZcy<3>2=~NOp^u_=J6w|_bH#A* ziZT)|7MbBVl7b~1#g4`Ic^$-{|I23`{?I>;`j{5lygugT>3s*gL|codjI9DP?JEOd zx!?{x1QM)n*X$RsE8ZCqa1vusAp-VGZ9E4epjVcsQT9W_b^#=-h=3kHWJUzUm_;4^ zR8{3A&~Pw9nX-uDdsa=y~0-(;pbvq?L+28)MEnsWFKP0V`IE~#=V@;kYJHcHGY4){4dH~ z^TdqvK(GSPO93wZ<`UpOUSk`lVxH_>yGHju_wM&T`z5BLzv$(cixfN54x69)k(VOu z(5jkiIIFQG1VD$#zp1YC=PQDxL#^9`nU(LlePv;X3nm1>(qQBvIE(;T?+xu9g4A%n zB0PJr)DZ!IKxG;NUdib;Ngl z-Gmp@Zqc0cyP0u(pZ@p%#QUCoQ)v&^<}5e9)~fa7NeWoW`B`I_byOqqwp;c(;v`$( z^dCEt$s?7AEE!p~V5RL~u+mcEazUi}kfFnhfLS0*RtZYZuN?YSp;fw~>ISX%tU*e*WFtAroe-}dtMB!()%d4jbhV$cwe+R4da!q-_Pr}_>#L53 z?`2#5hdZ8%$v{#piEe$I7VMOi8;bNb^VijDB-K2-YYRNWpyuS8xx~}jN=mBR)XzFg&^0mv#MeBc1wmdGj{P z(__Ebp)F07!(nADU1`mHk1+6>1rw}^mabMOuB95`ce8L39@f3C+I7B1XeZWR{?v{(L3MD!sG1K|WcI=NItU8@; z(LqTSG(xvqbf~(;@7M9o&dcF^GoM7aS#%Zrq2tR~?~o6;#rbBqN4H;W@qHW+0@ePq z9p}GYFSdEcM|WIotLukAy+60p{y5)!|F^5f7H?}hK7XKe{@dOUmY37Vt1u+#ujQLh zKebJM-JQGG!o%rRAa3HfE}K|tn@1?!#bVoCitFy4JXn>BdhY)7&)3C)2k#BUal!2puyAp__P~8h`8Wjm=odlQG-J`c%( z`P|}b`2}oXlV^A>;hp-Lu!g#GPOI6!^bKVgxcHP^Xnu}w;?oovJ!n0>4r+)fF-$6#zfffI zcZs6H+(>=FM(VqO_&wCLC3~Pl;Z=F5P`OP8tx~4LYMScN-|La(+w2du=~F-QH~->q zwA$1&7kbu+0ahG_KzfMny2)WH!)Xx&$tK+2^Oz~j_qH`GbKxuQL2f2B*)e8{?P?9a zQs_SPXj>!`D~2|uNd}RSoFkN=hGroc+7wqE#CD=h5k-YyXj7~RJuk4P-G;$A!>tfZ z+Ely+FttJNk||c>{3Myn279P*{N!k=?l5Y!U6Y=Q?FdFWGP+U{g_F9#fbf+*iA$ok zY>nC&E!aDH7&~~K_}S&hBx4y%%dFJx(0xy-wqEWmG3;Zv5o6{E^9MzDlKsrxdu$j31=L6 zg457o1pW2EJIsrzoZ*qWg0j!00}5GNa)u=Xkep%J4rdy{JoIMTAM~jemRh3yygwhE zw7(4aOZ$Vrs0zkL=uRa$6U%|02`^)K-;x*bH}NjE@M{)#yV>Ndz=z>BwdF^2G=1r+ zh;p6@xDK`B7G}rizmXnt}w^ClPl@idK^r8!<^%4_HA6o_b&Hv!v`ipP+h*JGi43GQJ z1wDt?8R0R&g=_d}@{Zb9mXUBl)E3AD4?)a|ZUyU1xvr#!6cb)59&F#CYVa<-)N#zzW2a07xzkkJ9GW2VDAAFX`hhr zFMh0i@PGGo{)2lJQF5<%SM5|Ggzh;@h#$1_idodV#xD+UHa@j}c6>ARiPERMU_>|R zDPJI9vc8tX#%LMRok@@R0<{?ysAR~W(P1aa^qeou>p|KVubSqxl4N?&7f1^$)#4VJ zwchiap7aH3a_sp;E*7nS=norg?`#k!B-i)0+7n9g&$7hYI?#L2XZa&roZ!_Y75~^2y&b{F}XJkQ;o@ z@bCVf@#9r6>|o!LE|l7uJm&HyK{CH*+|2wsQDZadn}5$v)Xq>01r>NiUz?RYwNVP` zQF}MVHiS^D$fg~rQ&YIt6q~d-mkubkth_&;s=U8EWuidOFS?){U#iCuZld&t(qCK-Ds3aByr$TOQejsWD?3`6bp5iO4k*RBbU>+P zrQZ+LyLx}okLCPPnyYJf+w*F7sI{Tin2x_^EiWHm0`RDvVh3omI6g~zv8j)jhwFE> z@L4wTEeeAgu5a(~Th#r06P2~3{!m#%RnUboH%*I#CDhlFVp$m_Km1C*Sw-Td99EiY zJj7NMVu>w^;7Hx9$dv{AT{;eMq(3D8uf!2|%D#S#>vk>e%}g_B^U$;Je;?43&%Pwh zujq2lea-i;@^|;I@^{?N4>zz|`KsEBCo04zam3WF#9k#_nlvmG$+A!-7~M~k?@F#C z_J6Usd&6?&gol1w?qc0j{9zT2+CRp1yBdLq?#e5>bF$m~HE>s0S@=gk@PB{&H%D4Y zt@rg&QO6$QIyA|CcSJ?ZD>Z`I?Y1#q+Qij5V#yaF6p|1v##tPBRv$XKi$qmjPZXOx z5$NL+M6tMM8zOd1$`TIh zDPq@rcTNzy!b{J$r~H^4c&62gbVb$uLH~#Ob(?K{$EW#q#jEouYNb(%}d;)vYZ>j_^ zZF$0W2~!BHr!@ieNw4sU5)xCf^WpEsBK#bAoNhBt9{NT^;N5| zvaYtFomg>s>~-c=x|-D6NV7?7+oS)kboEL8Z*70{4Avw5c1-c*skI3O)uyJXR!B_&k#}qM}LK){s#a|A6#7t!> zeohfnw=x8&_+1cjh60Mvk7PO35TxQSg1{5$p@G$De;!f%E(szfEyNrUrKl~5B}MVO zq)iBAGt~N^73w5OC*38DrAe}DyPPD{C99`=iJne-Xe^~Y&o|{oPg{OSnSRbszTq3w*cq)?x5)Gzd_U+&mVef$ zThuUV#?-nSJ3Y|FMP&+78lv?oj5d{N5yaedQO|&|1KC&$(o1tebgva;x^aEmSJ2~h z(p(UjOQ5ZJjw8r)OvOS~7oP0UIZ~PWiU+ih;T-w!IBRPhn#$A#!Mwv-5>~{RtsaUT zS~Nf1RoA#_YHv8&7pJN0Atv-$purxdgb#fZ-D8qdT8KU)M<`$tn&td-)lw` zkVu93CCP*b04{(q7G6#Vy6omGtGoeQUsV#hhBLFQbjpe+r;4Qs!d1Op+gcNzWY0O& zJ#&e?E|A}>mh3r&t`f~D#BW`k!WlYvLFnK^PhqeZ;asP1p2Bc@Qy8Y*qSmp#Efygj z_w~$x`9FTwU%q5;D2r&l?hc=MfSDxuHg61;X2u+_o+GWY~ zm>{MKv5$!eI&Vph6=|yd&;Wp1C;_Hxb>~BnrrHI|9HK22v;>YG4Cg4B9y>HhS9W4p zLBC=Rsj;GDdi)&mHS8)Q2{bQAB;kUS5+lj|uc;USyR>YynzKO`hOjlomga0n^L2Hs zYW_Jm77~IC$V{&++OeX0Zb!qGbJ%pqrKmn3$rybER>@`APKG#jhNG#k_&T599(YX7NbAZi%XJp zHT~#FR9d$ndaHYq=>aC^3#obVaFhnQD1|d*^1Z6u82$Bh=hzcVJQK40KP+2Gf|#$HrfA&86WM($VwXF=!pHd}rgklVrX<1b1n=_kcc`_B^ZmgC++} z4lRX=r25&VVBqJ879LF_fg^9i-1XNo;NMWcpToh56B)D1Jmk*sJS zV=Cn>0CfYv8F`JyfXk*Xo$a$*=j)}7N=tX$XIt)kc=FX1zG@a3cJ*=r3=^qx9{@<_ zFheSv7GHd&|jm5;U00sXl*)%>&d4Fz)iGfLj zNlp91#8xI^1S>$BTA4_+u~&TnVng!r8=E4DzGAVYyQi2Kv`Ho{AT|ZRX2GIESiEPT zG~a>2V|OZ5KFkF|)$dv>wE1O|#r8UmEZ;`)G!@_Qt-rqi%)lB%{fBObZoxq>#N+Ut zwW-m*vIx`#F}sM015_g&Qmyimhah#43!>?fnh?2A07~0tZ$2(A7{nk0o$E9eE{HQT zhH{W!Xz&n+rXq5|S93-=iiThV!DS<1ZCHai$y6T0>$BP@zIhLvt=iHgnQxAj+>lPk zP^$LAE2qSi`b1Y#l%l&u75cU=(91-on! zN^F1#YXrsnc1iS;ldzA}9W*KRlC?_hR?20K7{DN5ah4Tz$F^H)8f-_upk0&RQ8_vy zBK?VwWUCF{s7h5Ocp;Jx)xZv)^)^ZGs9rtS6w)`*2;qTj`*)_pyDWqY9&CEs z;laXO?eM^^5NRU~LbAL}OFGqqwI}}ZQ@5Y=#ozfo9~nd+>Iz?ExYT(YIy@ZMBXAnF0fjrDB7?)Cp(M<0HGCf?`*;Xj+pVtV@E|&H4 zE;&&#lYk((pC$VrT9QoOd23VL-TbefZ6Lpg!(WBSTNQJm97y{-K#SV-j&P|B`Kyb!ui^&FhIbS3e{xxcWIc0>Srw1L( z{tU?RRHDLV9jkt~A(@`^MX>NMLBP|j0Qx`$zbUBz+YvM)g@s?xkttyXs*UudqrSFb zCKXS5x9JVZ5Dq_I6HH)MHQR4{vkEF^f9%2d5APX>8QWJ^5Wc=|Ig5N5R6s z!l;Dy+LJ0;fC`x0qPQZI`PBWg!y(|8^o$=JRX{S!;XL6Z)@x3C&rsXS?-@3GslDnw zL$Pb%J?oKrs6Q0%8P;u^MEOrA-ZOk{A!m8d_)p#<8a23h&sd0i$TrcL=pzz@tkmQL z-%RxJ_!519%0mDIT89C5ha^agw~0-Vs+3K#f~-*Q_w=dof{?+u{KH9Bk;+#s{2GM? z;+k?>5p>pyF}bqf5H|!93T3dFZeWye?-6IV<+CLWal@<5WqRW6VW=aMI9K(Fzj#c0w@x2X@Wk7HQ6Cglqu|eW`n_zZw z81Pgpia%n47E2J~wMUt^78G~H1=YEv;ELRE)r#UV`k}XLPELX#>Zb5pDnW4=P4EDK zlQ=ji6B(1)WLS{;?L&DRbvjZ|(6}m%Pfx!h|EIeAlhTcfrmkMrZSYKrj3M!PWWETvJG_=?9xj=|;p_;deA_g)Td4E0} zd4Fz)|A5e-BI4$_g{nZPsFJ|I$adNv=UWv>_aj8!5@DqMX%W*VV}(*+yo(U|`5fBMVk~R%F1gU0R@PK|eWI)z$VB;Jamijqvo$GYB5{xf`%@C9X zj7uURZJG;xCmI+gNj_>W8C<78lW0huB$4 zmg!HD`S$EU84J6D3h&U-^ZnT_q1LIiw>`?-X(8l|atf)opU@1bfk*a~Q-)2dI=A_2x=dbrZ0S5}eivK#HA^(pY+_#mrAjO_Rk7RhBbxW2 z3z^fFA5!c;@Spzly`PUpLQVO&Vz&ekkPHqZM1NqLD$2RrfD!I?=%KE&c{z0(FhX!- zw+X_O&jLmQjenB$TdjL}x0KGpIXdXCBb2c|bjmnB8KA!olgAptlyJlg=$OCl5&7_X z#guP+5_(-Bf9Q8$A9$5TtTXE?)E*?~wq5t9lcs#*lL7hLgIJ?ov&yV{cJ6>##68J; zcW_HIhlzZ-qx3DNpR9z%qf|B6%i&$`6svahEO{ZK&~+XiB64x%>&muO!gC$-M(UWJ zcfM2|(-YTz8}Cd*;>|sYx7U+6?H08bYwk%Dw&0iUUwz>_pMBSRf)-fPm3LmkKfkAt z0}n_sGs&#c@A6Zfr+)KqVMvlkbsXClHtU97|h>b^Qpcu6f%;CaG zl0ZoWIH#Ul{UMUT0>vQw5YO@E#g7o~R` z5F`A$0N@pf5di(jCA@wDq0jg)-|~;%9&OsH4m=QY<#0r9MEa>fB--OYNk12Wie;A2 z&bpsM)8!OO39T@5s7Jk$RpLpGmaGzi&jddT>-tEPYqCEMomCnPB8B?F;bCM^e%5YuJlLFXBmEl?+bWrpb$Wjf%;==V=ch1%$CXhbTi!2$&@E z?Lo$rU2Mp3p^2fjSa}K#Id;&*B^fRd&s4T;RCTMO9^txDZF>p)eXX}`%bJq(RBk21 z<=%Pwcg}FV?V=ejGS#B_N>%sv4iaAJ<%VKU-?G8B+PvZX)*Nx&Uc_Y@ZX^XcM_kW- z;ky@03#AGkVIY**2$Vbpq6^9U`jkHGBm3zckh-=aXW8~U7#W8h3BZy)YkbnTv zhka{d(riy>dwTx`*e^ik3Rq4*q7LP6dz}vNqZqk^jmFZCdEXaKO~K-Kj=+Rqp~ zPk-;{-v0|93f$YUJ&h(&Zpdm2%AAykPKvptRAdb8&R_a#()mj+CoYExn_?ajil$T#Vo&_K>y%>z=|~2S-*7NGVR@=UuM}LP zP95S*(RSL?XMXBmzWXszcF}fA2kYtO1G7;ZuUsXgL@KFwe)8gZ|A!A1+ zNTuZ~7F^zO4XxD(QfU=IbR|kd65JtXEvpr&v|JEMQb#Etmy!pq1gW%K&FMfKWOxN0h`BDj=RO9+C;ANsOdQNR{1iTV|(2JyCmj#3fD zv1IBRM;pqrV?L0QEKoIT@{#p53EfjlM1HCyM^&aOuzr?L)#%DfGMRAD=>~PrT&xN8 z+Y35ehx*^ppz+DS^S|*;eDd%7Z+sJ<^weuod-pQhzewvfkYU9DCtcFhg)PVz+V&if z?<2T%eoE6Qw(F6I_)Tl;k6Y9}NZ(}5Je75-B8>Gohs!#hnujMb711`{dSKb7LpP@~)nOQ(5nIHH*{9pDNvH<( zxLctOwmEe6>Gt3flD=^a!vn2veU73Y?Et7~V0?!aEPBlQq8^JR8btvJCU|#4KHuuP zs}c-=a=-_Q-%K#8)L4O#jnvbPS}o9`pD$ zr9auP=m^uH1)!efWz{&9r>M)m3b%jpGJ4kIs#Z@DeW=JS*WO!|?%Po9jiy%3n^ij> z((ER-sugLQ%8{0y>UxLl7qdc={Zgvds{cg#r}O^uOch6T4GeTuJ4d3XN?SpoK4E#m zV}D6AMcU}1!|?9X(7di-#y|Mt*ZuI%1Y2qNVc}dMtlI8$+#N@Qs`gO9W##$88!Hra zf_V0gat??}N({R-+hwJISPo-bC!P&%v#(jrh_XhApx z!}GTeEW<-^a|AdzU(94(Br2xSHA9Z1`*KAzT)F(!z}HEM z-D+{zLU24L+6DntGdHSL?9u`%N{X%l8L7=LdQ02*9OU!RjcWGHpX)=;`RUusPfxo= ztsg!kY%hbb{iR>|d;iJ5_NJKnA$=BJ?=|obCwp!BpS;wrEwsWX-y5Bhhu z2|6k`cH#&weSTY#q=Q!^m9-er$_5Qs)vauZs|>mcf-SJ5wUUxp_chVK#pDJeo|Thq z#?d9I?vjVyLFdeo=1Ge@Ns{ijOM*^;(|E8ZlE1C)kQPsuBww*6Yr)6a_5(`b<&tpd z!BdJg513b~>4PJ7|&S(ahQzt6PGha+FO=XH@Y})GximCF+Q^5YN z@UJ}bJvOZCUrdPli^-4qMX{yGf>l1oYZk9jd~z{=F>%`Mi;08yi^-4qc(IPXm?t=~ zIMyWB+Bl}9N)hzNq+kw7hH`*sh&lbJRc-jdC0ALtBfrYICOKWpIV)AIZDg(q=8Ird zN#q2`X;r!EI)EfNDP%j2-{E|7P6HfST~%v?Kz07I9sA=4%PEp>OilIp_QwyFFGnA*5-RDh<(uHdO1cxASeWUPN|)HgZ(X`2u+$b> zy>v_5#bR5g27(idt3__VK3G+mETl>WoaiM-JRL~dmq@t?IwIp5xyh?DR+zGSmSeZs zXMM{TeCdylVKuwAzviAKUU0n{XK}5V0a+7TpL$q2qK+tIb@AJy2D$)Ys-?M;?n|XA5&>q zA2(%cNs48v?2^m)-)2$S^zZ!dkWBm=rA`wd&B(_KA|C{Cec;BhZx-BsvFlK8}3zeV*lum|*i76T;f_ei!dnG9DwFyFXX=U!%^$#r( zkguqO8}OUp@j}s5D~faNf@N+fMvbZ-j*AiB+62qoP|VIkem}1`s*qG^+&B-LsiM&y zs8A>I$<>eljcHYV?z-CKD1033uWKi3e>@cjRx$Y{x9jRz=E4IIRmEJ~Yn5CjO z?V9J=Z#Cuvv{CxSMk5Zlqx`FO*%Q$HzAD`@#T3_>+`QBn_Q%8?bx8I!5+};K_3gBLOivdq&`Pl?;(AaXO%EM=}Hn zUjTCA_((sWgXg2%rd-1)m zXdmng&%Qsk^gR3iGh2E-^wJ5X3F%YQ)jb}3ciQzAdzWJG&B76BOqOX=wDn8cogjq zSyi=nOU&&SR{}}Do@7c?qq2xm;8JEgtZaf{{N|O}M=5;``gBwbK!MW!=yg?FvlW!w z^oyqX{s6u}R{{wTKzVykN4`PPXwhMgYV|9PIvwFTL~ojU5-UkQ>SwQgPj@@A6A{O7 z46d#U5@GQ#)S(!H%AIsS%CZk0W`Ohw_hZKAo;QFR?ozG=X%-@iKe>1e_XEE!A*eQf zui3=D3_nV(XM(9?X2I3K?2XLc2op=Mym)p0U8wNMU>eRr(KhZ<1sv|hh-AkS zkyMHE;e8+X9`Jwjsc-xFPY!QH0gs+@*DXXmXd6-A;kY7T8~JSe%5v^52!q5bAd~YT zMr#D=jkq9s9F^ZKSy^$h# zgcl-$a5mbDp~iB-EhtrxTVO{cdUBd`q&HFomupUeqoA~(SBI7)giF##!__cd0oY=* zqIyrk6KB3BSo_7I06`wm?_-;=HiXGBpe{tFRnRyzCFykz{lX42Xc_t zSCsD$=11yaUtsK-M`MzYkqmtX@}z31dI9EP=teG=+P~Zo<)*BDGE!sx)c`AXVECOC5Aht-bv}B@ueM^GlDe*sc2c#$Q1aqXX(6XKHMA-W? zI}wks6EW=;%_)tWNoo9!|LNEM_*_@<%4 zE-k_vAb1-=8Yw??5XqqZRdfIL75o=!7#GCs32>Rh+rS<+2OnTtFjOgOj}Rwu8^p2v*>vXuR!7j+$JxmU)nDg`b7l4I2m8teUS)fg3Tj zZenj;@v)({c4InFJ(#gc=T$GXD?O?Qd`F9My-W1bEfUMjuz=fx1(=c>@poopdNaoK z5C7l?e&LxmB6nk|eh%Bb0i$I$AL(sN0^3(rM2lu{r6O=_6h7#{BuT1KUlSw2IMRJCQ0V| zgYtl05hQJ7t4mIH57h22Q>K4q*kjB1dvne8tu;Ns!8w`#VObBu_3V5ypgJIc9rW`4 zji=IVQv6g`+Z>IPAEfGC8R7Fd8I1#OkluOZ`;qIo{ER?*(nn0!3_6@{x-O1Bn0AY1 z?_&BbI)_93hyUoWyzuuTRM(r)%lCIjc5)KBbYK`0ksBe8t|i!dIGeLDHU){ZoZh@W`Mg|=15@L4;0K_4$d^i^SYAINRAMAR`e%Z~1{BL9L)6#W5-cttcUnGoYz~Kb}hj#(b z1Yg*ke}D1no>Y#t*Oc=FQIe)lBE@2V4DSeRu#~2;m2iWP8>Gc91t z7ygTLNIy5dep;H1lG`qG*AQlFI37uG8ucQGE?LPf0R3*WkV7!%-*@12fx$#teZA_< z3aj$(9hfZXcx<;TxB+$QKj+_14!6wt_cGFYXt-t0zn>g#S>@jWyW^;8QhB04(VV0h zb^n3Dnu?siNRq;%z8p=jdYM3Ysznl&hgPz>JDX0soylUPpuYIgmF(8mL>dQ$1pMmY zC^a6VB$@9Ia0}XPBQgBvF#poeD!?`Dcsi`QLGpL8Zj`4mVUfJAv8+#mp z2nm7@;hxF+%PU{X0LTH%q@Q*hCnN6dy*tx9vM2h*FZ%E&KM=eR+Og;Hn)UAiZ3R_C zwRyx}jo0ie%LcokH9F$8&^T@Z}IgdYKp3>;UxB2|YABDOj}$sihm zvQacutVqpK1P>U_DT0VbG`b2ws&*FyBFu=94r?+HSLaC8?t)N&xCR~?u&VkTA2Ga1 zie~`X+{6_gZusBk>$H{=1U*Q+U8=*7*|ZNYr~0a|=zoV+j(?+6pPT_Nzp5Olnwj+T zq-GU-awtl*`9<%EVNc~xX$?#BAf9h!IthT4H6WHu&Vc2( z5v056R}BD(^1&m0W%$ncdF0V^Np!Xv`5dO_woA%W>5{uWdPjIUP|0ksO`3C(LR1wL z{T`xQs8;j~EB#yp3sITDl#hJ%V8|H@?G>L~+xg%4CO-Lh{x`lE`b4SIno%YYg-@h; zpX3vXU!8oS9#9k5l8WU_N+I+=e((#v>j#qI9VA446&WLp zwgKTKvNxJXh{i|>23|{y5kZKI0VfLGjH^+@YnKB}XoSeSt<5P&l8nD?^T`7sEN~=P zFat72@;pgW+#*|Zhx@4XRXDn4nOt(zn5)4ZLV3~@x;2l_HNdlP$;GG^D{dcxgl@>@ zs?e=OJSUPXbN%MLs;Da}URX|-LZHkF9u*bQWlY9}PZ;txi25Egm>hg^p6)Xv8^8jqjCZ%;`2UnC~ynU?4ALTQ;VQj>gFQ~155(Z99u z`{whF|MWX|U-bns(^74fBjU|+Ip`XgHNc))Z#_HKL4`W2WC`}MnF>|*W=$Kg(>REHDd_m{AEuh z#HJB$7ZqwBa&1Tc>A?_LUMS9?PdtZ&TDRCIS9#``=YlcM<3*A;S=H)mHxh8Q-aJb? zU-#6%_a|SCPTn%OV5E~5)n-&n2oM_UwQtjE+VYE{uuKV{57hXPb3IjZbbfeht%^qWWMu_8l7 z7mQ9`R2bBM4?(7NTyVDsz>LNeESARG9fC~jxFBG=F$*5??#Hi%X+aZjKM zKvs}jtGXB(Q(v(RmENx~uCEakW9pJd@_}P-HvOL8+{qjLxnKj)2rw>3;uc>s`g6NA zh|Th8KAeG8OB&6zCC1P%jB%rayVB!tA_4wW{;&C3Hr0q*X2&*Oh$foCX{87DX4<^! zz=4|sQQ~wkGG}%!nAt%vlHPN)(BjwK;!QEiOcZY(p}l4~5-s##7gK`~dzVI*9|}gk z{e|Cu{C&ZMpvKJeK4#j`mX9g&+A`1)E(%RzUzz?af~8vlX&+TNA;{m=1(DuR-E`c7 zm_RbgAxQn{g6PB}pB`ciOr)*d_nKBHItDOyvpq-Z&$41^7yutY8#?4*1mVI`2o69} z*u(bcU}W#@0@wpOy#9HrV5GDQ0Mcv24C1mSE$(NDm6U1`e~j26Nz%Bv%|?dsOhPuvcaSg&&doWAFP&K|jQ0hzHp4T94dP_RkoFM0HI{_?U%nw>6D zT#iEgoX(hR5gmbC0fQ;MyEITnvJqHN27L&{e>-UP3KX zaD}-6jo@IwwL?RXn(X*_s(=dscN`M#4;-)_5tKL#-jnhxZH@h$hWzaF71qf$RwlA+HZDBxSg!HxRzn##RYJq z{&)pkvmL)ZJ8qh5w&Mx1c>gL*vATbi*eG;7RiUbQ>HgIhzVq34y(c6j6F+5&)oRHq zD$fQPfM%#Ihq4bqadQy?ko3G(%KK}LRUoQ$wIET~%4u_@+ij1d_Rgr!{7 z|7*T3NA>Ud_hDu9Oed55z$?ekR~qAyo>*1ksW>XhlJwYt5P4Pcq@bzm9V*k)vi-}n zzht^@x`_wJ1nA^HgX9+&S_Ht5?3(p19f3&qr z5vb|t(@nD)*hl8&8#K6TIEO)wdfcJLu3IwGb4l@nB}GykJ``BoUclK*rvT|O8}mEu z7R_e*X3X@Pzx;3i)Eff)qlE#_MO&F5&BWJ(96})Qb#=kFQieiS4ZFejMTHN z;0mMa0NDc#e^ob^N|ysx!TrOUh`Z3@{U`weFor~9{e2kV3kVn;m zRq?u9Hp1gougpy|?LT>xk3qnqIe7npMvbr9KFcsOGF3xaPsELXKiQq7YfU9ZDOT6x zV*3ZHJQp;N*kC;?u;jY1{~fZ>@o$t$XdX{8`(GrNXPT7rD%MkIQc}e@y~hIX0Z*TU zdv3TyJYjj-34e)3tbS`!n9}?5PyejH``y7~r?#n$T6Q4TgtLvL1fmVR@5wRN7FRQA z?D1r?Cj^nvYyI~sY5_Bx1|t}bsR=k+1K~}S=IE2l9Cbu3WXo(09i=%^dZUIVZaxx_ ztzeE?42Fq#04uhULlw0E42e1#1v>zb>Z{IC%i*x$ScF#t z#AYRVt4hulkN;K8~4qXM)yw+a+Z>k2H zw)~I=d((rrJ@;{eMuf)!232?HbPEZLH6X*R@T1U=H;^8SePu-n7eu!aiC`cIShqSX zAxI78g8R~i3hmIgt5Sm%!TlcnOI&fiUa4afD^i2GAZX%j_Hu{XKy!}NU@o{v|GVWW z*1b+pYA_c(ERpljl+OyV#QLhK!CVmi^k@`?-iE3}8KV{y4d#+T5O4snyOk8OMei+z zs*~~#^sG&fvPJNdOWwuT#N}}E^EF4WdW4l30rq8kFoa`TGv6K{IY3${*)AUh>5}JZ zT2WR$jFDPRwbyOH5nYG+-_W4(Nl(wFES1xHuy+S^--j&XyV#$*B52ap$M4NSlUz0GjiefkPD`L&UDdd1ma~7h+p|nKIad9 z=^LMT;?C1gMbg2Y1W!SLSK<1(2?9@YQ2eR62`O5neYKW_k*rU2A`Hwf$XTD0qYHA@ zCpr-@mjLlll}E~1pOZrda@Oa>i~$&Q!IXOr%Nr4f5=m9d!ih}MsF|ke5<{jo@E(k` zeY#4Sp9l9a6S0W$ga7Bk&Mg7SGR^=W`)L~A!Q-TS@ED79uRj{EJjmD5Rj(oNzhHWzBL+KU1QUL+ zyt(NXOv#nsZ?ju4tCdcN@oM@xHaOpX-eI%Y9I74ouGKr_19mvy+>x}|FFJf52ZTVi zzih|#ck4x$XQ^zC=v%7xZ>}FZRL95p?$Y^pt3_9Vk30TA>HK9oj*lNKFQ<=}gOBfS zhh>HF4pam$Is?boSLuR9IVQwJa_;3=WDiw z=idF^XTL;dmeJKOcA*G9|LjZRPF*86d3B~?rmUXjm~8%xZ~3t||7aweRVTJym~jkeEojeSe^gg6y1sbSt_vm$S%DQ7g4pq?dqdyPhrMlhKy zOm12;H4Fv6+7r-HnS$(v?e`!xR7=qH+9#92dUCsi?bQ|6*52VJL-C`2j%Dn?7JZgI z%T{?|7{)EhW-?UPCpp~;6|Y2{pCCNs7xHfyF{$6VnRx)yQ+5j%Mun`fW*fQj-R+Mq zy0z>x7s~&|dhscLKXBj>luwj^7v;~dnH?yQlJS@m&KFELBjX{;S)C|kJn-vA{fxCs zl+(_KquT2bYN(=)2?e)We9_Aq%= z1<_RATy-j_!B8TnE`4@TpN21pV^_UyXo+WVk2Mit*FVrCZK!H#pgp}PmGZ&$k?v9) zap*@8G2LfQ`GdsgC0{rHqtZjTK3)FiMpVH^Eh=$F7+fAtkS#@ihxkFz3eK@dnlm@Vv#nP(o7>4XpQr6 z;W=qZWRQk`gb1}4l#`b5E|G_pHMbbm)?QJ*KWIOIs{`i{ ztvOoMXYh+Tf<6yPNn8vpXd8#;fZYa{4)crVfL+J0O7=lo`QcK<#Z&=1sC_`mCz4JJ zm?T*i@v}tXj%SHP#fH~KTbv}BQ*z1u0qo-z+8aAxlFaE8Br1sRV-f%@iS3#>pR#oV zA4F+L%r-}_?@xyYL|v1l1NC|4jEZ3kNeZ;?5f_Q}_bUSbDFm=4#@jX&KBImJvk&3` zBp*b#y*}P|L-hN)FGBwoVmh(xq`|2ib;QJJ84QiUXAR3^Jcjz~DrtBObjhm#LqMK-5Bf?60{#$Ji z>Bwt0r!c4cx))DjPP;{|W}H))mq}s%>G%DezxKZ9PgXP43r9-*u#7+sk2gEKDID1v zUM~c*zq{W8p{j*O*!jkb&F=2DTcT@@t|`brTF1EZb~l(cAc8Q3noEmAQ?u9>I`_QL z2uxvn=p2reLL)jldJ#eLNU$;nV)D@NqWgmc=<4~gk01sWTM0?hh}6z|4o6DylB}91 z!;wUgnxtg0#qvR2fT>^( zl}lKkt{n z^K*YD+j_l3)*wd?70Y_41h1yS}iLwI5$QR2u9HF!u z!Ab$IR%|?kre1MEO|9Fq0*+hWVjR0_Bpx?47yZBz@XqG%%v{nutDTG2-yKgd7C z|M)-q-}ojz>B3e5DH@Q7Wz|c=2?$@&|HgVlpD1-Y?XaxfZvmF2tV1t)XgHKmF#)4IG+UHUas0hOTxldER0A)Gj%d( z;{RvwZGdh|v+|(fryzhMl2~CdLaru7fc9k0|Bs|XGTli?W=P@fNrpsgZhC$iZVmnQ zt?srNh?J$4u|g2R6eIz|2!@D^Q4B1Nzi#2P9pj)95>O&K7DAO@$T1f$Qh*1O)d z*1PxFd!O%q_v?FmHdN1d_C0IAd+)RNUcb+JR%Jx$8>vGbXBSf$k@_a;i1MYfpa7?%78J_CU z$hJFm5kBFuUerc5yiq0S_47>4aVMmwr3Qz`x`UNU&2fmdkK&eM-baJRndU^I@vMEU z(Ww?%KCu;PullG+}O1*Lvb%ONClDQ(mAp zyw%YM-Vnmlf+dCSRKbzXxGGl{>>F&%rR!*8-9U1_?rX<5w`-kj%w3;joU_C;hIlhW$hPf9&g@ z^DZSd>aEW|h*p+DrFp+YXyxD`#ZJr>Sx}RQ6dWWZ?yVCOM1hk!G2zO0yq@Uu58|JL zcjTRzefa0#9Ko4G_m;S3eemb#^AEtEM_r!kvD6RhH#>-jU#Jr^cVe~~Fub(4nE``K zoFKq(&@UR@0Y@1bzmS0gvL@jTMj+4{;&=v)FKIQAk5?z=S^FVoP|Y9APcU)87`Z9R zJ)HgezuM$t2&$n;O`ZMwR-;@jLEU%I3Or_v;}&+-lTR0Jz7Y*vYL>mFTg2lQ1Fatc z80|mP?k(aD#X{@HxbL8gbc<87KK1G?U*}CpNC2UNYqnOmP>@y{8l=y`LZisk@>R*N zncxnjJ^}{@Oyi`f0~e&NHNgWgu86bIYYp6)W)57Cwzdi$SW-qU>$nmg1sG))qqEdc$LE2gqM8c#@IRQsk zyP^P$O%iBb9jJ+6A282!F?>lWxKv4`bL8MBP=a>WWU|O4QJq(Eh7%@=ch;nmHObQw zwKlo(J^GE?qsQ9=90do433~;0Y0fj=pVHz5Nn{~AM^86sx6u?3=!HvFGF*GULqLo3 z=*P{W_*W)5>XE4bQBp9vQO2FPC(_^qRjS1lsM8V=#vyBnbd2s5umw%#CP?>T3eHOd zVXSK(UapxTJsHqtmJboP;4AS819^n0Df^4AC+Tu>3qB)_1z8-5ezNV^oI#{9N0svh zz8E}Q9_BN4#4H};!Pmm#@wgxJPRX=eM63Q<+#>ZvB=pyh(XmMG)063x{NbPZhHw0y zF)Ai+fZngc51qsHm;g8ck}fU;P=uwk#p?tq0RSpOfY?Fqv|Dv*c`*Tiso5ZSW;FwY zwp*H`kTfLxfk1m;{gyPS`5a>c0Ob=MIRLgn()p*{Lree^=0cWSRvqTTm;l(pDUp!_ zWU9(5jv7%nl|!IBwNf_&w%4^v&7y2X{w1qdsQuetz`xWnj|Fp&Vx|&^*k71OyiAc9 z50$ogm}kP&XjRQac&P~cCf(8)(T9RD8gcOJu1m5V33Frvz?*ov@thVec8q6A_U5?U z3@%k^ErQmkBB|3T8WlgYmjC;o`TigH;;~4oObkIdb6A#ot+}?^c~iE4JLY&p#y#70 z;{z+Vk_p~C)julxI3nHe?V-T3{T!p8jz&#oCq07SNfV|n7$YeRfdYFeS0t-N6HdfP z3Ud=VI1z#vMBIr`n6cgzyw{D+VkC7sY|xTKNvP{K z%$2gc9la!4^=5RFvvqpV^yDmf(j|AHqdAbpjoCjW z-E`p2SaNo^f|;hl1cGAUCjVmYkIs(T^{q^8PI=FuT96LL6gTxf1o@T(qf2oB_e?}Xgf@E|l4yPr{ zu(Sm0vE(d9LCbG>=%%HUOQ9aNI7w}iebiA_4qG?)6^VCKcW0f0hV=OYJ(uP z4n)lXY8LLfPjhX|r8)BLUqm2nJytplg2@&HWAqW)0xGE90hA-J_{s0&hGnBZ>5_GFl@{R(}^&58_MO%OJleDiEKJai6CFTe!%2eb!rMPxxb z&4Kg+OtAL+9o9&-HixDcPz9}?3Y*#KB4lF01P>T~s;$%*H=SFQfxV~NHpvsplX8-5 zrB(L*I>%|iD?^G)laTJB&tJ4_>Ovmi3L zmoy7LG*s$gExj~H21^Y~e@Qk${6%*h^yjf=MMU%AJx4T;UpF9`Aq=nJ^2BU;*k?Qb z_}cMlw`h*$A5AOchkyFN|KsBWW`=5zv8oBT`TX=g@%ydu5V#v^*lZ*x2+UJMnwTl}1NT}> zxBm8LQ+gFF9Kvz|09TnLTFwfY%a~q83RF8_`ZyqCdUY^s8q=%8Nz<5KJ%IL2!ZL)0 zoz!kluL=?tF(G!r)Ex$oemT-hXuml|a~G8D22+7r$#i#4zzS<3b_G~gTyuI*s8;w= zj8$Wyb&j$MU%hh0wO~ghAyc&(fy`=Ig30OZJDWq1QEDOh*Ag3+{}mICErEw*d1Q{@!X zYqc(j9BpgjqG{i4(fvxh1#;LmtSQ}GpfB#vD$cr#n-)yuQN3!~3xHixr>wwJJ_e)o_6 z-f#b19?NPi%mBky8AGj&ktYg*t1Z|Fa#b#t7?0~MX(-VTS!o}rOrWsEN;vG9Zl)r5cYGXv@=^hn$l7!r4{eaR!^q%zRQ(A_6xL`!<46+qQQ+mO)_QA>Op^u!{Vf8h7C#y@tgb_auPF9b1=V2zR z)8MK7ZnzTsi(=6G<`U|j(UON)`r)&~y8P{6bmyG#&F6%Tniw#m@Ja)+D;Z-SYMM4D zP#w^|8u)G`H%ZagqPKH)0Vdy~Ieq+S(#QYTudMc$wBLfMPl7;$aAZc0GGJS%KTrZ? z0P2Et0m>G7VA0LO_#7mOrWkv*79B#h_8>99TpZ43rfK=9CI}Iztw&Z?^35&e!(-FQ+bCQ|S z1D!eOOd{tXNHtnXx&XFj8L7_J3DmM%NxA@464NGx8}YbD-%~3YZx5K46()Tot8I48#C%HZg_6L<;xwa=F*PaRE@(XU)+#Wm!a&Jx9yY{VDQnJBoENt#JeynrHj0@_RZdoWj+1Q$tAyZ{qCAgeAbVm5Ls zC|*DjWQH6o!nhx{^a9d~OazoO20!mwr+tEXxKtASVwec1f-7R&up%PuGOEc1)e9)c z1~)UEAJ7tP_vFh;$5t<(O0Gy#MoF2%kR{{IDa=}sM29l`g)ABG4$2qgC?+YwmbN`h z#@hpLj+AC7C!lercyoGU^lRe%0aHo&k(L;jrT#*Zoa`^O2?pKvf`KFrJmGL|)PnBT z$u@Au_@9d11kYDom7l#%(D#WFVPt8K%P_NgE!L^GO40(+Wso$1*WD$*=bLhoR@)v!tcONOB#ZlFT0O zc=@WR>MDo{=ukxn*uX`oYS0C#3#uT(Dv4ZuGeW1Y6->2Ho6Z_p8fSW|u_vg_va zjCTmkml!6bztX02Me!B|0!WGb!Of)RNimf*G2=e&i$-F$!EHCbeTA$O+)1w#+ zyL;*C%is0Bg^kR6={`1}d-wZ%5}(YUxii)BPP;{|<((_eH&b!``0x0yzBqul)XOsO z#UiO4#=60rz~Ez!jT$qLq+%#@JX-t+kZ~&*Bbg&enbd{A=-W2hi-8RIqbV)n zBds_ZhMN_mDP`TV*j!A6Xau7vMYLRo)vbjXDH-QzN|~=j4uUC85XCktMpFuttjKEg zCV@aIoBL!+Q3u8EC!si?<*g(W0YzbfbJ9X_q--^1VCMN^qpPN~7~C`9X)$dBHd5E< zo93qG8-Z>45GSt11^L+xsDGLwlchuE(_&Zq3sxo>MdKkIGR)|}4Kb`R{)I$fX8-~$ z_aW`Trzh_aW5`a&E~>RDhJ--gzlkB+c?y?y?BaZPp~aZ<6!2aJu4Sf;!uc!DQjK9{ zT!)9m`t#Hn7MtKx4Ct!h5DOt<{dPYkcoI0(Y?L2=qK(-ogA3*UmFEZU$QWA7ALOqz zw@}B|_aqm{(L5yuv05@a|1W&&-~Qz{`&74*Y(VVj31C=?J-TdZLihV4rnWJ0rGm?p zokt$HcH?f3w6#gl)4vqlYN{4&7oE0U&;CN0z-CKMmtbuLbNit^`3u1f;86@Rkp#o& z)qH5r{f0wh+HIM^W-E@GyJ}d>&WW|qjX?M-{~C>r8%O~^JqLszm#N+YF zi2HLp*ps#rDFhL5{}JJzWT4c>JSV)Pit!|XASo86{th0Id&YKoZm{X96`lY26UKgxbx>V zMR$I%vYHK>HI*#6vjEdb^)nNhh?U|%qRg*Pc8>;$R1*`x1gjLC0%TuR^rc6`9 zA-tHen2*CKl=2QdehT>BpZlD*{;a!h8tQcNDSN(#f`J1np-BUz(gd*J;^RRpY;zW% z$)_MSj}-~k5L)Pz)Sv<`F&EM(R>MHDVlba#HH_0{i8@M7YES{!6}Mc7r3r9~wdWY~ zDMt2=V$+>?-M1u3NIEi z=6uS{=Tk1n1eC}6Q7OD>%eP5*#;U*i&+k8Tefu6~n7MNTYA8EY(c^TQ$sX4<#;SGZ z4%=&xn@x^n^f*g(mosX*qjaLj2|Y(_8b*YGaRVvowBi%tagM4+st-|zsO_Fpi=uX1 zaBNUs73VJYpB|%MDe7^jQa;*>_P0+d`oB@Cs~!Vniu{ZyPB_7%_=hpP<--`m<9Xmt zN)O1-V*&Z&HE`$AhwC5s*WU9x-s#?=dbMr1jy?#^cp5yc^d5=!d5ULWepaUr_=7Oja;a>=y2Jh@(i?lxarM`QF-E;3+)~n4YGnC z)k>-nJ_cy_q9U4U_b#crNf_Z-LP^#Hy#MXe?mc=%^VXmHin}W>^DA44DVoAvg4ppy zTD^k(eijz57PDAu3R5W$PA@&n08WV); zTRN_G$mccZDB0t}lJYRzCrKmeMnj7&K8Jp@ak%ywFU9`Z{<_2BpLDH0w@ALJwTiO! zLieCt-Ph~;M2X-YS(?oLq8cyNiQpbdG#TBarZ}zT`mh6%=tN)u&B&nr&ePH{7X2xC zjJ%rtu;qqkQU?bpUZPhBp-=uGs`+@<{QLzn9?rjkkc%P;dP#mBbC2#KQ;fODp&HMx z?TxytaDC%CnV?iyps?L9jVxz=v$H&uH;y(hcX;F$wbA*EHoqCP`FH=dzw`2k-FB*9 zYbo90vV*{SrBo!K4JpVs_vYP6M>%)Bu@<;)XPZ0e>_gk@NWf!HXPZ0eE0n3|NuTL# zb0-~#h7y&s{c*d;Y(S=T{T$s%$DzT-U3#{yfh69I&6A#yd-D=3LG=~l~ zHC)Z+#N;m|(XXe8T0hB9Q-kDj1K_%>iN3>jO=?EFIR}{TBDqDeFkg76sqrT=$`X)$ z=bz{yqYgE*-czRAeMt8nvn#?6UMuvSp6Xx|8GdbRBI{UivB<#!>P!bfDsd;aYxoGQ z$wf-#B9r^D06HNCb(ezxx`dEGS6WKp$hO;PP6d8kM_#N(Y(@v7Fq`$;GwVe?johNy ztUns$`$xZO_rc%jE%54NJ^GLsecNEcfVGBADtHxh;B}f+*#cjf)K&XqXPR{rjGW>f zBGeQTFPCgf>nB2uAu&7-4$&a_I9sxF*d9Y-RHy08pcJwcW|0)%Yl_7vMpwuwNbwg` zU{L&7l9gJ!oWTfRyM!zt9}4lstlOG{F}@(4P4dQrd^Mh>Sp1;a|Ep{I0T%Du-@ce! zeT7?%`wL7aIsr3G=H_8CA?^>aHBk6MXg0_Fue+I8MJde=Lg-$+fAwnZ;(xR#{I|aU zzy8v1a%6*Y%b9~a2Gv}*W)kKS8l`rb&?1ZN)L=`?d=;` zz72qhI{Uic^?U#7XO0JNg_aCC*8;jP7PaVRbNYdDU`sGUEg(-7aO#45`K#b=3oS;$ zEt#jO_gpa6GXX~>a95)|1(Ug84Bn1l$I_ZB0KBbW@bZx5#WboCYIOpr7`&D44!~^D zY}{6HWAFxgGjvuUe~QUb%l6PIc*E3SQmM%3DDs!3%hrlj3CRw?li-)c!I5L`NQP<^ zs8OW58j^=C=~?ocvD$pv9dW^26O3nSL;SbQ!P|Dt@y0k$q`#m@3Aq*M*=phV_jEo+_;K<16A;k1dI)HMga;w%-Bp>oZ1%J?;*`y&4(kJJ&~!T1Wtwu3jOpFOVWa(;=t^gWHJxQj z@1kpwe4z9tj5s>189f9Lx`5AHBYwix(rBy{g0K1t!YXk+>NDN0RGH@auUmhJlJ{+i1+#f6|m++b* zUW=C_E$soFDy*j=8ysG4_!HsV>6|R(*&Z=gok(vk7vEmFc-k#$qvhG3xEX)q?|;ko z*MG=cHS}g!Hn`jxBs5lvusZG0VP>@KxlqMIh4iSO`%$G!Dz5TDb{8pxL~wb z9?fq-N8Z@L=udbs4+79O@Q(h3$K43L+pI`XIk1oxcB2iXbv&X!f%y&`ut_iB0DAYv z`f|jT{g6jYs*r34v|e*Hqt=(?g?BDc#qC_`tk5$*1bo4SmLH*5Ywv`IU(bl&+F?wp&w7erDrnr9CzP+~-dz&`N1sOpTbe%jIb?2!AaH@L zpsQ2-{_x-g#Aa$=Qz#A4QVA&xlw_wL*wrakT&+>`reC%vpGscQ)hR>LBf*O} z$CW7PbTO_@AqWm$tyWJ&fZ5{vRA_Z!s0T1n;u z$`MHTk3^YB9!omN4=yI(BzOCh((2>b-J`oY#nC}%EGZ@^eT-?%KamunY|T2H21T_} zgbGKG_XoF!JZ!ikaQf#PIax)kMjIy9SDo9_Rj4~Zl)u}0u(~985X*te5@0b9ZLozbOWOyk5ayQ!W5HWh>DA?6HYfd_3&Px#O)_O-D0KQ=YSZ zo31=(`*y}zdgY}Sz)G9^{CiJ`F7SaL@f26xAyZ`Pq?p{mCg0u8gqe^2@t^+Q@d-0S z;~$cJ8yf$R?OO@l`obNl*LQo-OFPcYGcNsSfCH0Y3J&kF zb~Tl+3J%Hy(b}VPAddh}t9$B#)Ep*wz+fmqkui!6tyOKuDK$qGJfLSy4!tsNTVIiy z!vqVhE|`8!VB!#m*9ECLOb~5SnwC1DpH%Z#F37Z06+}Wv>Q2Y)5&T(np8(L({grArseMq9I>)FHV$a%4l6Og9MFIQ*PoQ``e! z(n{t#gbF5)e%#o^9=oE^*hO`0!8+(hPfxCXQA%jK(E=UhU$4$5>2$B12P__N_$L+W z3vXP;KdE$IK}}EQV8IYdZN8U(Y66|EA!w<&)`H89IBe!nBOsswUb8xM5tr7(07)^BM*_({9n6%$t6TE=lHn$)EhC5B-`~ z()4C@T^2MstIGld+E|-t6rIT9u-vs~DcVFQ@&K+;Giqj)G?`bno;n~Y2r~KRJ(4@ssikY?!~H5{D(E8%Yw@}z`dYk&(Y)Ef$Cqu{e#nhuCb0A6mJi5 zvEW~$)37}>&dsB68Z7l#1LG;Y9; z{ZGIAC*I`U586gyZh$o&0o0H2fUqAZmz6SD`KsyQ!pv=gOvxFu>Tsga&e)2(C2W?g zxB=W$91CBOnF|x#?^hbZ@6kftb}`cpsDc}CyhzS-b?P)*OFUx)nJ{cf!;lYU+Yyw& z#RS1ghO4IFy3;yNH^2^!wymY6TQQnZy(GUFaRW>eO(8(sz`TMmYp32%kfa+>C6R`Z zS|(`*q2QK0@h*a)U!K)sn5a+*Ft6dBhHMBKD~r4bzry z%?umFe(Hyx{-*!eRisXZv<5NVf&&PAy|~z?704c>v#5M!$z7f5inPcMAO@&Nv?(AQ z(d@<^m0c=bam9<^@rdp$5!@Y^50x~C2}*L;1Q9BUc0Qu3pcRxz$qucvW^w4vh6us5 zqC`qX5comu05~4DrSHoxMj|CU^lpbJf%s{Y3KInxiIH-!J2XHyzX7ni=ftXF-)brV2{cUOk2Ls z4t&uU{P_2sKDEN%G;T3h+0r*C&v4`o(pG!YC+12{%E`bDh0_Q@3{`1!GBG9N8M6`U zThEwnm&H}~%u&pk0USqn9!VYy3~nn}F=K|lHdZW|4Z@uA=3-*TY`;8k(mDiDl~Q-! z4;?dR&{4q8Q}BQ;vu4GVF*~yNO>$N!G`6Y7ROH(uQzlL|4&IQ@qWw2!%ueW_#`UoF z0_NTJ=;`k0oB>FJi!$dkNA)WejVi`_(5`W7mA0%|_}+u!UQ^Wmvjt40KDc*fjEN>< ze@)a>YTZ7AgRt)tY69!;i+uV10ZM2*MBO}(qCcx-&B?aE@Cww377Eopf*h?&!-f}Q zuU}CzRInEy#?o7N++q$xd+Wl}y}%33&I%+u(P`K|w31dTkLF@8ZV)7a7wxJ z-Z2k8()>8!Ji`ONz;g}SP(0x13N3vd{w7G}tF8$=0Nesfu1NrpYQC^NH6^@2=&OCB zr?t!n%97y$UmTVzO>v)AD2=ykh6j9s0vn@XIXcE#G)E8mXTj)KBGDt*+1h&~luvd= zg(({^&3e@&xu?H0SLvm}R(NT!RbHB_^DhmA?4{wyLNjVFi~W_S8sDdvhTB6g4Zcq= z4Y%VafLx3nReOs z^y_A`plPaHUmKKRsW$7xNeJZqxgGZ3EvV#u|Mh|naM+(OcPJTexE=OKi8*qGZMKU| z;tJdFm5=*#+xM4>wr_5Zi%pzHwZ-M6X<8e;!(qAYda;cq@67>Ix#Ialpxq%Jf4g05 z`RApsU$LWJ*k_`kzCcybfTU;SCa+HaaLVdYj=6+i_-mi?Km3AMT@{jTK4bxC zv-VbIo3Bot&IrC|CRlR`U?}Kx^6`N(!97|r;GZFZd)n+&+n29kXZhxtAjoahKZ8y$ z1k^6bN306MD-)~0ilN$L7v!^Kf(ZB#oa5yO`FAn#F33A=g8S{7h0pC%pa|$R8}juu zK`0ftWVjea=mjX|D~bsJ@oQS~5w!MGm6Orf9A)xI0< zyKmU{i6N0*ZUULWW97B+t0oGeJ+9T=FXBc z57U#`S@H>ozw;fxCzKE*#x=8F!YAOv4Q#bFR?G4gj5H0431W-`U`gViBmLXNZqyDY z==gA0>o#bnA~3-n!bL?DuZ@4&4^0hXf`|1^^UlZJp;$i`jHx5A4Qc)Ih)Qr9H3oMP z8ejYas<>lm+VmAeWc`$rh$G9x71JyvLT>FO_bb5BB(?<@Z7_9&5(1-OL^hyNYR@vI zjzFf(vJHLuRR?OXB?L`ekhh4KIp)Gh6doh%%gnyo-yYnxTlr1R7x}+M%kQR{bCRpf z14Oyp=(EVl>X(h%>9whGFAUL4GIxVkU+g*@@XJ&2B~4e|v1xWaMN$3n8RH^*U(aZI z3(@*g@xS!aAN#sb|CE}FSO3HGY4Jvo-3A3d)#M&wR2%d}N4*ROBFP+nqsFC=VHDEk zC|*JdAl@qJHS_3m7yrj%rFoscqWUd?P#V~5OL2XdN zD5ULj)S)F=`*~^?4Td&#t~!NLgX}jsj9RWa>4?Ezm;NS^%pFlam1qxyF{N1H7alk} z5qNm_M{pP&#VsT zRdZI=a;n9eL_>u1sV{B;rJixhtfsu`MOjtTT_v;(Mn>zqo}<+H2q8zeZgPBxmhu1u zR5(*oQ-Z6IMU-ui#gLRlcohzKX&;VceZ&5eB#kHBWHF_)#*dFAF8Yqb{>aQB%jZc+ z2&B+bwtasoN`y`Mc|5d~6tX>@cd>gj=& zNu*f>frcXN0fE$V!%}KOLrJ7r1hr8D9s(j)>vYwx5addvX@WqrDQfB{JVI$ji8M_R zT|IEh1c*gzngk`%EP~+hVZoJxY}<)~qsFuvDb&e>DaDqSCqtmsTbXPkEwzOA7?jnI z-UD0yiM7f4G4`tRWa59#;<6dP=jT2d|2IkmY?Qqa_7}HCB60m87nN%no?93CCXslN zJPE*rQ8OH8cu(oxL@?jN5hNgQL{1}1($W}k2VGBWW+LWr0|br4*LpadW(4ysz%Zp9 z&^z$q7l@5ZhR1h-rfZHU!K1N4Z+?69@ceR3`mK;ejr+O}5$eX_A;MPs5cQY0{eHG>>7R3W{JM0hGK`dhvR*=fr zBV~M)-JuA=1p`))%GeOd$LF>mUrNcosgIX=tZX&M&UF*tZcw-0Y&S>JY~fqht!aiAmr=CW)A|B;fv;M3Pe&HKF z?8$2d(p$2n!0Lf*wz1Oq`80=8zADLU6FjUARLW=(+OG>|ybH?HV^fp2OIDaEXf*UL zNR@0W0_TOuoPs?~M|3Gn5cy$^rkU(jE$=JJgJYdPII?&%yPobOx)in|3e1Imf4T1r z)8^An&Rk4ohu|RNYdf6HR}u+%rYq8xgZ&D4+aiszg6$i z?9Qm)rT$MBtL_F6jY?_=n=D3jfcgw)Z$eyGy2vcRg)Au(3+~fC=X{dG_u)DYPUbhO*L(g`k5fpb^mJd6)(T+QWKV^o}Rco zMdR{R>wn=td-EUqxKFD&bS<8lTDMXf@IovM5(>z9^Wtn*fNrcwVzdbm;uM4@-=c1* zH*Cd^Q8+ug0km)m3_46k{vjJUJJ9wLN}tGA(MU=pR4R=(0%u3e6mJg!{95M(I$Cqn z<<2p>l1RwvoUmlpMNl~LO>$JNS52t;{oaF8<+M%H6;x#M%5FmLwa)CS5 z&9OphM*QM53*y&K&4?uGcEJm~N#buY9D55jLiueGd~>)PymB~F9f)^^x5FpFy9-Ha zwr(CI$&8fx-Y{fdLVuGSg3M=lJ0OV&sSz#oK;{#?eHbzy9{9y+5HcUs(FkNdJn)M> zdK?7^kNQoS{ArKz+n<#LzWwr;b+l5jz#8?V=EW4VW0J#=d0Hfs9DvN@=FRV>2wf%s*q z2l2(kiIl>TzefJa_UMP=+o`1&6Q_#z)|Ze^<;5JxXD}R2;i;hJV|dJ`H-^V8EFL&? zq>@4fh8i~S0O!26S~DJw2^7ZXm&C(}OA+FRcpC9}N+4+9x|BAP?HXaO1Tppa#LcIC z0KnWhKRV0(t+=50)MWdAw=@15E2_o+d%so+^k* zJrKkjg#mg%ohLzR0uu!GfQSJK9ymEL2|8Q>=eUM>5G%0)$yp;v!(%IA%pN=UvEt?c z@{+tFA9@qS;8*y8v?f5lw<}URm>__B%BynPuGx~F&N1Lgz?HB;T}g827?BGPH%sz~ z4{Medf52q4wo|^MH_FG`BoS69>JCh=w-WL@Mllh1%V4B4Q&MZ%>evW{A9I zyg%q;WZML*0=%Qmn(+qJ(T<$|+qt56hY)coWdhEU$di2Zc#8@ilvlK2hhCP9_ozl8 zXgX$ha*&+l8mRFs=@|G1x?TQO=fJ%8v#c?)+XFNtG!|F?y&x57e#<`?zknrXWH&wb zZiqBMMGRIF_L|tIp!@ev#)VnJ|M}oWB#fJ4#f&F;7(B^cLPS=<03{PMkZ>vQxu;T6 zRsU?lWrm2{Hbf+J(DmM;Hfc4_P`sHLiu)h=im&}6pI8%r`o6TzPO%hp_J;@FyH})% ztzc4=&&cfV$-E-iNDf^}g3*{(X9rjk0#lP*#eNtS3Y!lx(rHYQvJMfm|92*sQYkJ>Go`JbW^v({e(GC( z=iY0^Wg$52?z1CtQu~|%hiNHh#diJ2e9PD#OUDSa}qe+2@StThfSr zXm{2bu#~xXD3}+D4i^jo6)(FCzU!M2E00>)5d@w#`3_~~_PRqrg_~1H-+>J-OHNjW zRXyboswt;-FU!8Viy@sN&Pl#*{zs*eF)SZiR1_it`Q~bWDI&@bM6DY-mrXI+-O!yX|Qma0zHQ? zwpgT3f!_bhZ#(_$*sM*`a-k-`5t{2#tg|0TJ4Wrb$9y0FUPRD}2QC;DXlOGMPboDn zMFomqUipK-zSU7qQGr%k2Q;vBm=)Yf!>tA(nA8*ZJ;S)80%c#NC{QHLN*%^7=9-#G z)kGiTZS<}D-Iq`CD}HXimd#sKM3#>ze`in49L>kcQybF+9Zzk}O5AoR%6iU9 z+)P&D)8G6H@A_ZITYAwQAa)GkP{sb6>dywx?HF1VS|>Xiybv)gJ8pY4co?#xGo&9!i0Qx!Er#M-1>X;AC)E_PTKTo8&~heDA>s z;}KCnYE$oB^>7~$|KmL~)}mT=$be7uN{mB$BIW?lp4hC4Ls?zHPRJTor#J@gEKqs` z{^QlFB|23K55*1y(CtGLyP+4Q!Ci76c7wNf8m0G|49+G zZ2S2){pV=5!3!Cd!{rR3hm;Sk7LiEXSpAL)W>6TeE0k?{9C$eZJxE1g}>GzXu z|NW#p$v5@!s!3bzJ+e)(UO-fe>ld13Ctpau4HA|g3c-1gNP4e{ylRC-Q8_en?`j)}8PIOp=FYCH_9ePn} z&m5c*GB72zQ`0o+vb7W^?v#aH`*O~Dq}o}V`f7FQTgg2SqQ_+Q0u&F` zDtJ#QXN4V*PfeO$+^f7pOxD6vN+W_%4Fmf}IH0&vaeo$qf@+1Oi`7Bqj+3PuVZDT< zLpjgSpV*tE>BSVr(>+%vnbW?szuuiSqfP9HR%z1D4JD9Q`>uL5=H z{VOLXy)%Wn^pYXO|Iz#Ysn7l&=G!#d`)*S3>7DvD&hZ~#erkNxh<$=a#`e`OP(AYdJen#}%Is>}BD%qoH z{($ad8KpW(LM?m@qAi!CI~Yo}Y@Hb>(Ks8j`B0gop2E-U1>B$)fbL+r{^cPB+!w!Y zi0J4e#E341XajK7vfiVrKu=q~EouGRL7c;8KriC=Bj0(~|>W@ah#IN|d`C2x0t(fb} znZA_pez+{3xm!I-3(sQgsY5Uc;9ZC$#QX$LzQYxaP$s$l(zTp-z-#PKyp~b{$dZ&5 zYhxwZ4*NG_1Bp~jNyZUmAVaSh`XE-jDD+ap?U%#As)jC5TGByQoEG*d;Mc5aDEyWP z(>&|+#4D}8@j1WtpWJiUjP|{n(kflBnP|Q3u&2^8!5!PJm{~&a{xH!xTq|U4P?;DZ zT0daGsF2#A?Fz*|Dy?!bxPLS+cIZ0(4iB!doJF>CDlHQPAd>7i09s*OwH8`@MJg>9 zJZd{Qa5$Zdp+jkchvila9q<;}=a$Y<9Lg#Qu5-yOf#ki6v-V@lb6F+f!wR?ukfjc9 zyu8sSi62_)te|WwN;s?;?+y@|%Eruw==Qgi%u-zwRUYkO!?krJX;e%SLws4hB_aG0 z^L(3Yk2h$CA4zP$>4>+jm5g_YV;k`+!BBx(fsb3fl~M|`qu1gdk)Q2@DQd(d@mG1H zagW$=7VgogVNo?-3QW4uLo%NXi*CexS@{?1%!*F3e07uKX3pEH(&AlB<%5HrkhOQa zVY|Y2z7lr{@J&mlnO&N@x!%TN7wn`LdtQ@nuJXV?D))HW@_p{{Z~uXJ@BgH`$I4D= zT{MNO5_V%?hPUW4<)ei1K49Q8EDf|{XEZlsV_UJzwwOS)s&LBwS<<4&%Ap5FNx%JB z(PKsMaKdbJdcBx$feucY+uJ~4Z7;e=r-x_0`Q;HYw{zNsphNI!x8Qh%HK zSSS4LmQP$N{?~jR)+#P9h4rxL4^=H@F0Tt;d&ZTim>!pRZl$@zVb+|MJG?@fU}f05 za68~WtA{I;Ui{h~ztW>y{a=^%?JXTdAz|wD9|!^L~XiICyJ? z#=w3`_kKa6)}E%48<#70?-%ov+ABZf{qEQ%lz+@b>E+(l&ckue`(2e>lHbd7-tQ7bo$-oB4U8)I+54TnU)TlD;*d%?W?`Oa?|1GR zxV^4{qM|Oe)^pdu&2$ZX@N+-z{XgJQSbveiCkL(pg%)$+wFi1Uwf3+kX%eC^)E+u{ z{aB&gZ`N8VK=a!Y=@$mdwJscs6s3!nxu0$|gC36^8sK`sy`(mav1QFUrXmGU&_KJf zh`T8$dp~q6QlQ#F5^D;Q(vwEg1!DpEfHPOf*>czLGs8O}p;O z!8b#`Kjc-!t&8=EwrmSm|2C-(I#@7NHt`I2B4`W?hVunYWo3FG7A#RiNJ%1Gu$Cx1 zpv%xO{dqjT1G4LNRkHZ!{?dou{dN!9^j)){&E7o6z^s`b&o6USVFx(Gs=}a9T3r`a z7>E*>k*BT$V?ncGQehYY1oZ&H8ZWvYi7ITrSrLbe{LpSq?U0mWRAHbs=6qJHh#fR_ z?kh$Wc0hP1^##mx?UZ<<3PUQs6l5_fASdTl73uQhU zQrzK{%J`pArEI~FYSS~&S^cshb&E>Um7#pnMIU3_tm)>SOk7(FmfCJmeG;%{)dk%c zbRAItm*_g;R3ohnRO>~Y37wW06m(PZdj$7N5KF}U0%i-OYq(%-LvTV^#lX0(miO8> z`S#Z0^Iev*4I=Y+(d*9<((Oe^MZ?Vz(yK3i`}FI*uUf-Z zb1yteN9+JBGC0snGl{3(QchUAfgy-cLCvQNN}Z~tCrbw=R|Lbl*jX2hIbk0hfo|wl zFy(}IoMA7edCbpkR+KtbIW&wgCkzo0fnr)C7<0lHZU{60d;t(qbuK35ginBVsNkxb z7iENGKjcn2Q|SZkmz{YTDJKkTtz(T(7zotC56}g!Yl1MZ_*FvMnzP68?jW7TDk83Y zkItm#%2Q7Gh<0|7M7L2_GT)!I0+x}9FFoacBU4WJgqC1DL_GQmUHUOA3nwhzA(upl zd?$MxZ_yDA$@t}wnZp#Q&K0G5bX;?A1#af1UGri$h|Z0AJ*!d>ycxi7@r;~~s_~qH z`*djokuD89MET&y&?=q;|VIC%C^hzz*A zW|LI7*NJ({i#lR?S<_7PfU|RSd(P3cThtbN=k)Q-q>tb6MgQZM{`6S-7}Ety0;DG^ z9CI(80O`pJ$Kd|B+JZ9;R;@299AkTQ_9Dj%qlUVAsaku!Amv`bi=#PQ@LH;KD2zKmI6HWUh)~bF` zLs!xg7)Rqq+c;t@P5c1p#JZcCJYC?@JOvku|M`0UDSqE`U>B56ln5E*o~*>2LB1@j zqS-mmO7JDESqVVmCBa-F@%VMaAQa^5kb!v}LE<00<-IR{XiUe!44og18g(3_7FAt6 zqfw)dt5F!{f)oNEd)eRqY}9ecZ|d9-#CM&dThwto0NhK7Y~4v4M;(XwPg^HZq*`kL z=!cFvuCU=E#f#i_PMzw+^RgRInLu(<5AOgqZ&yq@4&knbiflH@OI}0AQ8lRB?&(+E z9;=U4%@TEy?s_S2L8GpESMHPXe=8c!f{R(hNgvK!Bb(G~&tAk&|KL}B*2~@}u1)&} zw$?3-3egdpMDg6v$b!L11k_>m&~=(p&wv5yFcU=e2^2NVQtUa#ku|dN6)=O;VJ7Hf zmrhIMbh03ImV4b=Ih1(ulbeKu{G$Wj>;>C=pm4ul3x7cMn2lk{mu7#PtxI!%m8-sH3fswh!d>J>InbJMx{W%Mh{zr@y( z$qG{UeH7qxJ>S8>MYuWqzjrQyP-p=MGAkhzf!Yqp4nkmNi*f(*A=zRc!(k3T{=+}` z*01&wf;vT3LZ~hhqCYr>#1mkoLK81W4{{IWGTb->zdf89(SscHNuvij=xRm}60{a1 zxI)*Vy9`FkrgaW9-G?XWRqs47ygu)WkXM#`w z8E6jCM}1AzJ&9+s{1aeV)$Ih!X$Y*bmEBJF@Pg_Teo0#%)L7<#Ho|F-n(YR+r0-!T zWaAphxf-+AwzB>0JA(fkrACNWLtMBhbB$2V0P~C5)$*v*2!;oGQD^vq_p^|q2FdV5 zFA5U@9(~LR$u|sZhDUmVQIo)j$V*~mqhZbPOoQf?Q4>QG5R|r$sGuzD*^)f z^c&T2STj7;m`S=S7{i10wg$=YST7I_X>Th$1&)zSAVa~4l^r3u;s^qACM-K)o+7}? zd7>eW`8Gslh`RDyI_aI98gZsr3ixvL#0QCmEDl&OFDg2d0B%COJpua(-lTN&X{OQ)rMg-L?a%|v*Y8VV1?Ez>V<1fT z%AGCwWPX|bZN8RGU8wqh9Wz;tkM7#@Y!A@%V zNu){pNB_)k{(ctaaXM8)i_ zN|*zoDLP*HSei=isSM4z$;%M^ z0?Bk=TvNB^1ee_8W1*U7l-cc}%!+;<0Rqk_Gezg$G|Tmef9ofG|M$9!pyjK!dU-;q zg~}lE6uSfSc&R`}BV<)C#2ajiW7iPd=&~SPges_Vfi^XEO4aX zT}(l}BB12q9$`&D@j7e9TLiDDGytIgB0ol>t_&kT^+3G0P`4deuiK7q3I0jz%*a>% zKe8vMS(Oh><>2a4po^Z#^&?K*e`?jWEuu2ZI@~(g%*bG0^>7|n0*0DaMC%@U13fLt zpzo4izqNGph5eC7PH9aDq_n1NyDP%9Ftrw|&Wp{Rw=odBBrUAv1izfj`-Kt$p7zUe zd*y`~F^w}ue!6KqEz6vU=bwA&98CN9_d;qy>`#}(H2cUcn!T6lx9E}?9iQ;czv<;S zzVQujKr)_hoVt?dGta2qwaJ4RLLV@=6`lcM0vz`p1LKAVeuI><2yWIikEybl6C4Iz z1r&;!#m;dF&mbo_khqYVDtgM=T4hL4Aw_L7IzWh$gZFbYI+jMI=b4b4;IJ7Tpn-2Q zAvwWOXLO(wv%Tyl!GZF>BqNT;Zaz6DIQ9TiNrJ;hh52d5QZ~C5%Z!dK0TB5|&k2qV z!C71renkF_M!fmNa4A+&FUZAGl;+KVtmAa3_vKj6nXk3 zhPsw9u(o3w-hsXGwd`#S51BDAQ>L?1^iwDNZ|MbWT>G;kPNB31pt+F0+}3K!?SN5jPR&0&?^Hkk!!-C zS9j6ZOo)Iz=Dg@5bU^UateFr2SQ3yTK5R!`T0VM2^JAFop1>U?oeN&LY`b%RQywge8WdALIUK`tG+9$+_hAOeamQ{0Ks!nUmWB78HOGE(ZvL z^mCw64@5z@ehh|9p^TRD{rqLyub()87|L+4YYJrqHV}S)g%Q;2-yS)B)sGLT0H&|T z6K+Bo?2r%|GRQXU4}?YvWwum_@%W-5{rJMFRyXzWs!3Y?wdy9m-7&qfLVgGc34FU- z)y-#~-UU;C=PtIYFdz}!G+}P?xDLhGr4TB)<2R0w=q~umOV3@s+)Nc@oOShHdPMU7 z+(4jE_qB-P+^)~ZT_whN;koy}ibYB!f~_#kPFOhnyEN%e_OrwF>{h=@d+|giukEY8 zc=h5dFJ9e$w_J~0TD_#R8Sv#oE~FbI)gPj+|DN}L<#S(Meyac-u7Y-+V{&!DzMqz% z70E^8-h+`$>S|5K?1MnP#D(TO&7B5viVeb z;CPQ1#O7;{4m}JDXl3;I*dnrE{v{MhxoyX?0=o zl?7<_SDjh&Nd2f+yD|sj!KF<)dKP`-Y5GDz|F;qpT0Ok|3-pX+iQV%cVO#P%1-u$O zk51MXOe4uv8ew=_KV;Sy-V5HAaKdn1@mdF0m#=v^VR44s)gu*3bd}+H!gY=NH@+oy zh_0H3ht<{6pQrj(*n}f*z7<$mLWl@3YWNo3Q{GJO(vyf>`syG3dq457ds$LvWLR0U zOw0f{M%sELoM+2|k~UAvShqF;CR-K4iJQuqH#ESygf)=XkG+Vrk&fP(;~_|p#Ay)0UjNh%JxT6 zX0RfOK75j{LQ+}L@_EKPgc%WjXe{q=A9J~bwT?i>niP=fN8?VZhlN~G3C_?(-D5jYMbHYR5`9-5T2?LUEyOWBRF z{u2Q2KoGy2Z_IAc1g7X7HZ7SP*>;no?vI-cF;H$Y#GqYXXe`h1NEK@?G)}K#-FTsK z+AW$3jni+@C56VX{phQk-#pf4e5_?bG%2?AvEaOsJ@Y)HjQ}G`bT!yL90N8l3j)^M zhG8+F2Cj%@6^)Zo0!h(g4A;;xq9r_-#ZFx#Mr%7Xu1Kkbts!lxBnH&bQl)?gOmi!k z3XLeflme?{Q>!k#g-iBzoa{1sfuhU7yQMy-a zO%1LgOTF-QLSP+owXvqw(+wP+W%l?MzPNQy=WckI7hY3CNv%_84o~x<*3|ICbW;W4 zaSrvbBZuSW$2oNvd;LpY`}E+)^aJX2aPH|5f(ExUlRc&%7APW?`WIi4boA>!rzl31 zG=kK;_z7rk$jltpPknBPD{j^iqkI%Yd%Dq4! zIij-djwq^dDC>p&Q8rADECllYVl=Af+ScVz6}+sG80Q;vFB_D-QtqWw*UPz=wy&Dn z&#O=RYnfk-R^Ol;QRYIT%Q7?U?Zs~&So-j!qJZd5ICC?oAclX?XW3Tg_u<$ncT;O6 zwFctF61w9xlB$B;qDqpRygEI}DXT|0mL5L$o8J3J!_+HvTieV{q4-9g<%nc(lN{i$ zQM_HGS1{N`5;ACOYO@G2HBfra@I(N((XJRXH(+?cgn3rPm1!cy`!RE~Tc3DntO)LK z1&frkLP93y1;|4ILFO6`1y=2XeCX|BK-3P1rU(O#bvtuW=)YNBy8$yr(_@C`T#A5J&i=cu zjPJ*l0%xf`#P)Zddi6FqF(Yv9tShxLRaLi?pIldB6WY+#TT(Ft$>;K*x|Zps-0LJA zCM-{#WN+Qb@@>FO74}O%_Rl`|r#@{2RSKBqK{Qj}Jig!{gQmbZrB(n~CMPkYNN;ky~;{T5}@X) zn_$maNrAr4mD@=wOkR9ZMTo?#bt6&g)QC?+P0{dg*{xRMAZd`yC>BMnaRZ_tkaDeK zXHe+^5?z$b`KR^>{!QN}N{>J?W2iR_v<%)%pom(5uVkxA`0%r2;82kICr&5J#v*YfCfVTmc!Lw&@iqjg7Rrclqxq)>FpRbd#~@H{)2 z6bthi8-C$aia4x1rHJtQh@a%{$A?4hEFMiKWg2`+iCA>e?o*00u&0zCy*26Fa~Dsh zPsabPJf%Z;#yQZFyo(2_im-D$VN+yQv~e}QbD%c|dS@J^6zJ7}uttu3=sE5(V;W~n zV|_?~JB=t*B2+D-@ERgb>6O3u_nvrAnI>fC~-66)#w=M@lq1raD95nN8V>*IgkRx zKp0Sg7&Dj6W%Yw8TsD{IL`pesBx!N&oXE80`*I?m`|i(s!;7Chk`r-Qch8jV_zfec6O>j>=48j3xy7;_?} zs}R{*3<7TzVAQ^jzwQxC+Sl>d$cePaPTIHPuhD*pEOb1}QT<1qRD;d>K~>SEnP1)O z#_-D?QTnGCh1OH|ubWSl2wC7Bjkj5>VxJt+606kbC3YrM%#dSPMe14DwpD_DNfILO z5YfKKC#)j%Ff<6}TZa;zBpl-Y^6=EiPqA@caYH8KqAV_|POI3M27Em7w&D1l604+% z>hZPfz&H7xhAgmvy>Ct9o$XKZ?xge0PTuO2)6;zsQizXAqfs@QDGcl1w>Ev1PNTZ- zV3*N(uX_sp(~U#Vu4gsoIgsZFMx;Yc@1(_6FWtZT@^?M=?)Q1@C2e+$dtUiJf8Qtk z5ucHUp~yhETR~N~FkeU!W$aspm63vK`KmzSO%MoglnjV)U(3j3ls{dNZ?p*lqXqV5 zDX{~f-KUy@;@ z6=`{^AR7O*M7uu`Clmxnjh{N>g^OP|EG_Gve`^cH#zZ^RV{`_-?(BZfjKww1$>_OF zWpsjj5j$OIyAoPLoQi0BwwyfZ#s;=)*f@&U=Ek87Ctz$7xm-MLxveYD?W6MUr!C*--T&}M4}WtM zv;hwjEgwWqtUwDVZ<}Eaa=XoG2~s%GF#uyI%+Lk-oRlpfD>&PpT{Nc+x(NCHx*&Co z37!-X0j;&!lz}HM$S21HL1eCti^Sr#coFIt6ZCc)G!DYUPKV~RWr9bb0HrSn_zIgb zU$Gc;&`c1NLFfvBdPDWL%wa5FwV;g?x{IV2aR&|`&4ZZaWVNMl|1!Y)x>}2xL*)$O zYQVwNjQASrFh4ZUHIz4d+;!1dK_iHQ3f`-t61&sWgO)|m3>55cgCRoNM5qGvMm@Z$DD$E2iI*WRQ9fKQ^i={(Tg_)qY?e$DoqzW^^ z!Rd-rVO4Nwx*}Cr6-57?AfM4A(BXL%lW39$fTTr*p&C9shH;M`21(2yO+wUvx^77i z+nO%9?NYtU+ijA=0~_P*86Mb}?vGCB#Eskor<2ZeR4G>%O|)&|Fsf*7PpF8}Q^_g% zSS1UbuB!A0*8a^>zpGJI_xO&2@jv|n{GqMvzANh@{z>;A^fuum)ogN`Dv{JjRMw6A z*2!%EO`ZHxse(sknGtH_3yuCBa~s30=aPfIVZ_-WY$B^c*GZvZ&PHkuAE_dm<~^QipKY0LL1?@#=|w|?L)W7%bdcx8gn zdeiAhirHnKAhbP@WjU3@Bu**qRnAuelyRH6rE(~l4|*eohNLH7Es;xIaUfqEv&)F7 zp$+LR9x?K^IY+)6e&{{g!70ely%9{=<;nvxmR%mmLyxLD)kaO&ENVi(IWwF#sv=h^ zVZVpfZ_T2z84t?OeKP)Uln4o9Q)c#eh7CU^u;FRh$WDoDP8iQ49qzyIUT}TU02RhC z{Rq#tzU3o1eeO^w!}Md^caXE~{#8KIB#_ONoc<-f${+gufBo4B31hh1CG|qo2Hf(J zK57%jXq<%q&X5UHiyA>1Q%lBzYnL=03|aRZ4RCx#8q+Gc1#7?Lk(M-V`Oq|`CU`oM zjf5*=kgMise8rS7KEn2CY=wGgvm%YDtq6i+wYo<%qvjQ_XZh4E?xtSU7#1R^XouY1 zOg2$*_j6`!ij1CXcHv8&rMm9*J-CYpJ7q8CgfX6@5P&E;+&y+7g!9eUdBXUg`K^ET zFMWnP?3%u`Y>Q5|PM$|DTILPXg62|9AeVQ&m zWNpO{KkdP!EIOtf3<{GvrXG5Oq1>e%5Gt1H%>+kvOntpoe?&55Boahp+@xmFwJzn4 zo1$x6%~3kI)vdyTTRkh9o32bbXPl%>?D4y+Sr+{kX@tBvp|6g5a*OD|e#Of#yVNa(Na}P8?XG@|KJ@Fr|Nh9Y{=^^n?|di;pc&*mgOwnh zO1I!iuu@648tES<(2=7mUlsq`1PdDp1h+!>mjuN&%KAv+@0sjEf#HA&XPV6N6~#81 zAX4{I1UaIaQz3&cD7LW(mVpKEzqiLi!{UNcOsy-PQ0Jwi4*uWvV#GEU!Q&DodKFw1 z3Lsxmim7(!70j&&f}XFGNcAiHJa}IGhr|d|z*Bf^6j<7;5mZAt0+@l!>`COA2} zBZk7{M?-KEcAkahkiWYn5pB&&U5z|>0iCo~uOM5J`U&?y1JDUt%2%~FQ9EeszjliY z_EooR!H!zvw3QA;b2RMmC{?&$;h*g54x{b82Zy@e)uB`R!13_CuTwmg)_r*!BDDXT9}j4c{v60StZdkBd_rZ92xBu2|2AvDjPRmI*^(c&ad(n z)m(V&7r0=IMC9uU*39;?7m6NR^R!))p&3=1jj>aQHG^IPk<^MW9bfrv8Ke><<2^#O z&oU(;E348$6C~q3ay-a>M*v;^J>xw(pj4pB0k^e%<)wGit_b;h-c4RR##lCM3Kg(P zVpyh~CqX3f=oh}o(p@aiw7eGBEU&N7N!h=hdyyfOn~cj2S7(9U(rWDQkjdMNtgXiB zMdm-n*RHekgZ4$n9`+*R1iLRX|1qvuUgUu$WH9c~^wx2II`bsdCqbW-qW#WL6Hxvn z?DyDE6EH(Z>^Iq$lGhLVqI^JZJDv?>Mqoz@CyXH>sXjWwc0`Jz2!;Xs(iSN_Z$cJ= zqmbT^Z9l$H6!~U5BSmMP#O3I4#O2zdCZI%0cs?0ol6Q#LkKrH~V&d1oJ#dsrIG|1s zarBRD`w8o;k+A=1u}jx4lS;zzWjpLILtK1+Oefi;`tpumLEK*ok~JUH%!tv)%j&y# zG2#U~+a|`Q1PzM8C>Wr#1xAB2RuHve6Z2*2CYIXm5R`#&;hg|DfsJYh{=E1Pg9l4d zU$)wv<{JyXK#kV}hD6<{VOC=hj zcbd^w^r0$*N?GJVT84f(h2EC0;K9*_GC{z%h(Dm)80}6I zK-(b)jBoOi9(9M@!=lJ=zL67?D6-TwA)!(55_Fbh^%5iv;7*7BJmwbM#U?OmZt0Wh z&W&ttjwg>O?j&p{EYI|vy@Ku>q!gZ=NtuNKjgPR*-f??)8#vNgV7Iho6FJIgclnH{@Uc$TBf~v1k7);x>5V>W&5#`y@&9_vk zNrBni{an!PlkD5v{d_as&tLx=zvw5f#(^d$aDKr4qHm=$-%H9hW!Mvx!+AuCBwb8! zyJIm8soV9s^ix|E{q4_6F|7y=B2px2T?9SB+%w-xa@Iv~aKx6RtW9ue!WMmma>h>$ z57?4oT3NF4F5!pl&~0lmtwQuXRDsbRtMceO!ZcwDM{& z>w=!?gdhevNYqRGMSG5(>0~|V$ZRZe;z@x*ww%$iN?UU@CnPFq$4x!VvG%Jke&s7_*M)`5YPgx- zcS={%jRRN9Eg!i>Z7z9^wQnZYe$$t{;m?1an|yVV+ole5T5(P_c`QyFSe4M;kXe9^ zAng$YeL|NPa8gMy#o9Vc8`1j;WD<>FjI~Sj&O-zF)drd_lY=!5C{}5EIFrSvB0RbGWPdc#E}><}MT(TXJdSLo0CABIp&;>#`)f%Uel7 z@R=lfRFI6o!BI5OUcGX-JEP`VEbfGixP{#{3g!p<&n=;R(lybsT|PWsDRBI9B~f=5 zPEKY4n<+l&y~hG1w?oc5qn4Bb)eDe9@u_xrcL!EFyv67y3E%GTOf|}L9Denld)e|n zZbo_9Et-w;^i;_u-P}L$4S)T&f4n1(^k#I8vb7-~CR9+1S%}6c!y;;?{0JaHV|2pn zOsHkal<&42DUd8;a1>@0{&jir}OThRXY$@srfDkQ*5tQ_movr)eJ1o&fNlqcAHS_!L5T^QvNG4xyB^pC$h z%2NsoWYck7`q5MktPu*vv9?ZqQieOyN-#|5VHJ(akg5Pm0m$&>rhp|~(L`mq+pw*T zEB4TjMrC*aN)ZZMe1BvoqngQW=bS!+6)7mtqM(nO2t~YO2tsQzlwqff1{%oOCS{01 zB^f=iBu3z7t1_zMs0K8qa1>6}Ci=ytH9pzBXtkc$!&Q)fGL>b2{Tu&e-`QXPrtcG_ zpx!J6*rVQNp3=*x`xH>-7)ZXM6?VfA?)5cX_P_bDzxd}?o@7w~P#5$l{u}^>>s<$d zCox)q)eTOer3$M^y)C$g>3u=-3=dLSqOc(4l9d%V4D|H&XQ{$$#naG)SE?`*JZ{bo zcx^zBXp~gYBl-)qT;hjLphvXziLTpi!SE+4%mhajlPZwO1a_hWOf~RtqZHy-JztBo z9@0i`#(|rv2*Ju(K3obJ^poMShxHOnZ|oq`+(lISo64yO~ea^4~3 z5?T&W0Y}IE`H;l@<)Lv!(1O8*Vl(c~MW zki{SPhubiOOshqf3(+l%LNOm4oE3=W=`okD5+(uNvocAA2?>lV(6qV>(stU4Cp2e^ z?IiDX+g#+BgcYncn6{-hf)OSfQ22_powgzvI_Unh&;P)g=jj}&22Bucomhx2_y(DY zM$j<{8~}sy*dhp^MSIm$jJ6^w2&AqiUE@V<%YJ0BDvjlBxCp04upP+mfQ;U zNVuHI_E;@o6D+9ZypZ`ycY3YL6M7x}EmA$v!ki4b`DDngwMfk{{M+M{E&6N3Ihrl+ zqp`fN{@w3=`Ct5$I{s4=MrL_S`Uve}Yh>4%{Tdk%G?<}FiMOE)T}-@@8y~4ERw25R zgks_iBur>3lPbhU==3X09^L^huU!l%WzXmQVif|_dtxB_^J%oaw&FlKtxde4=}##n zK@igc5hQ2;l6{(@+jFK+Ob<@9y!Q+o06HYIz9fTED|+v$elj8%$gKq#Fcun@6$r+! z9~-CuDW6L4%a50azf*$mvmvs<1ne)fpA6#4?ON>D8%22n8?tW;TLPan$%(YX>MXEO1oQBY-u-BMqJq zHfc)IdEGsFc%~QNDr5BzeVwZfIZShoI6Tw~B+lt~LK1ufZD~yzt2DX1IIdZ7q~p0l zmuz#Mk*AVtG6e<6wXnp6RQ9xo8iYaRQ3f?o{bUa{{;fRJkc;d{HGdPID}R3Ele%~J z>O+ksIxV37P~%Xy^H2|P9d$;d$f%Q;2jLSM^C0MDjB{?8%t%~EYd%zYhnOi@V^CG( zIzp=?yM06Ag%42NpWB{k0W%ivcI{<0nR$N5ICBXDw2-}~`5lFD(e zAldf)h3U*UL7&6d(7B>O-IVfRAdgCyv%&dB766h>C9Wd~v^&J>2R9SAp~3+VuT@=4YID$X#>3m;|O!tbPWQ~R4Nc#ll*Anf;e zDcC!q`SbD>R`kpVcUTfgiME6j`WM9xxS(e~@N|NG6JSP=A%bL6i;6DjnGZZR`(t51 zKv#xZ78mr)2jBmVPK$;4W6~w`cZ?c=s>s#v=%dlDA_KZUepTiB?7@S=v7(3DzaZ|B zS_+%ERkmKx`oWZy{XmoskP3T#?C+hsr$yAafThRxPSe;m_C&pa&@GxkW{%xwf4>O3wmqd z0@f+OhZQI6hBR~RHl(juhnyct1(XEczCrK=Q>alEnhzR5w{OhsAAvB0eM6HVFfn;W zw{KW+xn-0i(O8-OP);AF)$JP+_C603#NEw`F|!Xco-%j>N=lmDX(Z%K(%4bc#G8Os6Bhd$Of@qJA zq8>@jz;r@Y%f93=Rrh)nfCatMTpcM@k=nQ6eho|bF%r_Udhl!{Z4f*a?LqL+2j=@C z0yc)KF?3|?e90KkwG8I;RCmCiKG&(Y0de9C?WmXdCa%rybnpG z7*%YFTAoA#xflMw&-uU~9k&{YT_odsV2^ctIUB1{0qSc&mKwomH88nTM{=SCZp3P! z_pMlsj-HK532;$zpHHwF2*YGWqy>kq21wK;FUPS(?Nvvs0Ts+dBZ*v=T@BAngXu$% z+u3wz)VZ7ACs_@2%WYJ19$-IONe@|3V_t5Vj_1*->hsM>R>SMo$TW6rR=hnxo00II z_66N|?V8DItfc)9l1!$ylIaFnLKJ-grEenGf@s%|80aCjaM+hF6KS*>K)V^V7d3?T z=p?X#c`tCotC6tC*$;8`5VNWWjLeEL zUC`NrIE^~HNf%P7<5tv!Ae8P!Jabg)F~3Hmb*uSRnT;LJANk6ZFOVSy!K%u3Na$r? z9E>v3I&NgZG!JjE@{vFBM&|$i_AmI+_kUtdy=mFSLX%R2!P6iE9FS(ltV-35;Tyf`(%{-`<)FGfd3>zw4<_{`Oz+o&onf+?9d_+a5r{#H9WTS&fu1 zkA5!R-Y0;I36*M+N1!saUUrz1+=;@)EY~{z3pmO$s!M;KB^0mwP!QC9O{|C>P}P1e z7_uXHs0MkH_?(p;hj^0;n7}1Dr3u%AkwI{cV>JVksWyYQEK%p`#zx7}T!L!9rnf=$ zo+lHkYSS+Y!(vJ#=sI0T&y=P8b>-)ubl*PGc|G3s-8w%;QMIO8TihZq#9Y_L+lrr6=6}4 zl1VEv@~DDlQIU@+=m1}lk%tM^JlGDXH#L(kD5+8#Cot4}NV9J%4mKaEi&>ULLFRn- zp?IIRCgP`?X+NYLQ>&f&BBn4Tp->w@{=FymxCL!3L*9jKSEL{1E zJdAXKEN3j<&0+EG!aYOA@Vcdhi_vyd^LXX08!?cr_GKRL%fI;pZ+LFZp&uM3CP-^@ zST`$$8Ub-r3fpG-vqKLI6zRRiPaov|7#vR)9s2#yc(Ulw4~`Ox4*lRHvFOkb4-#X& zy8H-GZ`U6rR<&0Bpw6~qarlM#==$9wf#UEm@jv&q{Zss&pZ%M@Pm~B~V$)=TOG1WVxS4i!}CR|*BicG4CAy|H0}0ON$3S@B7U`^%G48xC5S5QlN$ zG4O^DfyIRVyD*tmEa(z7L=YhcnX)Q>=jjg2C|5uQ2Nx<8d`WAi0yL@2(%YL!Y7qRI zjwnX8d2f&|~#XtN*|JEP+M(0h{_r<()GZC0=OnMElVqus@o56~<7M(SysZnshD-$y8jc#nM zl9zJDQ%5i+&%itmkS?=q0M2Ud+*gdYwz%`K-XQL1&oSCsU}VWYf*R8rZ9PpoF4|hu z{}cj?@hzkPNqM_yYj=R^3J_oiD3jLA{2WvAYzLHCk+hg2NQ$~FUJLrKISB@53AjR= z;!{mpB>7WoB@E}QAyim1-W?}`#ffTDQIHHd5$TZ?pdDIFf&7k_J{rlWm2awRVqsg~ zK(`BYq2D=>f4$lo^A~)Fuu_3i>7K78n89A^_Ae57t<4u39&DySsk6;ZSY8*eP<7XH@M}k6zWmtt3$NQ2U0h;p!0(6?Qet+{}1M>?7vdl?>zGpjB=+ zfilw}-8LOkaJwgI-+5Bf%}h%Ak>B}UpYd~J7A#CC>>8$M!Qf_-HC(`MjdjTF_&e~A zOH&6hZ0tf9w_tr>w`jr8F?doRdyN+UX2od1(EmU*0rPT8877x53>z!t*PU~f7MYnipO+<1K77sCg zWiu?-iF&(RJPKlFuLvUlhPW~vn*O={Jb=@c2FeX48#RKGPBTd~cQV}wy}sRcT2aLy zm}L3MdEG4L$AgcWTQ!=-kLK;?V!UX#>Ib!<8H`r!YRF(!OREd_H3Yg{^iR>=)}#8@ z^(3NP^R;a1;d5`fg_k{McSfRJmOzs(h2TdpwGu*2Nv~bk@CH(>q$_7;oIDzE3c~ot z>sUqAT$t#aL(Q8BHNWdy{?@PjiW^?dx>~Zdje z5s`5tnCe(oNkSt%NNV!Wlj>NfHCS|Lcz0;;Zcj3Uz)AKhl3*Ne51#5+=$0ixFxK21 zmKqWJFQi)4DqgEC>GnV+yxoyyC=}*KjXABOTEHGdu3t0+GSQx@zy;-~vj+Hfx$ufz zM}g7scO7;*8AB7}V?LCjPQ!pS(dQw)zNAl;g`~(I0ION~nKvgCl88?+Xv~OxnV~E* zltnCRfBgJ@5YqRBCFvQ-FG>-NIXy4l;dx*V>>02rD z#(r}|>wV6NiwjUMe2LizH0 zt2&bL9%16LGVUKR^|1Yw1hZ-9iPK}sh0XkMuF}!3-Bx^ng1KlrV9ZMO$_uQBE_!q>J9lMEpZ;4qEuCYYmmGy z*>MX>fpV^|wdkhDse zjM2XPQ9+*2lMIayLBwCJGK=~#mJu;x)!4%3WYF2 z*4L2&{U^TT$NuuC`|z!E3RLD*K`$bE*6PKmKuhlyH7$OlM(2dzmFX&YptMCRxSEY% zRG@?7B$5KH{y*65TD=$*s4Mx^vJ+86=W@3>Vv><)TF~D$=NJ_zpyc2z6_4@Qx$2}q zL6T>KCzk70CuaqUAV$4<(B!rDAS=)nZ557=VHV)%&L&1ufMy4gAp~P8C1DWT3ku}e z45|iZb0q(Na5zWu{|BdYB>#V4JO>D^;w=JKJ3}-W!zE{gG}oT)(Q0FH3JG?j1R~Zj7s|0KqdX+ul+lJ z@biA%2sdvXOL{zl*j*qpPG?Kc$mnc+EGgPG+DVG^jH+NAOS)c_-aTKDo>3L7V@ZMM zX=#D!8JS=mOL_#sI7}as7rr7rBNOC2a0ymX@+?EkU65wi1OXMcu_2he*sMsaTLqU) zUcx?Od`Lk~`HJEhnIxv57sB#7j66tEA67{O@mLesDa=i2e@XF-OcEHYGU^mVLE4)m zo{>p{53`Iq-R_u|O+S%%MkWa?SixBVtPF1}OUCI^F~sH5 z8A9An-4rRrOS}w?9jL)V z6#nucw!8x)X}V4paCRAP&t;f)i`q=;jHkL8Jk>kC?JxeszcE@&Rel@fNXCk(b>t@Q zs`lKMNWLwmdNO6Cm|ACZqL|vI3i^{Nqs3IqnBQ12wPszm8#MFBKpnw9dk`(1;c1uA zVydTIdJ;6GP^oLyw98mA^;pv`;6`unPEMxOv`b$(GbOt$?Q&Rcx<%i3gFNkmdDm^V zJ0(**?Si9sBx5qg(=K3(Yo897T>q6k?Sfg~?LA7xR8-|l+GVqAMzW4NLGIT$>P$V8 z^Jy3T{-*pOaZ!phRAxKK*2Oq9-Rq$;- zAhrr>j7CbEL!pUuL25h`ERiGxF$b|dG!>o+I&n1cKpJ=XiVR{+kdtbp>0eZL~ z)tw1q6ay#GARE5i7iH^$)OIGg!}v)}o1;YAUbR>xlZ1zjC>KlaV4FIUk*Nlgd~{6! zp?0ck{ooSB)-^$xEx0BCeOGE{f@Hiq;2T1m$qN#tOUoG~Ga9{N@bwR03p$nGPL zVgq_OyxcI16601Xyu3L-EAc*61mdns?{NIcyJYh=0ku`l?+cf4&ZH+ig?MC7!f zjZ&&A<|b=`@U%h8ej^xjlK^d!On{S$hv$ZQmh2=ENjh}QO?r|H^Dc9eOlg8G?}j_6 zJ(xIII;Uwx-gy&5iCPP%_`?!w5FFK5)G0yB+(30akxL4_M`0-^uN!dHDUQfU8FjYDb2ACgde-T%P?CqkWRlzciN_hM!ODJaP zhTY+$2-xEqBR^ZokiA{(m%M#2Qb&Zrot!K~FTuG zd8Qxzi8p=iXS~tD5^%4U@3cGe1;}@aQBUDS|r?j-bR3W)@63 z(fo2*qf|cXiWpQc0m)&%WrZIp4;Q57sDi*qAUA>)(OabX78jI&q#SyG;1n_Xr(3iQ zG%Heb_=-RmsNlNfzs`R@DNpZJ}ukMU$SJia)9wbiW>Pk~t7KxfX>h+{e$l#WUpDH>6AIm`a2lp(c z6c&E4>rA8X=yHmmSJ?O1KV^E|F6dB?d3Cr7JKLG!dtZ?|4+m@-wdk+9C_gx0)khE^ zG*7Rfam$@rAVbN*47x*>%hIVCZen=Q7p36@w|lcjb7y|X#Lu!dOPeT<&W6ZFGCb;w zBU%w9rFvR|%CC`>0+OwHL`WyE8Bz{~RKm|wW+#{=D9nx5Xj9U(K{7n*h-;U~W%3H~VJf&mX#6z@?P5sx*&x}Npmv=jT+_GCWf@r97RX#7~3 z2l=r)^w_thZsI@1M?OFN_V)0vf8(FZVLLAxR<#!mhwr{<{Kt6I@}dodw1^Vck;{P* z5<(6qN9?o#N-@bp8iXjk>tP2zYB8kYb_mH30^u12SqR>`&7HR~#7iKe4IitxKexmF z2&p1!iXq-5Ar%9k7*aCCOCAse^6_&FCP9~<&UPtbRlS_;da+HItSt>!xSZ{Fu}vZA zX|YWqDFoUb;`ML$i){=^x0}T_g`^P3`*S;-@Nuz?qhq$a#Wr34wz+=U4*TPLNiJvi zZ+lp56ZywhVn=7wlXGYxRE;` z!+JH$b$;&s?P!sDIv7F{zvF&(xXh>}(@N@BX)m6r;NpDMFiASjlCF|kBemb(B`YzV1v3Qe5oBvDcD@RIj_38h@dNK~B$bL^OF z=48g6u}^G&bC?-B21-?$6p*0_EiOt`K%f$+X;U=;HH4W$f8X-4RF5 zZ7~N?qhKq{Yo(blLxf8kgaqAA>sL8pd8%T6LjoD@U7n(O_%b!v@|!;J>wh*_ME*X# z`Av+fbPK-63h85Mo`43u8dcd>hVB%>0Fb-mYeR#hI2&Ku|&Nf(=-j_>#4NSyMBW)lM0zZyEtoVIFX+hzx&S59#Ah74DiS`fcmWY4V7{-7v`>=x=Ae^NX=>B?;Z>0^G&Yek z_Lo3-T%G5r{tP`AY#-G`!PpcX6@p`38Fcra4*U8A^l7W~5KPKeXe~)V|e=(#NfJ{B{ac&aW#AyPYL|`KbR@#)P%#|tnF{C#gxjI~3A7;gV z3@Gf`Us=_jUHy8VsvTRtPt|_olb`kH-xACor3g8YZiFHDUryLuJ5M~AegY!+Ks>M< z&_#gsN_a!^GKV1VimwR$K*dN3C=qpPrw|la!B!lcAT05-38ICLvJv|x2-8{gLjy*K zx!|F(gJNuPXh9VG$Dm!C^kYDJpfjwHs@7K=ocAlL)+L7r{$|4Fz`$QPpj5SGP4r(E zbP=SO4zE;HtxF=yq1_-rVClAw&9{fHtgeafc4}p85xT$m{-DHDyFu)Lq-dW5mmF2! zsy1(mu&Jx>+SKK_9##8Q(mpvlu~4^57%3lhe01&o^{jCvFM z0&P9thqi}(#K|SXke%GyDu7dT-nYk@S3@c8gl$ zcw4uK$9*L?e7*PozWkv-84iZV3oRODeTslyqhIZ^@)D+s~x@Q6wy=O!y+gfHTx3KEJ~4l5$y5_bS-6f^aeU`C@*X~E2P|G<-o zFRJ8gEz?bj0sDZV8+(K zHC&&LWW;i~Lfb8qr#L#`y7roAWh zE$?XlMWYS|BQ1%f)EB7O)t+3mzn)@LNzWuc@a*CPM1&EOr8V2^B6ExCGW3UvZSYsz zi&)+MY1)uC6|R9dixK(U;Qy*varQ8-(ZhIB*$5bv#3z{1;T0Pl*gJWNY0epv*@;-* zc_dE6v|BVg5z`y{Bip8T(i4p@-oJSJv(LQsossNZ(ElVL{I#F=?CZX!1%z8CA||$t zrnG!w8{48+CZ%yAwl=X1m5!ZsQ8rQ#!;BM6k|DgvM(WLP%1#6tA!?6z_$St+C)|?k zM4%Np7;NI`Y*+|cc^lb@IIXuESZCPW6A<4|IVqhje(aR;-)|G@@k3dP*+g z$<)VAfG`Yx89=A)hU+!66A=^WHoNYcIpG*1=+?Wgvr{_}GNKQh6;z;}=^BXLMCwiL z=LmeP!bU>Ujb0By7jvH>_((l)a+v%0)cOYTO?;Xnqo+~(1RlBd_yp|`RcY6oXhYK8 zA@O87{dmz_5pY!SjD%2E?||=1_Nwh6aCSdl8P66x7dBt2cshdj=Hm;r6~_mpn~7Cy zzQku8FFBv}JBk43{RQwU`wOozevV!q$B$Ww^biQ~W0;Kl%}(801s_il3XW zuT|zuEi+GsuDE#8-zMo8*-lD@6qB?mRK?IS(*O2+uyUFcJA;+eo!EIm0OEL6XS@p%6tF&-;gkl1JDF3 zu20NURK&apLwIOT0tT`J9&~58NrWMA|Ij^KayeL$flakygdwE*37G~|zcuFPHG%;F zVMG(UYe{Ab4Y|6#8H3dfN#He-?7}!eww|jj`aym5w z`9{@fXulDtCIrWre!anvYS?o*BB27~(-ieuODEOoev$u0YGIzbbY4qrX^EO5D88$u zApY^1x->VezP*OkrsYTMTWf%3GRXBbtp2&zf9bD$S8K#uED?>cP|*xa3Ni@hN7e9K z7;&Vx3mF6!rOmp85hodBtK3kGnHVI4>|kic>>V+@zGY;D5l7+!G<`%vfQ?rtmP%iW zC8ALoO1?!U`nmr$FrW}?yK25RUx_+H%8K7UxM*JF$xC}>x zH(APxCj=o9NMo+8V)4*`c_!UH+iiZ0OtW^!R#V({QJB0Pd-&QaiWmNlt zmP5e}hFmp=uEK2en9(qMbLvcf$wqm<?o%H~__pW5M9 zLYVC-Y!A16droOT_0bY$PjDD$V%*<2(;AT+^evz9o}d5SaZT#I$yWfbKvBPFPTsuM z1T>0*EK$o5$%RHRIY#WY%Fg7XNx`D56-Cyhrg9Nkp+$?4v=oxz4EbX!!798#vK7tmp&vm!Vl7uKnKda2 zGT1zlfshx3$H6O2iY`E$2}#L)$JU7N>UW1xnubi91M#Tp5{X;ulGe5!YZkU_I(oi8 z*2kQZ=!@B|nQstE|JffLlAyzOj-Kxjf@tHHE~P1Dx=L5J4Q*&wVkP}zV=-UmjjAOn z{jf86RCnqrPSY>?3)po%8*Ruy&iDqyJdd6MD^eJ@3!$*uN<&(aO9M^)ZIL%2*J4i$)Z6CxLqNcHpkoEpg>* zVlu8yKmb3r!%$895)e;;C`th~c6t(VD#RgaP0NpCDR}3^}T4%(@ z&CuA*Kx6wq_fy~Z#Szfy&G14zw<;LVM_U0y4u_%Xw^ZI}c_JNj8�)nBDpk` zHdhAo(q3LuVfUf&n+5Ll{9p$H4VgFe5O5k?oGCq^l22mFkBs z7#RxFsDY|;?8V4{01Rgv3PyGUSDx`sJcOsjn(y5c~-}BA>Wo{;&D^ zT4iGGaTPYt1v!rtAfa=FQesw1k=vE$g4k;@qGLMn&diU$J%0SOTQo-}Hxr%wOTYeQ zzw+y0O4TNJqLVV8X1hITJ|$e`9GxI~VJ(@|qO*fv%*5`81fb+D1lIbdu)Na5`#z zB`cV*%%|CdELYRlb9jzRt}!kFAenH8 zM?IfrHu<+_@~;;8&6VrdQ@Q@0{dfGDx(aOWX~Ev@&E?Cn*767`*?-_ zl1=_@d1O@@+s}|;mw(J83t6H~`Jln?I+*I|Umup&5TR&%%3&}+$2ak5icBq?kUF=F z38|ZVVV=XB7iTa9xx$k#90oXm=stXFINfaVW{Y?K-OtH5rC0Xgo?m?W!>|3N7~G>x z8{Oi03r8>kktaj!x&xI4`F>av`^p-wxge)|svvBH25})si|2xd?qbEwsv}5?=Yrsz zqg4qk9y*mVECgs9E7Ib*Af})YSVqG&^xkjJQRr6QU30rUYI`)0xix%@6>0H&MbJmJ z=RVlyY!g%cY6iJ3xI;os3c+?8%x!H1Rj=8xk(XrWI`qQausvM8qy)LXCJB;~|3f1d z;^fuA6%h2cqHDFufYKqgsQWABbjQ|@7W^RjMdAEo`v8a;SM~V2q!z^lD3fcL>DVMf2+O|gJP!u1hdVw5dY(3~pIEJgz_lakg+!uFS z{4YXIAit-~X#^*7xRR}D*w2Rlcx@Ajl22GBG0GEHYSq>tJyxY}Aa(Am{rNQI{pIP3 z{bejqB2l8`{2UVH8t0oyq$G7#4h#h979}-;}%9D&Jzl zP`x=JX-JgbSDOL-$G1G*ZurP8ngRWr0rdZkf9*f`!7mCxUvI{heVsw8UmMc_qn~}9 z!+~@EXvvQ|>q6@qLgx$F))QbGvETxND7@ELJOr~P9klq_kPaIB?CT8Mdr^Vz>s)T{ z&$G=mRbs;WKe&xmE7>u@^3MgC2hhtm3XpdpLnR`An@4>wbPio)8NDH-y_X+&U<< zZU^}{?4EqG72F_GqWqK1)WupJA?IB#FmpFA+_SidPmzg}qBM+gYlKT2TzA}C>;Vah z=XF45qMGmr$wZx8?1&oixsTq#@dV@o*2QkKA!r7s;}U)N8(yM-Ew$ zc)TatL+m5|uCTV`pZ|rQcp=So&^Pcg^7qjii?A4LOS)Ynlg_|YgMqG*xwZtwDi|aqhp3rK;+A2z~8H z^Z*Xa$%6Jz)1rkqnI;l2-w9W*v?ZD6I-J*5MWUQ=0VI@3bt~d>o=@qqXE`+gw9>no z(sO&1o@uv;w(%V=y%aa{mTpn9?fMbUS9!~;hpFoss67|IKtJYv2feG?8*lq@zxwpE zU;VLTupH~D+5TQnl5FeQR&hui0Th(slfcDyhH(dWJ}S!JfneshrAbkk>~Xcj#eKNsh)h)Uc|GJ>%hk@Jh6=eiyTF zI=Wm1G6BK>HP=G60o?_0)bYxn*e?3H%ZUy)&9-|rA|ZON@GN+U)^TtdpWXvpUwC?rx!o` zGr_>pN+U;*bPF>w(VSHjH^{$WYV#7PnD0$p!aY=r>t$gHo(UkT$~wL5R0mqu^<)vuj`B9I269@U&WDXeo6pQgZEzv>8Qk zx!0D6=y1MNKRZ_BGvk7I8c4tEgRaG{_ExK()Ih6 znjN|>bRaWzD!q$lkMa*pJ==$u8a3%IIR7?_%4YulG8tXEo+RoHcl&p4_Vg6HM$$dO z+r~!?T_z=+lg6iTyMdU}CSTcvI0?i2e06H?(}=$7sMA}5GkDyRK(efbKT3B-;0*3t zGDw!TDEkg3k}gjcJ(9U&({52~lIF7M>nWT5iZ}l3Q~zy*g38MCP|!GfEZ|}TK$LuK z$qu0xZ(o^NcR_URKs-Rf{f>N?N>JYSQu#z{E-Rik>g~shH0!=%6pq_#B#sUuu4 zipYeMQy-dU-38I^P$!olE<-QLT99Vl1rO^3Jqol`L1U5j9BI~zAbKr|x!f-?DYRKp z%(_dW&I?2x7;DtIb?VZpBC;L2Ov3q~r9!}%r^*wUeRTTmvgy0b_(dKJEUzxadfl; z)!;U*nQsv$#t@_6c^>G?RnMDx^YsJAqaUn$XRIl_CfkJ3K@L)H`_{UTyX1M!k9sL1 zS+?ZZWba4!y^Wy&>elpU;q)x5LXCcr{X>pIFLS55H2IW|Yg z4NrohRJ0Q~IO$tfs8yR&<5GJC&UK_FEH7B*wB=hTfLP|A`pS1a@!ru!#HH02;?lP1 zRYX1r*bnR>g^RfdR;iXw{S%HJpoX+9bSy?LLW1;0tMAH&(+Ex zUomy=Js3I~hmN?EpaL1BTyc$PUX)%4MqCQK6&4d3mmQ1IU$YU6xD-DMCPdD0zul6B zfJZ%6OmQhBF*j42lfc5a7c#}AyilbJva9>FCLd?pByPp>Z~PuKR#wzAN#?tQacDX% z9Dx8Sy!CnJ+k;wmVwq6)U`y0LeN>4TMRyrfqJ)f>DDR#KQWa-kDU<47#}jR@6R_Anxc;Z~3f%W9&>%Z~3f%5d*>3RNdcc z+nyCLYC;h%i3-H1n&wwm3fP)3L$Pg;C}|~`O!7;P{*CCmfw*A5*>&1OC14PC0@IL9 zSspqjN+Jkf6MZ7UJ)>F$U9B}el1wU+q5iu~@P$s~lEYo_s~faGLYLdoJ7y~1Aw(r= zQueUU8f)0$7!&1NG~8Lgx<{9H)*m$~s{SoG1+x++#u8PfZlOt1>5e%vh+T8Ks+c5x z?f+fBY0=Yp=#~|&u|f7knnZ=p*CL0kbE)HNom)H9aL~_D(hoiN>tTx`aK6ZX6jDFN zgq;;oNP$fl88wM+WDo%)O(0DvU_pI6G<#I!P3$ZB_S{sJy-_13;}KY-kA45w|Lb2I zjggdw;i)R8G9k!U)&lfTha5$VR0K=pk<}J;#CvW)PgH0rWTph{x@jt3dO667AOf~zdoY20$*qLkWi~8SKH$M4y z{x`nq`$VZOXi*$u+-zC{yO5|6Tmi)5&E9Mo|5Z0zEVfHxcna(J@Ur6tge|Hi`JTEY zc{V@?ffKC2|7azt09_KdnG^E(U@+@RFUh{5eM?X8!frSu(QZO*W|E{sD&Iypby%!&H5Q^9L>tM{iF=YQU1J&%UW{~e;xm;@Lt8H>mM0xh!K9R! zH47>5aovH`~lrn z;p9m9V5eRX4WFuTQtIRK0r^(N32S{}9H01G_4^@EpD?%6{sJ|o{dX)!Og|X)g!TU1 zj{V_T?-25l;QE;7gy$^TvE(2U8%ev}+$6V5eMk3$WWy$GSjDxOH_$C~v$g?~t$ z=P&EX@_jhqcYgQ}{M_FN6{*poM_syws(+s$hW2JQpUb|2=A(*q!QC2#pyFRIJvVqFZPHY4d4hS+IhE)m{c0$Y5j$}mt znIMR3stk%a%Y*|$5^O&`P4ELXrb&|d?tth|yM$kko6|XZzCF*KqCJR57a`o&8bm{r{yclu()`?owEPI5u7S9#l; zbp_@9iGSg9f8|G`@K6_Ol{Y#lfd@?_1FUStC*obs>H*auR8EYtR@Q1M1haNPS0ZRw z3ZmIit4C_(z%mz64y%rq&&vCV{1UVXu?fuUt+YtZ?naj-6f21!sv{f0T6rHq>JsJM z{V`d2A5o$&=ZKmdo46Ujx>DXdmfBoV)v1PyR6?ckit^wG)i`xMqyAebbD)d#IiY>3 zXo|X;BBLkMuhLAl!GqU)G~T(nwdQ%ikFo?KEzLJHMfw5@y@FKOTfgOX&;44cFfB;) z5Z!AP_XnW)R5(Y3tr`VJqDHjH(O6inva82ibq47406`s_RBLoP)s*p#?xF_VXgDpd4 zR#2gMIrR<|HrNyllKOK_8Fib*rJGcBdXubWI(|2cSy7EZ3_6SXqipBUczjQj#uHx9 z`5Mn2MzRMhpNpTZ8qd~* z#b6br#JptlRKHuSvj6bGylu|oaWu|liCbvqe0u=gn!kDyE>V5-e18t0xQbcW0lqM^ zU>9jrGpio%x}mCNSH=`iQ{wcCz8UDD!aIIxt59_j54de>lq?x4H$Js1$2WbS##Qy4 z)w{-c`^xdPy;nD;s`c#{S-wwIzvrL6?;Cz5RJDR(?wlH=o6D>~?h{>PUl};+f``?C zybzXIK_NDR)CEOwyXF{63X0CEQZ7^lE(lG4N*fCHWTdF7T@coaW3Y()9jpnSeT8$Z z@<&6dE2?T=5!pqg6R{#HbF6(xtVmVuf~d=ZI}A&P8Y=4w5`tC!2&K^I27)EgU8qxC zR_BkjvW=1(8(p2&tnx>vopH~XOBBO3*(-()ex4W?u15!-qf;#>s=7$}#RSi%JAJIW zKWHSV_6(W8`i@^&Rg0n%9eNr2Fe}MME$V*ff}*-tUr!{}w?|V9 zU8q&-sEf2(SNP2urrQx4wH~#uyEW?`;kkuiR_lNosMbLXK>ob=@JFZ+}ds*6{?Wa|tD=RXy=zvRa22QDvxL;HI5wPkP|C zAMB&ouWq4Yn_p9_^-{muksMW*)E}Zv%7<1*@(a~heeSGEqjWP=l6=yCKUZV&SoyzZ z(Q=|=GO-^eXV?KxRlx~BfWA4{0d0ela{z@1P{$jjGm`{R05eS++r7|~fBl{h!H zxV=`Y({52~R_4YQ*VEYID?k6&|LwmT5m#j5=+x^L0I11z!F$*{#z&)d!H|=Pi5^)ttugHVOML^a3qI`eK(Q%$&2CqgOBig+kxITCrlp}CM06BWjn(!R1#$^|j|L94qEd|01?5EQiBQlrso77YYd z9fctEfv*S!Xsu?~>rM_;k{Oflw^h<8Yq@n02B#Zb#MquGQ$K@tJzY+ zqhH}yOESX*L0HtJHBvbo2v%L5Dt&8>ypa_;lFZ*oN>P%pS-Qfa7R{0b<=~pkFiHFz z>k8X<^qgErN)xkhVONj}2am8hdcHl@M;r;Ctw)i5jYhSwsy*vOs2iq+83M^aU`UvL z(NnIb0y!mN|KhE^`$D-0v*J?@&GKFzws_;Rk={r3l+JhITg~fWWE!2$yVKFZnOz$gQH>g}btk!#5mi)pp&E*ZF668@bVgJ$&BMA{;77HIrHrUrYZOFID>T<= zeoTd^>I6|%WTEDv5v&qrJJdo5rvX2|(-p5mRMEAC-9(tB<7_LM?D#p#H1t9%l)r1L z>a=G0L@k-HFyOi9bI&!=WsublwPe0MC@o{#Df}XoF>5I3N1yBls3DDjOt*WO3SyPj zAm^AYoQCWZBw_diy4Ni?CJrxxr#KAl&R)Rd;04&Td#)ESAzenZ)v z&R_q!KM@>SMNM4$I);MIY6w>Z3j?h{UR(Rhf-n~ZW6H5G1g?!BU+*G#Kpso*8)?Br zMGz}yPzG)s$CR?MIoYMGTNhKy1JnvH6f;>_!7KZx;A z*8htaRzCSZ``_kk+0-N43WVb^LpO1LTI9B9Fb^waQKBuHSe8WYZ0W1$8;5u^$U7Aks9!yc42$#4eFGRl4K`{JgeI~|Vv*x)q(5lX z*&{QJd@A_(UKN*?5ScPzpex1S@c!Ie`W$<^-$- znxo<{3O?Y1=$j_*`Ef1v-<2SrhhSPRLE|Un&@&EH;2T>JY5>JM&>Tk7uT~WJ#sq`q zS%x{pj}iE$2m-$rIw*RNwm(MT8xv$BXYrg+Hbo{>b!dfed`ab^k_?VCLM1s#Yl%8( z+gnoq=of*C==Nh=LlnxAr$1c4J{J(3N5{XJ0-`H&H0R9q?Pac~-J;fkoHN(glezwv z|JmRE&aa4usv0b~6K2g@b{aQ4p8E#Za@JrQyz?KAzL{|eE*RLfegbHnJYX1S6F+ta*0rTEOcs+-#Tzo#T7A9Cqny5s zjecW(Nt?CNFDGI(9%cDo^>9#9VjuG8C|wv)mwjjlPJynhd+ob>A(x|j9b&I=R{B1f z1LN^m`xn$P2^u0I0&i`kyiO|KVh488t_lc8W-@iAE}&-koTduO_Ko8+rCxAk-BRs7hTa z2Pf1`gZQ-i83@#QgAZ`Nz#XFA8|v?z^68t2*X(tA{B+pA^UELno-Yi@sb7c1=(4r< zp%49#by#Eow;VoQ>xWv0d19}poFnTnuzSq?g}9CT6J8W${bR4?UVI>8(#bk!&SJ$b+l7d5Xuv&kP>M(VLhWt9wa4OcX%o>MDMIXD2 zn<+8)8FSYf(#ke>o}$nB5v#;z(CPL-CsR-(c4h{hZiaVr`on+s&wOLWF6VwXjO6+(^#flqn2Y z&pM3S8puxP{LRr@gn+iXhC!=Vq%kdr_7)-d&BQ(`!JNN|4k4SBb+d~@*ZG^$Ap}20 zD?MFu)R@w5SD(GhWr^=1G)SiPiV#U-du7w32mgCjnb?mj4U<>qc;Na?X}}*+rsz*0 z%M{a$m$HuVk_1GQ}wbuNSSVcV(Vd_h=@AB>vcig7FX&2;`vzEcZ0 zBE2D(Cn%_rJx>0AVlW`roW9*pnI0nk|1=DzSU)P$& zT%I6H$Uj{4Q!J*eT%Si`hB`R!fA8F#iS6g4ImM2JR;h^XZ+H@Te|ZQ|b_^BB%@sNa zv)d6GIulsCCEELpE8HHgV0vc+`e}9Z%o)8N&gg&gJHPyvzd35(O5s+I?WpWqDcoA4 z>qTWdv~1RmOfg%B<;MC8+E)PEvwedP!_iXcB(#EEYF5nl4V@v_h!z%egAk$-tn3>K zK_wx8W)s%D+7EqO_DxMzUmFOuUCyZqL)SMLD(qBzx{`f!d)EG)?b~@Yo+0~23wE1@ zTeAh5E!h2cKeu4Wv|3y|cY?QNAMqsmn_go#FTeQA#Y^{JzW>6-J;8Kzhv#G8=by{_ zzWe^gd$IB}FN!6?*1go5gWvh=-TJE+FW&y_J7d2W?_>L!x4tuW#&@gb9ToE>dZc(V zM3vt7yRUiQtFAEtJ&;$<3FyIeF)f(oOVB9kpoq;012x)oF)f%29)SIb1w%Vq_H(Gx z#k6297{ed7$UrxOIRPEBAAm2_N%BdiPOZ^0jYMC`=depYxi;IKutrJFjGbA&JZ0UD zoteIyp0MtZeh*BvTwq3ZfF_bP&Y+L5z!QnHnQM!PrQ*m-6-EMCv??RwpO$nARO&WK zr;M;NN1kQR6-QnIvV8tM_AMy)f*A!z9-9c*5=bPi!L$k$AW`~uI!H7v14*s*j+b5v z9XFf8+cSmJZqXdf-At1B3!nbL;+H=0Rj;}OG^KzkEmS<#Uec@b@%I_Hl~FSpbTUBdWIIY0MJ*&_d+4!*y|46W1F8N$J(iW-Zb%>KQ|MdM?G(PDi^Y56hdv9mu>&cE+4@^6$F!fCB` z(k}7>ZFw=ro5e)vNTn5#=@zFadw~gPtaGF!-j?>prAK>#2?RhY`3|7bO#6IE`G_AK z5DA`CKHK{Phv8O;z0BDwk{b*TR>?idkhNrbx);b2pw5cm$6?!jz|!NrzzX=k7h6k6pQmBc+sD{}^sHDm6D1 ztzW7qlbb2q;a6@p3z(qTf3sZRNlR`y1gc!DY^VKkzK6X3dVyy;@6Q)LAD`Q?KQ36_ z-0T;4DAVcS=TyysHZ)IZxh>8&hZURMVq4{6A<*uS54c?~wz-?o=Cs&yKK2Rf1|i~| zCm~SpFX`A4W^A{MZO#R6SBq`+`yo*8U;qB?Zn4Stf4f<1t6Vq)>is(xEdLyRyh<)v zuarY_QI`dyxO1SwdE>#6QoQSQ982*5N+I7N6@I3KMo zi{o7`hd!WTk_w_zf!LW?kuQ@A;wgdCh?f^VCoOp%f|*Qn#I$+XBbm+CsR5QC*4r51 z>PY)I3|7W<62+!p;qr*D=f}o$BW+!Q3G8OL{Me&@S@B=04{8>!mk=0^*TwGkhFiL^ zvAE!$zo>u(LwU1t#ud%v7&Ld`*K2R9zmSid)#fuYl63eFds6`@Z{`_ho$g znfC?6d`G@>6^@Pc)f9XhKWhR$4XMfu^cxr|cGq!X3929NHfF|6ZU#5`qWAxYKl;;W z^p3u5VrrQ2p4q9DEiGnz1I(c@XxWx>z9}pp+hm|>y%Ef|bcbR%HRGV$wq`urQq*>H zY#&!-Pu2ljdJ{ud%N6S5&&tRjx8wr^TAPRMlKcIJ?H3UMFZZ4P+Gz0*p2_}*aT6-p z_P8GP4is4#DsgW;g#vldpWuHg;HV8lRr{CcOXt{H)Kf0mU${&bfoVjwy6)ZwS@eya z2;sg@JdYuy=5D9?s!(B5xYM@M&{RSMWWZX^DjU~&wb&mR$hwLz1(rwwoorX};bTAT zC#7N1yA3el6+L712macB{nH;4T3u5UZDlgbkvN|XcYK8brcExD$bu(b(XozT971n| z7j1TyA+rlc3tB`9tsu2V5yWI5XblACK%`s2oEWwYG7i1ju!GyBiDAwz!a1VWc_TCA zDnZ_PKQySoL@N;?AqAkwqJ4$Hhg#hQ@z;9tuh^Z9T0I0MLMoDgO7Uv|4FI!8EL9y_ z&~TSTEX77|kVJcWG`H=>7J0hWIV?WFrWHf$^pr`(VAzaYU% z-9o4;ZFHS=V*}-Mlqpi$6<8&3bJA_H9lDJv;*9-3x1NAp*x)4#p(6!p|F!Orf?FoAH<55D)?e)78lE>f7?m7#9o z&Y;USoIS#=>_EhK*uFBj$OYj&q764y*ZyE_8bXi{p$neY$a08AT5*9|C){m^@ilN8Stj;Zl( zLXgVP1rIwk$dnb4m26iOW$2Q;PvTy~rf|-7^=ndUa0P7&Vymo)4o<2zi{7SlFQAVO@OFgw>RG(NeBT>iAo{gLkim=q9IdqCvK|@$7 zg9k-moj%qT614}Zl#6@y5axRbFC=&{xd{~5)>2075ubduVk5gW zf!pNE08sZwU{R*8;4!j^<8d#=o3YIW#IZ`iBLzpowHR4V(F~!{#PjAAi2$ zX_8O=&;GahS~k^X2~f!kI2g+l+x2NZ2s z*cEInzE5r*qsA)2hCqG7^2o&g5)sGwgRyW{qjTYCht~&k3?92!ZUeGQ6>xXRHe0f3 zVh50Upo-H4-!zbdY^MXZbQrL8DmoGPGS%;w?XlKTT59 zMY1eZ3Ca(VA29i@WVTNCipAaQmn$dScWJqc)pPN}>MiOXWK5Z^zE?7T||6rSr{Q+%A)sH~JRcyW;41ig{ zTXbeHdY4`<5|tgEdca#$Rnl4ryjMW<@OGfiV@28y|1p?Gr_%;70<&}IfVZ&28iQ5j z&__5k&58kUapk>|#rD7fTfqcxAv=Im(_eE!JECUE1aINztij8dgQG-1ouc?T3EslZ zSud^Q2{O|44~nu#W$Gl9eQse_dYze*Ruib-x=b1>RKT# zO9%MF%5(q#!(!bLO~6kKV_q4Cex46CuD`t_=n6&W5;o^p6>Hd21T5zJdZ}1;%SUbz z4ez74MalZR?Z4zqyAA+%F!Qe;q10WE+!^%0Zm*jAC*JtI%Qr;QUT;R5r?P?e%$%>u zR!*sqT*8LPM{BRimtP@kR14-j6)S-RWB|Yc451bbLk@>t3(kl}>}e#cLx&-kbdB1` z<9-E_aV;2z9OsBGB!ZNoBbY=nZsX~`gds;l3-E_z_tEK#wzN8Q7;*+3Th0$b9E+l* z_8gNTha}2uG!?%+A@f%+nG87#5Oy1Z>98iL($&F}A;+4>6^D1@=)hw-YlfS}OSH!r zKsZH5xu|)~$tGX%3oTI(K%>G+N|}#&01uoX^#GJ9Vj~SM;&6%oZN5%NDOlY#T73OM zbzTczAp=}oG5MPr)@`Rk={%U?UnxCH@o$T;FfmpI%z`M?JHUO72w5t(BVZ@sQXveI z!cY6lcG|xIY!C#W2iV|zb2$&vUT00FQy8%P9KAdq;orsPLzwlm^I8+U1}bXv8pf8V zXf{cVi$3(e*ZMi*g<|kR;Q+Sp(Xd5W4mHjr-MX- ztWF1s1X-OzJ)x?SpwF>hy%zPP7kxHL8-c_E8R$UMxJ^LjNQzw%gd)Qu_)D zx>BdeOn?nR)mJ8aC&?(8gp%9<02j#>=hf8*Z`A1kJ3*MOexAehgnWC3=?VG%4AT>; z8?@ZoaM#_&X8xt$UfrRMm=atiG~nv|_I!&Tmh#}nL8G~q5fkihVu|VZ2B_=Ch>cL8 zzW7kSxj2^vp?h6DPSpKttKqI)`xm@V1Q;16rV<@i;zEW>S|4nL3MLlrRMz@@+>+K8 zy&C(IbX&p1TB#SkRb)Hu4=Yn?{l{<~=v9NkF||GigEJdK$OZiYok77g{sL(G+x|*u z?e+bi^}~NSoA2jRUSs@e%J0D>dUDF=bju#O`*Xc)&47&L6-!=a!h zlu_f9v&S9}6%mhZ%0EPqlfUZu`i8@w`aA|XeRK2WDpQI`tjcuCc9_sQ?=w1L2bT@euZ)!2EnK0hV2Tf6=}g-5L0}~zC$c_IvG?L zho%K9f~S=hjN)94(H?@dU@nLh2}U3YiR8fkS=FJbqFfNDCyNbm=shMgR)VAGU$IMS z>T0UggPJOZ&1$KE-vFd;m+VAVU2{?OMN-FfaT+GToK}(u zg-h<2ynS4A?a;Y>Mbv{-z9PBCJZs*_-4YWqB^#V1>4CZAPFsDjgU8CoP$$Xs055ij zH7Txwq@XcL^NZ3GyZ|SW^&lW&otO~Ml4m|fADxW5c2vz=Z%eh8p$u+^AJvq|X$fs0 z8_K{-0DRjXODTD=0|-u0hDhE5aBV!c7;p zO<$_}tyo-=J+#VJn+ zI2|g*kSEcjT8uz<^|lhWbz>c)^{a5y%$G8|ZeY8DL*xIYd^f^+GUtxZsb-paBMb()O~PKO-}P#7Je`ldO@9HJqO$p#EixPU~JND}9mL$u=tyci1ZRxG+E z88rk`0D>67`nv8`==RqL<^bdgl%#1}K$wTlg%rk#9RZRk5fVj;V(Z$muLBSmke%~g z_Ewi2rzt5?UvtkBRprdADivvx%(rKU5y{G8LDsh;nePvdt>tDX6lT`}@2GMXO@@Ze zs?jcQXCbI)*3r6So21lbawDL!W7gOzc5aF?klFZ@SLNsUrtcFa1`*v(zlu2+IV%`Z z2-w}$yVyk5^n6s;UWpN1+Q~~!Rn^m$?^D&E{2Sl$p|=E@riFOU^0%@)=+iZo7HV17 z8|&^J6?^n#T@b{1PVr?KE!-+mS|LbXPz2F%6q*Qv;Kf4&r^-HM`MV&xh^ht#1%gDH zN>IW`tG7i*eJ4w-nX`f(ibGRX`-(BB9Xmh`RVzv)Wh)*)BNU=N)R93eu_9HquZT8n z*3k!JKvh+Tpr~q>i~;O$k`>oUlJsO>JD1=E5%Py93;{mQCZ6rHMLYvy`ke<#3>y5HkN zBMGhDud6fja0ZUf{ZjfGkARV~639V>0sn~O)>j|2d+S=cPa~1nMK z%3dM$p)*v5;z6`M6u}+a8&q&!1(l)qphixJ9$W5j1(l(sfW2jL>GHMHi{2aY}aUz8lq)d%PCU>~dMgW0U#o>@0dKb!SOG9vbW|1baG&p#Eo zfdUY2)(wtuoK*nX&iGZDbr(bx{SGEZaMsTF)r=wTmn-d(iIAK91}e0%f)Fv4OJPaW z(+@$sN8p#Rtq7l&Qd@@r*3Jr~j12LjqQ!DcXoIOfN(g3%7f1!UM`Yo!U1mPVQ7hOO zzFTME@c3aR!N&2v6y;*Mt!e8F*Uih^v` zgv+akNcujO^W1})CJzUwHJx9So?wiC6G^&}ETql9{mjSc_E|X9V}!%|W295r;<-@Y z=<1Krv+g*x4iy}!8BBR7FlA=p+yV;+B*Wv=pw1Wz$2UQp;eb0d{#)|f?q*`r+HP>->_JpLGG>#^=H5v*N<)mN3eCF{)~59ryLo+ z?l7Mdv3-KOb=g)FgPkX z9f4j3*8a0cuu4Z9!6Q<$g+`(6vBhZm&q07z;&hFMx!jcq+~0IUwn!opDW;1R19f`QW$ueaIon77?b zXU{l2pn~lhh6ff1z(2=cz)r@Bfz!h?+G7-t2yT&%Zv+FU2jO~u(CH6I#Wypyr`t3! zGA#vE3jmL)-^C-&xrquLY7&;e*K8I@fXI{Ld zZl0F2G`6*Fs>KPah@;(_d+u90+gd-hvab|>mIF7PYA z3M6eSV)`Z1qY%^~LY1HZdM3#3c8H-c0qX#x*sMqk=7+`%JJvgJMM+6lD+(m-E3V)( z(bOH0qg8TToTEU}E_gZ^j=DXe-lP68!a6oVm}}O9U`6ziQ{q>wsH|g`L`8@m8|4}8 zg;c=RB+DGC(*d1&n}aLhYLf8!k^RRN0#TS_?5ZEGfU8R?F&mNxWV&0)e0z+-4M}t% z>>NGcpW_JwIFwr;5ORI=>INNApD9-f0Kd8zew8M94jP$uxydxc`rQEEO$Ba|k*p2~ z29w%{m+CnB;^5<{4tYG)rOt(GcK?eIxfu+ly_8bK*f!A9=1NiU2dww35K{-y#r|w_ z3p6Q(sCL!t6fO1y z7m7{3!6ifn?=8Lhz!q_JQ_)_tiA&4p9BtO{7Ol>h%BL^lh^gzu(-UZQG>@(dN0x6b zDdU(=e*Lqb^}63v+Vkmq=?J2|rI;rohin5@~VIY~^Ko^aFT3Sd3=rsvdRDMhl@(CsF5o2_4v@!K1k)tZnK8~j3oD2yUMu@`t;TS+N?w<~$T&=<+G z9+52TNM;kgN5MU=5MD@xdL7ApchFyf-A-vQmYpA@X*WAMhbjsZd<2uKwUk03DZgmV z@RGj>UEUQFjjJiWEnN~*xrqhiJf$UWbCvQPG8~#JMyf2+^*qa7gnd{=mNsFiqH|SC z-)bF;nxy_#dzV{}un>KpEF^U+(f)K%n#)+0{Ew z-t<`N$7`@nJu3xPBWVE1Na1Q~T{sg|sQkuT|H?5Fzwhfl^PB$92o@B3bTeT{WU71F zu27K1H{8CmV8I0s>n#fkQO*KqxUK6D-@$82O5F=`I7$?V6=^1X#XTl;Y3gddCh4*| zG|hwyg6}|m#Y!5o6>33o8@xbfi&i02SLhOB&UGAG911^2^a&CDi%}gU9#n_sL*j>q zDFfMoD=yK>rV*?XO)Lk}w3%{et0k*MQ{>II8}cJ+Nty{iI_HdO;;ckT@q09h;6Dg| zQN_vg+yNSF*37pDa^xZN8tp7tNNeW%6WKU)25%n?F%y2Ck&f#~jw*juo@W#@USa{v zCRi%0nmeny8yPi~d2D8OyTb2ck#o3ko#6uQC_KjK03vq4pL#MA%D2;_1KWMXeZO*a zFm3t1=-{us@mqiMH$O3=1C$%=o^EN2fuwbCN1q|7eqkyQjodTAB8vS42V zuS);v#yr>Z#l`b4y!hOEpL;PzNtTNkcZF_B(PQOmckfESTv@R1u5g!muH{mb%N)~1 zfcWD-_@}=5rHK4BXX`GYZlNl4iRn!00?K@Ds3!Z$B7YZDRLKHF1TAt z*IZPt04hLGTb&~vo+7w8qOz4GE=Yd1=U5e_BJL;f%3>J){(4Dqc*>efX$eBfH8Zo- z!6i-TlE}!ikq`l5o^K;bHSLmUox#W-Yi>^*UtX`7Z;#z@CO%OxTuDxIw^GZY;?$}? zorjDUH_QF$jMJ((LhCgtv?1^w&zgC zFsmA@C$lU)8!`sOPB49m-ZrX8t&dmj)VE34QFmr)? zFG};!k#XmW6&1HgFHf=b%xvzHCs5h?{@!i0zWxG)VW}uM+4$t~R(B>WPn$Avd5Y%c zseQlv4}8kM`T3&+{{nulSRhdYcH)K^vKQcIPj?``-VVYImJDQEZ?F;|y9S`yQwdPvu8wB{s91LJOnE)*`V>49od_&_>B!EgRx4VJA5;lALa?^& zb;?53QKQOdl7juq5Y8AnCqD7u`M>xkKIQNEIlk%pM2W=M?@?jv#fsAz8DTQJ59fPT zMFtW&B^B1ud3f-vQB3lV*E?ht)&iRB0Z@ff5U4^C*-k1DuY8_8MWu0;3dQ-t@Wl^? z3LTzZC7r55X9+AHn-VyM3X`;31TzzKjHs|_ARk4uj=8P2Ej;c=J>PNKEo#lcZQUXs z_mw=#=_mfxuYNJr%Bj!W31ob1#Swi+TTeZ^%7YUtv!`rLs6mOr|Fu4K4rC?|q8ywr znFE=@VURhH8Jq=~1DQRDh8iGj@M|i!-;i4mC5A^qQX#tJ!;DxIE15zG-R_$^rQeK? z;ZV7A`{7M7sZ(U+=okILg9j1*cLs4E7Q}5}LTp~CR?Z&ebAyMuR_^v{<)+=D+49~@ zrNI~c=<9y(HwMR4-Jq5{6r3Pr30sCp4q}7q(-ZCHAa*d?%|Yy7-FpsV2cq3c@u8}B z9Tl&iBSe(gORbOR7V8+X3C^aNlk1X+LvzIx4Z1Dzzjy8)7kNr9u+3_;JAH{9*fpUe zdXQ9Dl)#v^d=&^vq?rOS3Ct3fqzXQ;FxiekuoH-)_WZ0s6z7`<(J&CLczb5l{ zo;a-8a)zm=^~r`7*&@gw<~Cy}%V6<>NUoYIG~Eb4xOd_8WL+kgTH$-8QlN})v@af% zEY!URj@e==V5xs0Q5v?Up}#*e)M5>SbDS+(aC{f8+Ij~CiO*;TWs2pBl2PY3! z*}}!=Ba13~kRX9=s#F35ssqY)c#t4n!AiyPLHat2m4!)-sH9B$gC$0_Ub3+xqZ}n! zn@(p>W>#7bKc{kt+sTYNAu;W+TWqUTjLcU|*H5c6*4;ExyIA^d@-SO`JzrYD)*9Y*s0zLa8cLsYrj*2QNmW2zI$w z{c>f&KJHbOAkvMQ5jQj9-u1FVQUf#X5lXyw;m=?lSMU)Pn6*55+c^k%ZD=ZT-|obfY0RNHU7%`o^A8+Z4g$R(m-^ zT^VcMzJiBbCz1x{qf+6N6}JbS*wi;4H7Anxa7MJ%Ll4I;Cz8;QVy%$@;-WS`L^rD( zdb!tTQl}$+_fR5fw?RZ8&J#*JI_$NaNCH2YrQ+nd!F_7iq#^L54-Q=wSJ)+or>^GP zGdy)Q-=E>BtN8|jHHh|_`irdhvYi-%qI`!iDV<>>Y!8axTPmXPAN&`USyf=}U1gH1 zdVd}~8GZ?uQDdW^y6Cng+;qd8K)QXq^yTa1zLt+?vZqlN3KFYl;yi(tKSVrBl)n(>z0J4oyGWCnB^v1dl5g=%6uEnF``pyCRn@7e{oz)f>3qTeHC=88HE}&j(a3bIk)< z11g-GB-4YtIASDptUZnb-a{aC7E_zW zDV2+hqT!0#KGp&W=bPbG#sufM_>w_MKd0@ZCmwtbF{r#!#Ly`k23%Ret2bRVj7r@M zuM+OAe*Xx(jKW`pBys-;cUSN#1nM8m?dpQ%O|2`45JRq(Z@%G40b~75zKbn9oTZ}^ zHi49vO)LduJB&NWp#HXf%k$FR<}As_F25iCsu zS0|g?9fzhT;DRUxMYkxdcsioflqmfWCBx&u+nrLYyss1BOZAX&U&LwxK zsx_=^iAg2Rn)&Xa4J^8T$R%2J8xiK)gRC)2cJYFP%C3e!oo97_Fm6_E8nyIQ z6sa3#>uKCI@-wu|$F!?dM#=17+*Fq|tIIH%sxp0zs4n*tTYuoP;V!y1dfB>nYX9IT zgkH9B5c%%nkn3@|+aO)Awa{vDvq|Gqn@n0KMGKQ$nS_!0QBCQFNg)bXr6?g#ACTM0 zqyY8GCW$Cq<&unLe8q)G$483v9Fr_4VmckUJjsS7b%4ehD9&An(SrNIc&qfusO-c* zb*#O`VJfC!YM16ygvQ7I0$SSCo1BqiF-gtTC)SrcoJ-4GuaDfKnc#ji1otocfd`-P zCt7UR{UP%xpV*gSFsPzx;fcry0(B_EV8)OU9|i+tgq*nw@SwddhxTDG2efQg+vt9- zj$w1b7zVTRhK?afMd*St3}(A)=Fp5<#uD7BG073|jl{ot`sV1`_!{klE2BkGZ~ zld3p+f`Oz;^|xYV`BwWflKP?F{?t|Ku5(Fg%up9xY7V266Ogk3j>5|n&qP}r0Hvj? z?t-b1#iv8rSH>l9!D!pi(}|XjdJ!xG9D5qk$`j%}7KZxJ^3m`WF+-TmX!_gG%2VPi z4tAksR>+r(0gj+&C>m&AF`q8rzO7jXIHCuxO#n%fbh>;^bV^qy19};Ed*J4~V;$;2 zLfsuK*z`58s52W)+Fv)MZuyOd4tj_l81bqgqJt9cU(~$L7~(@=h&3#QHs*qu=~Ius z<+!>D^lCP-@0Ggi*i$~D94V&>}UqNZ) z_Zx{SqAzSM&dEgs+83-ILB=ozz0(Lh-H#_uC$hIc zwfhcI_}_pg;*+j*D;}XMuQpExC5DGF)4q{j8Yz5iwH{uU3iY^Yr>;%Jz^SWHkFi7y z&Nn9ln23Q14W9W(*D|%ug(t0bp^kdo#U|1^ip}rtK&K`944sy~z44aZGv;x7Mg69a zM$Ag9_vdyxGLrJe`xkG2_L;Z7GpaC!4LyAT?yr5t;puOWzMy(DF1MXy6G4jY>>2b? zMJ~m5_RR0dwsXIM&7@rd8<<0xh_afSQ;(hxBij=+W!s6S#FxyU8YYvE0ZVbVRC9BBgfK-)oBbICQWX<*% zYbMS31Sp2em&U|Fq)r;i?Ae@-;Uc7OZ`?(g3M$!qbEbJCsPvm3_s8Dxd7+xMg4C(TR!@omU~|&EZcmXfUw#)v z2%>p>Oc`bEtkAMK2ZYLA^1oW*OU|;5_t+f}-_(Ls&Ay_|7)7Dp27?6~LCOC5ip!18 zU^;GuBUl}pchFZv#~4fzWRiNhu?EZb70!{W*#$u@(?Z}QOVgV}Q#BVsq|l|H0+<>r z*O_$Jvm#Vf)wm=05<|1YI95wMhot1=%FkJ{dm0%qhfXEFsAfMpNR*uEhvy#yc-46M z(I=}>)qqi`k@TNw&{E*u4;+QIl20C0`QPU2w7g}PRo9~8BJ{CCM0#%L6l28EOm((V zRZ^Y8TTTeJsL~W{bb_U3pen<$b0F)s^aBX_S<#I~DCvZyvLc!drz*MY!VI;L)YXE@||oU?mKz1baGdid)NG!%E{gB&3lB$-U?Pu?h2^`bqP1{O!MWL ztjm&e=}Q-?rnzQ-sA#PRH>JW{W%YP}GeC5n3jK%yh;H8dd)1eI`LBLMlqV~B$90xb zd89-_bQai$>zdi7ptcJJITIYXmLVzLpY!huIrA`mqBHB5D>|cif3{*FXTtIgPIL}( z=8=@sdPVX6Y(?}fGIA!|tM6@kTZeNw@b=X=~wa)GDYG2W# zWMJ-+LC!?+LT63!&FwscoQaM-?X?uMUe+w+Ob|~yl48>Rw-<6IqNxszGvA;fXCC1$ zG}pbKoQa<%sgXQ$TL=Jto{5}^sVeQ7`5s{pCucgJ#umBr5O$?#{p1`c>*K`B((xwx z-DIpm{o9W$a%SsL!s5QpuFb%lu9H!BkLE5VFHAY;mDq!3)A zCto92p%55Ag64z!co@; z$_iJvybo-RXg)siVEMoJCO+lw`8mGn`$UNZvbwwNf02isE1u6Qh|~N*0Uo3*G9d+5 ztazRlpLfFlIoEo7xz=g7s5Jv~uJw9yt-tWT#23Q}|S+7@|2UrQ_WFBn)NmxbT_@KKG?OCi?!BC(^Y1VHG>Ql2~hM~ZbguR26 zVxF))#|nn>Nrb!Ya#^#A6wtR5fvH7#A@M5k)dyiH6r~~16~PvUYI3bdq&qExiWF+| zYpPr;njPrDF%I!i=Wiz&T`^i}C0AxUyIn>^C>y@6wY7ig4k*+wyxm9~?qcV4V^b@^ z@(E0~ylqI4EUz%0B2rLVX9_JOcukOZhn5c0eHJbKVHr$KEJOxV)u~eov(gE73$?0z zE^-Da%}PIb1mhP|o6?(nPmARRyx-WajMtuK{U7i|ROk2LS zDTL*}@c;fZuX{RRd1d!I#8paEw&;aP5PiQn7&>8J0dL{uazRX%_f8}ZV}u~DvkR8C z;Cu9_R=gtwsSjQ70Lr8=MGmVC6eBfk2vQ%qATkE1o`F(A`|D0&4)tLOF3A+(T?Fl| zS&^ZI3*t9}Ng{&M?K>TMR3)h~VcaMc({+m;zci-sWMQ1em77wy;%WaP1mJ{< zq=zITNUx>n`}A7lU8KQJZg6|7JLWih4z} zDd4H47ag7&uI>&G>k64L&?n#qqnp7iNP&Lf%YNbazb`yBg%LU+a3PKz@zX2p(vp!* zpi&SRkS(fQ+R+fat_D+x-jom*6jx>hD%AXL>;6mrXD8N(M_UJ+`lT{ zhMrNa($_phm+uc9^MXB#b`E-(Oq>TL5>(rxhGswQy8X07=}Ig`Py4w}nh?z~0`wQ< zrhGVihenp~^WnbZAN{8v_~V~Y8t>{ttqKC-gSQ&42bu@bf_| zOK~t2b6b1zA*$aaz=+?S=p?)a2f3BZwuj0 zSv8-vgBnLwuJ+esYwAG#!hFgyVaFS$>$e@Rk~`-AR1B)yKD?CjWb#kF9Wg=~$EUn1 zKgTzHpC|z(-YReRE#cls!^aeT3MiL-Nj{y)muwjbtUx{sb$i$bjxC`9wS;&KQ{7_Y z{7R8R#K-6kh44ClFuP3+4-{&`eZJPQ96-k^+?M{2=X;CObZH0IoAYgRzU}_IpOZ

D5)<@~Sylw_O)^VDB&6S9*b!ePxzQ^aFcTLn7btDZlzhziNy^iOdwn z(*eyn?l;!y+WBWSg%S)GmXbhl3z$Y=jSv)@UJ()_6KCp)=@d$MA=*3! z5v9RCan03ba0(?{3h{xE!85=sN#@%V{VlJLLTM=l`khOXkO0@Gql;5#=cytp}n@_lKo= zguaZX?$w`A(7pP4T=w}m@o(nsxb8BqCzWuv&dc1}a-`jKH)Wc=9VAFG8}SO>j=mNn zkz>c(nGpBVl=tFZ%y z86cGilzoJ#zgx+SF6gH9vO`0cz|<5DDoK?vfjG`9AoVLK@T|j*OGK_3n69iaKF+aH zVW8(r76PTBmhKF}tieDE)k9+-06sMOQ_3{$B#|g!Nu~4yu#SbXWMySeOP(Aq5IU80 zeojTyM;AoSCZqO7qPIP|zy^MFRM-orN@W+ZNS-WDpuM?8B1m%#|Zk9~RT3+(4X?+xi)mL(|?o%z+(9=~b z*~k>#7_R|UIm>w1Moy}_Ybjq{U;v?be61TAZeVeyb2Z+Km)#y-HtiO*Uii#UzaD=2?|#O|{K!`XUZ%uOk7No{ zTM5|GCZQoqtL1gU=%YFJ0z-=h4$f2Bo%cINk+Y=O&@*kg7uay$F4Cm@nh*5t!qMp$ zxn#5&MLx3TyhBnPP&?1)HF|>2DAAEE!e1o5sYwUbH+Sth?5kGpj(W8|y zr!^&8;zwUESq=fl>=JGA+coJN`7c87ruBX3je)*x`RJ4V6Y&Dnn`jzTsKp<}!XlLG zgler{VV=pE={?;G5SV@FCItb3$#)M9FO_s+csG5YcxGMJMuxx;22}Yk>BX5G7=e81 z2nHrP5@z75o;5M;qhTm=@HV8N*q?t+dyz=NxMcO_1UQQ!o4*w2`Z^Pq7qrYyc4Yb1 zPLuQhm%sTdfAl>;DW|w?{k#d}+64A9&n~ z5R|O0DW>Sz)6>*BYq0(Hpn3_EvZk|gT?54-S^{mMYuI27)ZwsEaxW?#4AF~otZmG0 z&*T;gwKi0^StmkwGbdv^vX305nTVv9Fr+mr8&f*}qY0a0U`aCDn9}-xhonqLcV`=e z5dq%U=)g+q+H&OkgO=O)$+$mgVQE>Wbo6|KFh`8c=03^Wvj?h)y@SL>HVUf1{VQh^ z7X$LZYr8Hylnvv=y06xpT&CEwT%Sz*TfZ5=LsFVqHk4qc_$D*ZwvPtZ51vOd1L&xB zPPG(y7TJt26;qXI2n)BiJ9wl^nZ-k!5$OOI=g+oiRkhm4lrbTC#OdheiGJ5IgDlK| zj2!ca`7X9Fq3m4xp6x!Om^-N69OK?zj63ZXwYGDPajz%Fec}7Q?HhhHnCuETbkJq( z{0!9t8`2`THM)FYHi|lJ1;DiiWGi$TW=-;c$o_GFb%h02=<;c+g@2f8Y3$HIZZo=! z+2=r?3EXZ_GTp40(Pc6cq-F^1ssQ!YhtB9SEGkQ@NsN=;Qq?(D=rY*$_|*{Ht&El* z=UAi5qU>OUQ4(ZKf0vwWgVjzpm@#dYST250iO0B?iJo8$7dySOOE{R@nZWd&Ll3=~ zb|&_qo@+{{hEUU%Z_NNhs2}=EuleHfzR=EuKaKW<_IA%>eW6Q_r_sL9=yxYdakF8- z13h9?RRBg*VNUZ#YRU))V|}4L+c%*vv{B|q`$Bu4r4x7p%{ht+^OFqT_z^>STFHtD zkIF7zNFJp`ZC$qaOQPHKM5dH0cj~$*ca_r;USA-WPhk>0s3r+_5^W z6l0Q#!WzWLXh$@V-X7T7u0lI9*a4JWE2(!Y5TqKxjCBA+w@%ZWRcFN-j6#bWh0{@5 z)2x`$^!*CIR?ri)ByA5(XVAhJ07q-iEE9-{o^ADGYA_0>$RwTm>d|fkMjbFDUJs7a zrxvYKYwsu=G7W~AZ99X|>V!ur5d}4(z36qqV~qkO`RV9$RD)($*3PPcdn$!Q_o`ma zoZ4_}Gh~#69~JWPpJmLqFDa>f<#Qw+|%z`;uKp!dI&DKUoy&3Y{$A|*;fej=pncuydl!7 zS@N>C0c9bmv6h`=X%!C!ruBnKlDULvkCr)NqX{gvzguH1Tl1vI8y)5&akA=%S0zN| zM3FGQB2bnjN9}&t>xpGFIn+|;pkwH>NUZPKAl>OQVxxZRl`^(vBuLqMV3jhqq14GR z?tPzl4yi7<2e_J<-RI?}ls!|@RYH*|%x)X%>@Pq&)R{HZd&EBMevP?7cP8LY!VIwW zMxv!ohG@d_jIjKrA6dRH82gRa9G`k^QneC9IWq6a$vp0C9(Q(guvXEsH#RHCVXYqJ zIXCFKepu@Y>QTEvjp(ndtH(*p_o>I%e!?Gl;!S~7c^d7_Zz8a)TX4BB>`T&&K)0fvUtQ+YLX)`YeJtWqqq-#YkLY#XVpn zdZOsu$o@Cgk4cG30U3w^p|A>to9YF}lBp~PzZ-NY@t5}-M~jkVzBz~0mX{Jo$83l8 zQi><)Kd6*L;@F1Lr8V>IflEyiG1lDpNZ%xx?~l#aME}Mmrd71RJ>Q@`TF=Taf+PUV ze3j1fgVnV(%0$k#mGIjlwsq?kEy%XnZ^%>{7J)CRWEov>}OpCf6 zTGUhD^V(m0RTSN7xYY(4hgamkCB0@BVc=7gU--+gR&%Ag&rttNj{xhHbU118i@@ksu}8KB3|B;QSP`6Cm>FbARvYUd8-fwYVa3ztfI~Au1O8mIV#IN% zyTHH{rRf$5V5}H{_6i{^dI*WlTpg@yZU|H<&}JD4TG8@%pSIf$g<4WRwj-_(sLXnWHiRM)k$IBEPL}zS zDo~n1wYQU4$JxHduKk%DHSpH`%r-91&a^9srH)T}qW$b+r`7r2nO=7z^t!7?tg8_l z(7_>RGktqz+SJX+Et<{r&6w%${;IdU=VM0Gg^!K}A2BfOwc5)5h_&>C2Xx<|%jbx7 zkS?DiR+J{A;RI|d`hl`T6^RTQ%sMl}W8e}jvm0p>EGsj^B}?yi7@rj)0E>Y*vHI?2Q>e_@m$-tiS?HSw&eH%tJe#aH2&HlP2wKK0F1W)m2_e4i zHYoIO&XLNn2oBAvr7|pnL$hitBKy#+T2Y3+`X?rVOv0=&?;2~S7`Ly2nG_x z9rmi#_a~nh9xDbCMYhS>rrDyjyk-<=XK6n)ddsnd3K|PQlTvVFMc#QAgkNuM!9aAW z*$n3?EWY}o8GMG1YKI<86z+vIFH%V36>Wa~OjL05nfn5k)8Mp>r66mYUitd&jIrbLc9J*~50y;KAdu zikCQaa&=hcsN_M+0%Ys&%9pRg7*rcd9tl|xEA)vKt1t$HMP_qwY|Mb}1QJzqIQRjQ z_rak1rU~cy)>hqhucHO7Wv}_%6PG?u`&YBL0YTOE7kY=v=fa0SUlvBHUr~QwdikD7UdV;6@ zWjk7(Y-ECQ?2nOr(5QKTzW#ZCNj8+@@XuIpJ zXxmLVUGj3BTZn9L$n187Z}qsjUDIyS>~`IZ+w}*38VGh4)PUuo23$_(4e$a zkIGQ52%_bgG(AOrhw*>)V+8kcNi_7=IYHz|08^+Xt47l&bW$byfbRNC8r6~v^n6Wp zrUTW3)iqo6v8W`^MjzDpj!?VQ>eodvV({aCT9_feiBEd0bK!+4E5|V?ol-Y$y#4Q7 z3G+aLqF zVbP_c2SDv{aY(4Ou=*0{OUEN&z9@=a~78O)dKTqTW6!JyWkE9W+@xu2p-MH#)=X$S~uuDT2NbSfTJa?Lr_9S6FeMH z@yHm9bJp5(q@M9ZAD2j@sUTy7OGJW3qKiXI$mr*|TyaJR`%uGl zHY;k#=#ne8@?#*l+jV}8R%MzbW@9TClGUc2H6>(pNenzfYMUYO&dLPhG^>8uyVI5z z9hV5bl>nN1f0BR9@B?DmMyLFQu|WU7K1xkYo3c{4%ge|7wkANhMRH&rA477GRrtA_n_ zM5o;fZmhB3;{jvX=>f1)Pm5qySTM5D3dn*GHiQM+@CK+-3^{ENov~o+ZVT&*iKOkJ z#jqO|OgI}}3h420E;Jf7oCD5B#)6M%r7Ru*_+{YeYcgsNei;3pj0GP+@)sICNMRV@ z-r%<6m)QYOfxYGY59yx-@>-UhWLnimc9XFYC66-3LNuG&VwrR78zwbAZxZI1Cv;=SZ}alcsYk|~16G-7+30JX{T(v}~q1M)G+2dqQV z&b%ubH=a&dIEQI}*{)1^?K|AS+|*QgE1tQ&9LT|f6Sgin*r}zq(}2QG1>A(?srUW$ zInzWiZuzc1^!oRI!K)`zoYD87<%cH598`;UF5j%Ivc{-X7Pr_I3S;+ZdkSZpc z4nf8(E_gy~7*R%$2k(_SCItCZ7D03w7iENo;2oYZ)td{l@4GZ!!!*2OyCR=c7sNu^ zO^py@%XOuCb3qOaLNo%Z>*ipUNA?wdwZtth38l{du%tM9jFBYiZ@MJytiAW36UE@4`kNE@Av=-Gy$6MJd#{I)i$ml4D=A@9zJ-D7N|`sB z=G$)H0@s(N4 zTWR2tKs>PbD!g>`^o%cdTky$M5|w-H(bGe|z!R&FF6#DdJXWlkIpPZp?*uiR59DFj z(H5B_zQ6zh=7`A=M{z)Nbi_yLdIG)BhkU;`S0f!gsi1{*mBN~s3)bo?39)R)#c_ub z26CSKN$t_|J=$&2B1I&16mn8R(_Wm5u@?sdj?VYKI2U+Dywh>KIQ&;9o_AjytU2`J z;Oq9q;Xjr2dR`nH@2W4(vzNX(ch@Z?9oD?^CWHi)ynzmfe19f~d_&H7MB?O-SN@W) z!1Dq5fQ9?9*^&62_FpgPv4+0|fy(`n?X7vNhD5KHZ@%HlZPJ%_ z?qUlMXQAL>6W6+IVySIrnWUUYbg+~n2iQchVt%h8wIfBg6V*pCMWu6ounaMQZLO!pWSiT4jytTVGF zDe~k?_H<)Owl+_}LGP?ak0&(_{24Y()Hp~?ZIKlpF+WGRh&rYoRbw_f(Y}J6PllF$ zH{w}(X-l%vYvY}s8-)9CCe_k`x84XQGmBGL;y2KEVwa9Pz);yw5>SKA$j0#PPPdw> zTn<;9t(fT{uDiu$$;{%NMt@_yLMQOS^6!~u=*Ij*H*!6`VHi00h2mSPfA<(xz5C12 z{++2)*GruO|2e(rQmqBtqG(&d4p+jw?F|YYCu+87I@;t`00c zXWM@Ms`?zmkmDSeTOwQ(+>cBG&#YZ{m|4t!~!u_SIrXcq&c@YZ+cM*>Bi z;w$?K^{YhAkBk=fga7WQe&7qEKee(gJR~;m#S=F56p$2bss@L_JfbCaL3BW7FErA^ zwl>N%1ZhcK@UUkEGl5lDE6dC(ivYHnmb3_>BPuK@R|H%t0bi_0OIifcH%3h^M%=VS zGg{Ij$d)T=n@^Tri4|!{T@Wo?@I9^lerXVS2-1=kLFAP5&}gyWUY?2;W@&i1B?4>GHo<40#`gE@5;gDGB}KDABEI+=PaQw!OFn5VR}G8{?<>1KuR9=Lge6cfk8Tq9 z;N0#AOMncc?WT3v+Hp*1N<7t*z`?-Lj?@@H+L?bIVF^RDf-fGrEvYiTXw@r{t8TKz zNH;D;XyN~7?`?psOS7`DDMApG2_aEJB*>>G zQPW&G=j`(vqm0!Jm}HRfbr)&^^QC9#9-5iC{n_1_nz9N_Nr*ayNSQJw86fs@QTd*8kHx!=9Fziu{E&prD)YrlK% zv-e)V&wAE_I%xZWky%&eDaB~p!K=;>p)x7cD63X)Hebu8EmkUMbu-XxvdVlJ6Peh) z393zVaqKTk&*8ZarDs%@+njVNQX_FpfCG!vUGWmsTO={Mz)j00iocMh)b$t1ej<~?8$`BC13u}ZSA zpLtShhV4uwg9V zo2WbEne^YUlge^jj>ai0r={kw11hmt&@15(Xm^MQ>{X&qcU*}^CI1*Mn3d>M$wz59 zpMUQMiT7D zUiEaEc>iir-;oY6o~V?3eAO2(Uwq}o%NO1z*CUr!FX_tS&`P@tbBRNG#BnLlN zjCSd{ECr9U1rR%t4HQI{Msp=DNQG>IK1QtDG=1NirZK_Y3axYMRT+(~uSl(8f|$^y zE(q9JSQ();+p?LCE*;g82_8EnQ|MFe;MAzE;(~O(OmL4GTDWQ<%kMGz)Auq#oFm8V zVE7^?(`YKmR-E){s;7=7cJ-x)8sqevfdok7q0e{V;kM|adrxAh4>O$ln6t8<45dTD zW1xzGH!1>|;Kxris<#;uyobqNiPs(sv~0V9*Cso+*DRYPHzc) z`=gN{`AbthcsSpP90U6qdh&w5Fai9Rurpka;MCl}ir;Pz-Fkpv`%ZY6?X?vkf|W% z;WVaB+;la3sDYH3G_Yi)(BLaZ)2sK6*1^Z&OzF@-_EKl`>{`hw4x3IYP{ z^!N}i*JGGArD<5#Ixz@vJqCzy8&(YJv7y;ogL-UewpI)RsvaAftrdfSo$0Zm*;>PT zY-qIB6?*K^M{8BB)&F3+?6s=L%ptSCz!)#>zUMJ_+z$4syv^t8u`3fr;)!dX3wfrH z%L-X1&pBlU+jkt7xL}!{^^y#yY;vJ@M~U_K{`^1wh!0QM)6t;|ESZ@r4OS{L6eFot z3yZ_{6p1emNE#wjY?5jRAa*2x=+G;gJn1~f0Zh+j3MA&vw-!3uQ*@|nmnw+9dJ{UM zJw-byd{ZXX4%;%o$}c9`Qw*BeO6e25s=e4H#RY(b6*3NFJhXAhm(;vTiH8{7=$bnY zB#RLQVhyHL>TiyMt3b4NND1X6;bg2)g9(ikN7%FREi(48ds z%rsl*VcSbP(5yIxZ*1TK9gjot9@5uGyabM4v<{$g2 zzxi)}(DQvtZDZX*M&z`^kV~<`Fo}Q;u}`LaRf=UM=tB>{y9nc&1O?MF~>j=hzvmULxQu&)?HlO2Zd zmTHMLdbnT=O-?&Zx6^vR@o2eV3QeHHRE2>arx!-VR3BIcbP5elqM$N;$sC%1HwkA6 zROSjP$#mV5%C4%P^ug4dDzLfnhVBJ*$F<^r`o&%KM4qGZ1Ue9M{elz-m32Y>=E^=e z|H2N)D&8p+;8E##alR3&2;>0D`{9BGnj0=ywq0WiW;$&0J*`;1`}nmsKs#67mKiFE z88?fZCsYzelV>_(dC_>~wV7CcNaMZp`S1GPPne#miDqTVv240tDIz9gNJ^)In3b(9 zS^h>xjfVt+l9aeZi3w<$PF=@Q2mDgZ7OS&W%X+y$j zT_#ogic#Z%pN;(F)K1&N%I<j_25M z(-Sx-=rXu>VSl)L=O{mJq&NsBx$jQOdQ`mQl zqr*4qooBj1@SgPN++*TryC!vgy`l~%61}412H{{ndb~wGl7-c+BsuBG(8W-{#KGsf zeYGDW4v6|5wV!mji%x|Alq(;+YNf|OELlGEd+cwcdZh;&<=Lt1#xS;xqoR&SbX3>~ z91VTrSuhcmI?{yEE$@&LSu)2YcqZ^H5;thCg-?Qm>REtaGj+tl5g8|w6+%vr1KAN6 zxnb#TB!v4!N1!-vVLADE453a)I-cS4!^-kPOqfP`5!VVU=Pf@JR(|OF{^7SzGli|! z%0@ED6m}%FG&M4mVyl;;T0&7=3++=(VIachp~@lU$`Tnz>jnPx$<T&R$z(01ZDTq z3zu(y>$7irhfklBfc~ELLs{qUfD~b$EJ^*vU-yIm=;tQUa>~Oorv-JT1F6u0+H{HJ zE*j8WX~i1wGFEY5Qao1}6SOElvw(ym*Lu7Kbrkp>GC9D$Sp&}i@I98KY6H&@@I5AI zYXi>^@I57H;h|{<&k*uGC1^*X?+GpEJv>9$_n1D13sC@t+q1FnZf`wW5!>iroKF>| zM*6kY&C|BRF7qSlAqT%iA}tR^+E!r3O`+f=aaYK>N?4^CIfQ}X+kLw^qjP#V+Wg;Y3uPhC(6CPS#Gg-P(y;=x0MXQZ;r@Jt;I5_BPzd7Jf@7 ziU5-2`boO0_=_!m>Luxx5cjS_+^Ji%gt)geO8GNB_&>b;w@hP@I7ta+3kAPk$5RZV z(7U9AqzIO5ku$V!C61+i<0J;G2y4`Wabk#jTm$?lf*8`=B5Z;`3<_KHph-b+w;|JW z3YuexJKD6CLfo;=u^8fxc8=u`7gI7M^^Hl>g*(<%>rWIGg&E5)N8OKF*uy5uj_0Sv%Nu!aVQ=vn?#^eJcZ(LE z;dXq6-~Qe||CXH(&`_Vfjfs7xu(AprD6@TUb`r~i^0oR{u%uIh4 z(-z73)fxB{v>}ow{XAF1TnM__nDmO6Yqu?$w%iSZHHTv^jJ9uYPU`#cnCpbH zZhM}?F&739s2vPPkM}3UTxiirYtGi*s+XH`rK5rt{Vlr(!?UruOC<|1&Kcg&A=>}b$c7v&kcc}EYlT`i$ zR8eCn<1IQK*Bl;9Neds$0L?Zl!5lQjKQ%ZF3`Px3i`G|BW4O4y&g?v0_*|^M?se@c zdS+GHzYApl&9?#BcVJ8>X-xyhU`s&QYqUiH7?U?=7=cHzF-sC~Ndo@zm`DG!pY!hD zdV__-%@zcStOugGtqqf+G4&vJs&KgByn3!U;1oqu8p#Vr3x`fAGr)Ek4e0@zNPQhn zv};Um3}PXM8Gqswq1~|&OumjYz@UMpErVuXr&7QSNk(7CDPV?Z4x+EKHwqYaxf&lL z-kv(cm-n%C^Azo9Ne0a8Q9=YzgXSM;wzr{lI;7GWY!lsY0WlIpd6b|n#?98I(NkZ6_W6CPF4xB+^i7=K3#-y~KW_UXfh6wpBDbOxriP&touL;aDhJQTzX?8d?; zpW#3nHVM9Wr4;EaCZ7S>VYQneK4?9q>@#c-@t1hMPh%89CgfzsXpExIfD-KpjZwTi zk3wTq?PxoC&cA3931+ihpqD-<<~$6=eYn%n&`mbm4ZPWP-St)AFlqt6lB|wpMmn+q zUqjSvLL{S3nL6bKWSe(LfSwjmgbeMS0*Y|Hads}L4bEXIP!H1~#`5!6nejfVw16jh z+Cb1n_LeREG>luV?`3#+#WI-AI)=jhkDHwlLNDd@+)wj4AN%Y7;7@ozjk3`irIBud z&*6ArKMe_pHZ+Dv=asKYKaB~Zx~eRu$IS*IcNSz^YJ$!djpovJMY;ec2yzOw?4YwK z+(&+BsuL4L4=S_eKVFalwZd!quBlP zp|a)CohX$q?KSgpm^VI$b>1y%VMf_gh4rxR_PP*$7E6nme%Vfm9d~?-9dgmB!8w&4|~o8iSjFQDqE`e5V4q zTu}6yNghy?*9!K^xZHyzRh~&A<4s;ZT7W}gu?v!ncuf+my^ObEzA-}`8SQXAv#qk) z;F)=970%O_+%C$eIi|G4tTEiZtpK(pq=&}4Hb!^B$w~)Ja5I{Yno*qWeT26NheH4x zUS)s`!nY)ZNSkx(-sQ1B*P_o@Uh=Q=mT#>QL9<`+yZ-i{d&)JbBH2xo>ed=<^&L}Q z2pqsTisTqx*78+q#K)7m!2k)>QhN+*$b!_Qw&KZ%F^?NKdyOD}eiPgyF$OoE%%%H- zy7_)+YElyjCV9lz3^_@V%98p$9b4*+CW$r&C{WRln^k)`i3@eduudd#;q7mp zxKJ_CmRzVX4f3PLqtDj4RZ+?Z)rNg!t0`Okz6ByRYFCAZ77xZ^><`(|OAesncKl&;ING?Yle=Qx<|6pzotw@PTfe*JKY` zF?q8O7|#O@l$yO4YV<Y(to@U366t!{5|>A1FD5c$Cc%l8j=PUG*U&OgmDk#l77 ziouK)XFTHa7M|<#@B`Xp>86x`l1dSFA2A~40Gw}1%Y!agL@GwwXD2)IT})wGoV_ zbQBK|P3b5eAez!6W=r54Q7AY8Mc5oVno<<`8L&bSLtWbfNiwCU(;5PlMBPU-X0uJH zTDoFJUw_l1NAg*VDP2tI0&$-KabHa7s^V&eSM@wF>fi6Paz1eMO-g$z! zTeDk#-gz0>XBXtnZXg=#9X;M2;5JIL+YSt3sSV&}qh|?DV-(vDoy)(Job$s{&Z?pK zLo~H{i0hx!^C&Z;TzYT0>l@_THjtlr1Gc>k^?frLJ6XQP2v7Q=_ny47p}u!J<99Be zpuCC`p?w=S3JKTW*!}c}JbkFSTvOB~vkN?du$GWX5NU^|xWwc{6|5wN+e6Xl!1D=3u z7h=Ty2|-%BW~@#+G2$9%jwE?4rK*Z?U5av>7D7EMB^gz3Rg#y(z<{Jt%f{6WN$tw6 znTI}6I&ck}2DQJ?qzf|Zb<1G5kdWLR2}x0fXBwcT26!_yz(4sjzx2Urcr_@nfDoAj zuSQGlEO>P-7EZ#e0Z)+?yAJbY31qWWUjwhMRmCZIwax9F0k1Aq#YuQIf>5a{o=&~~ zq?EWsGjpc^J^=X?z~@&ZM!amoh_BZI#0I>&Ko^4~jkrnf*C+Kg@P|%G_=P5oxY|rl zhU#_$&XIo%^8<7{8}r73&M~!mtaAy*=B(@|Q;fKULFI3(FD^#>295YHYk2h^{=$#{ zz^i}_0`mh8?|#jm%#?mh2|Y{)hv$xS zTz3tk{h6m@La+4bFh#iOgbbx}DiF)xPq#`BT{&%nFReY`Kv+ilF@-M1 zBOKv+6180npSOmydM4R{C%tWkB=WLUXK1eM&tng{qWwS2Nb_9$0-#mKR~@?heoNc z&_f_e*djEkl1%Uj9z<(u?!hD@o)UD3N9l?{RuW6+b4+Q;!}he5awTezn;!BqvOXNP z>q5l@_a-+nz`e<7Nw7{xQj~z1_ULpJ?Sjw^vc(0VD31Xca0b$EDXxe~a`J_CU!$3# zcb@V7;MkZdBx~YMr8OrV47Jq#q^KHocQPcyK+~^ZTs5IhzwNbNn9pE;RczSLknc#P zSUx;@n}%mcc>GZ3EPh5zkuLLn&ht-ncu00PLJP(2}JjK?Seg4HSuN|0mcxY?<1L2gFP+4wmo+~|H= z1XmmypH~!@!L9~iQLSXcVJZm`UsAFcRdNq{N19?zhH8JHG!qa;ol_quxgrrtx;bek z;Gv^4MKILrP%vQO=<)WPjsQW?Q6p7MZ_RjrPTdg*EUd{(YwwR_FYJoSLqy505GL5s zXF3-T9$N0=K_zH@U_)RZKDaa|9Z#m1qSYOTOVDJ{l(V!KMEz$3Kn(pW3z95oWf&&aoi%wC2I=34?R#{6Zw?7c>!;qY~;uT zf-P0CbwSeg4yZNt=r~V|QNhvknvzk`xAOulsd(P_;DN8GeczScsz~nl2gLxA-lu(! zk(TlEL{5?XuUr$X9nG~1;VrVL10KWbdv~XcF0#3I`-?7dzCs}HFOOW^f4jce##Cc>yx1l- zcL=2YW!sOx#rf{S1#edu+mvdBK;B;zLp?rzuy{G!?Zq}`Ubp*;ZNjo|Y0$#&x5fEJ zHu!CKu}yVY2(&xI1MYCXkt29}xY*%)PxV3|?JwJY{kzS@E~c8dr;A;>ehB3K^Y!m= zzVZI=))zZy)Np+MK;eS1Lp*={U~y9)FH7~_*I%n{;@h2b1M=Hl|Aj9+|9o!BvuP{%Wl;yNFHaRTg83`tX0OgTWX|eQjYb80O5el~2G*xpUon*$>EsJfdkTu#_WmR*z}X^ zXOE3PNq+WWZ2n31vquM@#JhuxoRW=!O_1rn>_Vm6vw^H!`flIMP@iW?;Sq~>s1E1^ z1TjdO{iOAD6IhKVz7E2#7W1I{y~W$L7q9)hG-$nw4O&TcUZs@R7Zw}1_Dt{`*URgR zFM9c9ms*+%?yjl8q8od^bfQ^nI+s-3?WE$qeD!m`;^VzDQ1hZ~^=`D*Mk8cZpV1N; zZIB6~-I$c*@Q`7G+L9Ap1QQ&sBhf{uf>)Q3a`g^zE;!v8%z#3(txVBHuoaQ8D#T*D zt|>)M+DY1uV9XjD8NTUMjPmxMTOj#l|7?GouftkHRVNKIhjA{pqWv9O+5e4F{pwQ< z9bpcaAtfnbZNWUU?E#nijx~N12Qk#1WJpP1KWFq>o=iYp!nb5UPn&aXV_D6u-eMb< zGSv#eXFQpl%GHb(?PHl~lV8u{yFTy>f8qll`pC&L)fVtg2D?*bsx64PQPA@3&DxR7`2 z4fn!poG_hIK+8MC%p3|gX>28M#TdgG57;CswbD}!oq}FHvy74vFrs09sp5p=YgNbh z$N6GdLdCQa*qIW&u!bqoDBHpA=Del;JeKggHtpuTD5eJ!onfn~WoO2*6pe8#bATUw z{%8K$J3po>F~v$+4$$D>g|dg>dMi(tuS%`m1W}r0xri+V=oJtJ;eu3RCRpZQum-d$ zy2%Bp#7yw8gD#O3LH(gB#|5dxOmMgESjL7X!AZ8=3{+w!SScBC=!PmIcM9yb2jT&c zNZzbUoyreQC1xw`R~uv;tg`+0j1wN&v=J++cg3z`8^M)5I0As`lwg5U153(kIviQO2&Hx&MDNG93OF=47Jpu%X@#Qy3R0~JN9H`=&o?w|*lV7F2sbVZ~?JUk3DXt^z;ct36o z7K}7cvDB!uoT5SClN${JEJiFfu}dPA8l}RWcZe1Y#p+EgHL}YgMQ&2fj{A#8Q!Nk* z(|`!L1wyAmmn@J}uEYLX$@cwmzOmGZk~lPKxM0*y(*?`6Td-z~mY@l0ydGAvyYH`5jIJHG2* z{M6s&)R=lRTDPm90^v;|7o*imdvCQXqHI0kPSO@HdSkMpU3Vu5CEC_nN3-ru5-^kP ziqWd?w;RT{bdpGjl5KS~>Tt+mcWEp;j5BHkqfM`V!a+Q`yka!z?j~#iNNiTjZdWzw z=uc=(dUm_2Nf$6xKDd-#?Kg)Hqj;yiOlN8&qub>yZU~C=(I?#mT1T;!YF?W}v0CxQ zK`{GUcXeB1GIKu@{(POmwv4 z41JRwpUA*!+SKhh0@br3$)4k~I**_Q#y3UM^kWjnN-aBvmEd*SZjk5YtL}b)bo7Qz zzP8m5xQ|~8UdEj@JkIbOB$6$!*O_LCk?gmA{dfNNztc-q!)TUKNJ+#?j6zD}cP_A( z<9f?rg~_vZ3F%6(kJvb+RvAGlTNd4vjay=xEZMkN16#6j=jl*IJJrzW)y>8&u#W}y@xr^F6Ex@RyR`LR z{D$=#f5IoCYALvdeE{gMT$0D*rq}HoVIRjz=r}MYj<&Rvno+14nf^vwS_NzS@D}O1 z)D)bXwn1%!Mhv7pVaCdw<+28>GsBa*B9JE9hR^-%af4TEU7xOG2>j73I=J$XjQ<-?H|}oz&r&{1PhGk3bRMx}Di zwgq4k!P=pNM9WvH2#QQUfP=6(NZDidn;It<^laQ3orfK}5r}d`|C-nw7xZi#4!wmA z5J5D|A;|{gIGpwUU41lf@a%qwX#~Y6Jw}`y>$5MhQmm7J67zf>Ccvw|7`#HH~vW% z8nWc_&E@rBoVx$f!KR}Xxg`_#7-ix@>(>I%eB1zgJ zY!QU5=J<37?ly&gzy)d6?a+JBs_LP4;1_5PEoR*$tM36u15Aa_Cn;v#Bw_bC!WQkn zfbFzDxtR4TdDwxxL?mSreY!c44D3q+aN0Y1ygf(IP2e}8ABS7oo@cs0$BpucfT*om zbJA6)CSHv;nFNBTKsJ!_MIFcRzz{y>0`RX1S;`xXBGv~P#iFP|Pa%pa@U8doz{$t( z(P67D=b!A!oP7Q^<`Y|5Q*WuZXWeLFc5uSFmUvHF|16aZWeJghvZ=^7Y zl+6op&l08v6d^hJ+FKc(_X~KZp!DHQ?>2=kD6APCc(7>5^hmBrY*~Jc1t?}mKkbfW z4n#?=j%M^V1yyR2$36UUmE4dKFg?a7>($ro;spO*)&whFp%M;jN>WYVU~r8Pf-Ogv z;32OW9{3Be@`0^~?>b_FR?P^5h@B_cO9+x9(+S{m?Rlnq)Pcc7*F2W8e>l&elEBPy zI>|jk$N}tQbM5gS)%gdwnFU4}){OV)sKc^Z4K`L1lRWdq6p9adQso)OW_d9|tx-Q+ z`C^j2qcVMrznIG3Q4TWjV&cf=*z=>mX8s!aCp%YrF|kMcV&ZuAV)EnYi#f*g(O}t> z_ahYDdss9f0`a0b!E1@w0!$$pyvA*>Qula5fIv&&z1!vq!TCZKqUeRJAk(&!S5l^?vM`H@`UW}m?s41%lDG5 z7Ad946M{hAe?Jg^r1O{U^n=CA(Z{Q1K*4%~oo(}}CxvvxUj6&nf_qjOp0NpzmAZ+g zz&PUB)B9Kq#2?`wMh}(%43)y8j)CxA@oRB?^cU>+Qz?x|oh-rQNFP?+*P5cQ+O-ar z;I7ZJg-hb4dJWhL#EUX0+P}*~q_5^{9GNf86P2X0ulnNUi?6(R`NG>|R))8hRY|)f z?&gAWA#wq;?+RnAT9)YjVt)6A5EO`IJh6?bo;0<~#$@CjQ%@pVj4 zX`i{`5tCr^isIWB!7>0A(cFoohFMTN9a~Y^YM|3FldTm@6+}#uRhJ0thnl1<*9o^( z{2W_xv!j0tzY%Vh<|)t~-{q%j}2H0HcpMEe!n3zuGjo9Pw!@ppXZ8>XkTzXpamjgDUO>LmRCvC&I3rJ7e~ z95FI^iKf&9#|JMlq^pvngO?b*m?R<#?GN3b_Jvrwe8oIfY|*Su5*S+1G&D|{XE(=2 zG+Y`4gL$qNR1*UkI;8(r^Aw}$LvoC&{6Ol^@)J|pi81k38|+cA%p=7-N2Rpr`&l$@qm6mTeD#(KmpOd%s}zAtb6yY4D5t z(^dBUWza_0l!S}N%+e)b4=Q^G@N3o}8NcOSb_FaDqq~C`m0LdHXDvqjc50Dt{|(>% zfBdAA#i&Qsi-!*#!#KR`XfDfPTr&mf)_hf}n;O@`j>dQxZI0S&2xc2p zFQt@F1yej+<`=?D>^Hr{g4QBS#4N)~#kPC}1%*Vfn4w^$P`OKH4IUEAblibYPu?M!wYHrPe!w}{+DC5Z z!}-RxeAEKZGV4;V4rZCJX-#F{$FBt=@5$(t*p!I4<{)FT5_?F7Y(lFuFPTEK8OzfW z%v`=T8cYEG^qar+linLz2&8P;f-eq0Qi>h}4518Ruxx%&cm=Pp>pJC(KJLL$)l?Bf zv6Cnc6!itAVQt2~q9gzf0a4)`oIKL37)qUhQfxV^mJNOi=QtWT0_sT=URe=ENy0fO z2x>^I7zIP26Erc*`>`Oq+w~3|>YPsid!bVej<}Zg95eU=C1?SD0M=2)z4&D(@P!9q ztBurn<5?!~1%7E&;3v$r=p9|sv-Vq_aDrmDPH4<%eoN5<UKQ*x;7HZZp(jY4XM#v0apD21 zQlgvjg!rLpluQtv1%$gpk=51#7o^6kg24G3BCv!MCOBJ<1&wgE7pz*TbHzp#!2bp` zgMBsdwMfVBA4NvB(`@|v!eo4o<1LO#aC`*-VhZU#oD=Xnp08ud!+EZbSu##{mvNeR zi&{Osl#OntZ1l>r&wtk+_l%SBcvt{dSUq6t1no+;d!Sgwgq5#~tusOAL+QGLEO$ZL zIuitJwG5tI%P4Q)QeBX?&IAve1Do1_z*>XtUxEe~q^+xhfVztH+{1Xbg0yue2(0)T zaTTXdqT{?-k+!Z19=Z;Z8U?!^Gcuj~D#+ZF3NjvG#;JUDZ_+4Mdz3z?r7`q(v4Uz6 zqgP-4p?ZzO6O<{L*`zY0<^X+4K zPS3TEa}z`JmTz@C6GQ*-U;3)wvvy>dF4XEJ^pG&s3)=Jzko)PyQy;t>{|Qju7TRo zYFCVUX^(~m$$4NLEhnO;6_Z|C?Ma(X1=h~&ozzRJT4s}>_tc21g5FnE(={@B$f5eN zeDes6tA3!Omn>mzurK=yDvCuf-Mn7X%)t(my0wU{JYt}t6Rl-=g&9hP8EUhw=jyD* zr@uR&{(5?U@#$~Ir+@0@@BNIw?6k3(#xvVll6s+|^$1+GSP{$j<)Vsrv<{R&Vo}9A zTG`FjtQeE_r3AtrS@W0u&@pH49j%xIptjQmX*=y=01UT|R(7n?B)VYC*n3AShKIF1 zb}3$2(g0+kSInx<9p}b&D`Ao#&+AP>s7<^VH93t#VCV!(Hq@we-Cjg?(**RqQ5U zXnLB~BG+$_tL<^)&2i>Xl)n*}))urSjtG7~fM}_p-K#)@f;II#1;zQ8he+iN3tB=eiU#JQl__ri&()9}0thar1fK`;o57uL^@U8{{9Rr$?cXK^6nl zo;L)g=Exz2LHIpN^9dpdEk$^AlhQL|L!n|A1io%<1!gyP8??kQXt&>!0h|e+EyA39 zjxh|vBf=U!1X1}_E#>E!!XQ)7dvK4pf|_F$ zPUz=}l9MV@RZigdQ*E~P;wYMmsp<6JGfvk-8Tq7Z4FQ1~!61EZ@z#{C{T*7_|BX_k zrCQDOxPDk5L0Wtb4BgD_1PwR<+-)I2A;Jppv5+ilf)VR zIyAuw;fG*vast(%34#|}865VVlyK8IQXN*o1DL}_%XLO~;D@FMj$U)JS}1mQbe5$LW<5vEqKUK(eg?}>LVt@S`|773UU;k$46QzP4 z8gR^(`s}M;pDmB*getf;Fmc}ULyp%6KIh?o{w0n9Q3ug9O>q}R9VjpnUOHRA5d+evOo>6-VRtxUMW}29v~7r(Vl)JsaOJRKcR=$qm0UTil7F^ytXMjf79`G( zNWS1p15J*Rcs%q82?5oHzG94_Pix>XMG)a^8}P9~zD(BmwyA5o`&}*49MijEO6}@)NkGn%^=3 z6ER2}{o=AF39s_6E(IuC^8i*#xsfLr@;1>BiHzVu9@P|_jf1HrJ;EM35E1tX)y{ZDlV(Ge|4@y9%2)M&6YJ|P=hiTFuh-~A znl_%-_&mnLQpyYNUmJig&_sv8V=`S(2N*?{p^2|MEaFFe!Y4oYVC(T37E#R`u!xm( z7^(y+1USF)Rl#SN;OQvLzbv#HAkYQf<3+j&gO(+waN5AMH7mNuTMrF=jMORu;dE&C zc+u&&#avPPry!{)Fi1bNd%O@_Z8!f2#JQ^(m=AJ-e& zN`bHR34*p0$MER#wBN2P9q5q;2{?va>5qQ@&wu2Hr=T2Q4$hWPZA(DdU{c&F^9Q> zUo0vNh;NKY&MQ)dmEE2|NY9bKgmQ4ONEVDaOsH$sOuNIjkXZVmV-6D_IS@T^MGz0P z>C=?ML?e=7z7a_j7D-<+UrUj^M9vwl1MkTi9q*|<+PqkMm z2sQueH0Y+5_*d1?)jF~0!x=1yl{GQ466o^Rr$cwm$&(#Ny8&#Lz+VeH0pIC}b{j}? zh7>5@*P8Q05h%RqlH`o+1UE!hP@eE}_2~jLSzso=JjQ>0=r{e~*YCYH>E1l!S=|D{ zSEqWRKWre;&3E1ePiXHKyN)=bm$moM1eu@%d`KaXfSg7Sqa?-A= z@eNhX8s3D!<$89#+Wv>Tt79EK{+-k^Ez}vPAuM+Nb;~+5x0+erm-$}Ss>5M5hU$A`VuI8dFvz?YOT@tFus*Dz#Sj?nw*?7iPWm%X2Y<<{7SLveXUqj- zV02VcQ^sUQNalhuFpB*_CBfo?F))h#LA{HKfzbg?`p|X+cK{>U#3nH?;x$NaXTRR` z!0;(B@;S(8{K-KKh}ft=%Q>T4)&?PidA5y3b6`{_AtSS<1OR^FO65_)Rxq#XBxL03 zN;M)##@hoUQ)0qB@cqrDOO3(KbGJqZlLW57J1O?h)|{=rH3(|5RjONO!1X)?3QB(n zjiQG+ch<7*HB2cG14VvrPNmswA~e(J_7}MS1*Ug{FunT(;vL|TtWld3+<$nv5wL}C z_wD8kz56I_05g`St~O-Kh1~!*R%tK5^%)^K8 z8MCa`cbx4jxhVt4`0ta{I#@LoB)&t*wf)JHT0b5;lKCLtHKo?;)D*zU3+g5uJ*jo2 zSiqVC<~gZ#u(oO88hN>%loU)Q_okw*i;C@*NXzrm5Ac>}@Yo>tQc^XTtWk z(c{e~rTU4tSesbX`VFe}g1)vVyhUH%5E#2GKcRWAjR~5!{E)x?!(aDtzvi2~7OM-j z{yHIo>aXuNfbxte_S%yQvaQz7i<-lGQg`cK*&!-+C{3p60q+K&rS)*5V)vd@0AAWd zN5$?vsZfONiczt9Pb#VkEpj^f>$N8p^KsAgS^2iBOL&F9>Z)XD7}J36FfGHs&DUYA zr6u)_IT-P7r)tSfw#q|?g;)>HC*JhHZ3RC7R9EMBkj&F6uY%IL|Eb^d4L|-db-bl! zD@aWehTF-r^g4z*NxZ}6T<7l#W{Y1xVxE2-@shmN`D ze2zIwTR7YgXbZ{MYNQSvK!ObMcBAC8$j0;%zv7M7gYVfm!VJgGJX}9Z@kUJ&*ioHD z4ac!zke}%fK6scwdK@#U&e(%W56_WyqVlSe#bihu-}1l*xZvZZIBAW#jGA;@oB9a5 zhiQbJoskt}aeNH8^TW(JLY5BjZwvJ8FDd|%o+-caMFmX4D)sa5w8kchB%1;|k&o*& z4TvA_l9G_)D?o&2e!K(%S|yQvS5DAw0}`7?GCbRu=LkwSeXTH{w3Q4G_XVH_C4wSN zbSoL2ZcLNhfKVB)Dq6jIO$)+8V&{28l|rA{Jz~seO;M{=5`tQ-SeqAAzQQjr{Vr8< z!(fc>0XSLPD-sTSlRNODkjedw_i( z@jbu_=2!5egBaAq!NYGu7H;A_7#ZG(cFxR%Da@7;pGqwpOZAvka12Z~Z17B-1RFkG zc?Tp{Yl9~sn) zRcF{A{FOwBqfM~v&-XnZU%c^p{tXjox99B2upBNYF@d(TC@~ANJH;I^d=bBoAAe$a zWIHXgJ6&uObrJ;9`OCInzfPC*6W+=&#(4bZ`msa2e_IUijQ1ZC61S;A7y|kD5~S4M z4?kF3PTPU4!S}xYTDJMrlQPNDbjN*cp_$nF*|>?{x^7~r?IAdCTx=Wrf$xtVETsnP zWWE7LZMt#Ql2k#PLg>ufNpAM)3_a(p9_2`x^i6O2zW?g4{>tj<4G$0S8F}z36t7#z z!3$KlY=MMy4b&W7ER4}*5d^QS3-W<5K?ffLhTE>lJ8yz0{;;sYM+IQ_W<@>|RS^9e zI5Y&|Z7KNJ4^3-W1;HZ?w-th0CIRy~(ioZ`I2=%J5GFZ#oQ31g_5qUT zFxSJVa@A!SnkLMpm+{am8KSK7t!Lkr=yCN&H@n|AEH`>rxXUsgI@}d{v~T;YC;sv0 zd%;EDKx=+0?<4A|l1M`YxJGkC<32DFG(imMVBH(7YTb#QBgxMQE&<9Xt1^=cZUpjz zNice}C{D8%1s(zNA+3)bJzA8Bx55yNa!YSU^RPz?2x)tc(WAwImD>|TRakHd=Q!z% zsNXpR-BizEHv5b@9QIdtH{Ufo9ePkpdBlW=`=b8IRVcF9}2?ZoD_xcJL^=&|i!2N})N9S@;;-%tGZKlIE;*T8wW zu3I}|cN;@$mzqxroXZxnsGL0oUyDTJEhbPhDFqTZ+VUnTlOl*QM8x@F#ctiBHW#m{ z2<|(eWvJnBvP8{5Dfl^3&Dc32ZoaySP8=sY$FWW}K18uNazz+8kh~8`h9Wvb6(|L?g*0mmPh)Jubqj#@plK?HO;6i}&YI+T% zuB~5gO2S}fcvyqOerR~EhSuZPg!H4AWlS@-e-|9)n{SjZ@iE3>E`4%KpWH8xKDkeS z(>K5GdpwF!n`H=$YQcJRC?>gZod$9{ys zNTLpg8TErjCTbgB7ftFIT^looNRtDG6*7jBVGkKQU>MS@TR3l+-5Yn{)020=jq@L1!33;H5Pz z7v!^Pg3d66u`%scQ;(S-loP4ukTJs8^Y$DiOfpGOZIB0M=m)niz*K-nszUQL_Y->b4b_C5a&$>^%X z%tZsi#xkA!ahOhCp4M|U-V#CFT?8@j7PVGm8R&8|16_XTr#|zUOSimN)Iq>v4b#G! z?S{MT2gtt(>mqC!H5xNfeRme&LUEm34P zLK31})5VD8HOXR6X?X=_nkAW_G)ZJxJ6ty;cOdpnYtD`})MB~i)$IaD1sGMgwItIE zJ{l7!vdsI@JeG|(X8m?;ub&JLAHdfL0f_vH^_a!*J`RSrJjaXSefNv+eC64~na$@6wfA!Mk+u!;Q6zQLR>1wThTHRAITjHe`u-CJ1dxx(xfH{ytSY6m~ z1hl56Y9?;cVtDoL&ACPES+{7tV#xayAAHAWeUdj%Y3$sl?tHlDKqF_YJ?+6SC5;w0 zHhu@hjpUV=37?~_{IE}eL5d(orKYUd0MI}$zcWv#)ZLEhN0boJl(c~tk*aesb%(Es zu*9KJm28W1F?BcEY?>;ABi*Kx=ClTcYW8YtM}_H@e=nnTdY06_wnQHG150^N%nj?+ z7+pJqigifs9d0H46IYG@`8PwKC>gA4FRaZ~)QdtVfJ|N|Ec|e1N)Cwi)=)*gI2=$H zrW*%JokExvB*T+@foTV9E`sFx*yAk~c&(+G&?iJjWj-c=$TiPXq&>L6@Fmh7h-B|s zmUgMS=4nlLlai}G>rQx@FLrSDw1SMLz?=-mwTH*~0!Z^R?3<2ad!FHWzCfm$vkKVO zg%nMaJo709YPa}#6$dQO4z{lF_v~wXN+CJ=loI*tZA1wKT$Z|`3F)fm?(C;@1QLw& zE7G-|eqD(10qRZpa@h{~ZUINs^m&W$Rm5&cfA{*J+D;`vdQ-lB3X&_GO@6}&$xZos z#lCvJUbg-G6)79`M|V!j*9*=z)C*)g>@N`Hus<4~QsWppnbPkk+rEE;aMrO~6$7ll zmTdy`8&o4uzS0+7QNGxO2B@1@Y72!>=MLV-Vq0nLErSR->KLbHtMmJ?IU9g_Em-jE z)#*i=HJJ-o^cyX>OnB%`_f))r;-|D&CGG@l@~8xc-sXWb#0L|9JY+T_b=G;ax7q!BgA8woh)4gE-)UNE`41J<>XnC@*&He&Nzm(W+ zu*3$|xRC#Zp~kOE!UFOe!!pCM2ZxTw|4GjeS9ci7n;!6}dtOV_mA2;j=kT6APm^@D z;ga58FhHXXd6fQ=iK49rghxe7Up`~2QIr&vek*yl3TxEOQ3UEbx`pKsYkF~mp zmt2r9SJz0-DZqWoSAWfa{PGl+wl~rZFkgq)mN*2?J0U@8*W;;vAD z3~$_Do|#$&;k38dM1Vv)OmVni2&AHbZ2R%G23eQWpU3j_F#F+chuO!k8|cTHP3#MD zvODtvW;huFA-SFfV^s91-ABIgiBsBlzu#;HcCYyRtSi&|UE7%J=ynfHqW1Ofar=f_XfXR0Mj3}qads^CgbfNofK=RHGouHCVZWzj}`sl`jqdh*FB+a?=n!jeHHnc@BI7! z`(OX(DtE*Y+Hm(4Yl_k>G(~kQbOo`b3D}JCGL)~7l%a|=K{%T_kPn>y;JRf^$OWk) zO|W=XERteMdLzi6ybA7*9J|i>?8q@C!AS+6Z}b>XOi}>$#__MeVe%<{HSqO~%BaQ} zZUO4Q--nBRaDGk~3C}=@2E<>~nR#E1Xe-}tmM!dN>UsH^cr=oSDA803Z^k<9hmgL)t3 ztMXP?!AhDByqea8U660J308K^Ju1QqEptKMOcQj{{0+hJ&ymNa;fj5p^1fQGt;TM(f)rztO*t-0H7$=3tl5ObHb zwLGjDZx05$XbZ`{Gq)>9#{08JN?neASZ&ynnSOS8&+O>?Ri{@3Npjr=$w~c8O{&^% zx_!hnwaQOLz=H}|cePY^*}9^CQ@^!{w`>(z*?M@0T`GsG=e)Wl;pL72Mo4y&LqTYc z(NLDLV(NHD19{@eRMeIO+GN``I=NbC)Y;u~(cOD9W8rgRPq0yMB6T=p;iQt4t~wk) zt7LF`W&|a?t3*8xfn>pC+s_|ASTaz2eS@ziJn!dg_3~(+tJRmJ_FcDgt|o3#YZ;fM z_RS=hM_tQdjtc?FlfwRg6<0p4A6<@E6f_VS%qZ{802WZ zN}3$?Ele+OEn@O6s_8_1x;1mryKu{i`8e4c&$4o21k`SHirfIG8bbK{co)}5(+8JGO(-}bkEc;g9K zU8tuyYFq*e7R?U&d(M!uY;odF9p=?jF;R1PT(UlZlq5Q-S#yl)qNq7)6frf-%cBSY zU)lgB#w8v^bOQ1YjWtk z8s;^kTW4uP(Mmw_nljYwCNE1JqhH*(qp!yuQjv|Go2_(xzeY9olQpbOMSmNS)I4zpe#O(skB3m=nIs-0)+Kn4IBq>k-iR?$8|1vjW|P#2%q$f~*V+x+a2o1r>!gPs zcHnidYbT@(64(BPMs3u;=8J-J+*99eLJ0c)tb`E27YPgj9iHsnhL2S6re)g`LONB( zqYn;^fw%4@hKUYU42eMkEbNbdhEyV%812{Q*Z4|TcmAm-rGeAlx%=3HF|78g*~Cvv zoqJd2Ysp?M*{fe3bjE+@Fa2N7ylJXc!l_Qy9ZWVYi(`HGR+lYIo?f9W4W|cf2$(mR z1?fhaV5y-ay}l#km*}NBHh^xF2_Dy5Oi9MOk5G%Go$1iD(k2Lo6S`6CfWQpuMo_X8 zx<1@2H1De5mi?D$MJm*~A{dgTkVQ%qwWsAPWY{EAQC39JUK@=u*Puj}E+}r4Er~iH znl5-X7=D+RoMobG7^Vi$14Z4wden=4AAvjNUkaSD-$#4}EV8xV9`E_5IWk!~6oLlg z#D&TDJP@YRz<3%h*(HOpHN=IR{(Ub-YInmuPhK5b16a^mGT$s{&LJ# z4Y@!gIoJ>q3YaE@l$wwBW(R{rU7pu$e>*uuKWkJB`=t{)+fX(@4=eqT7=!~NAz+2~L5 zvn+Gv|4<@iQA}CdUxKu0nM=C;0<@?EDkBfDUlqPz%fuIAvnq+{I>>^-;%?Wy@?@;2 zU4XKm9KtM(B6B7tH>?>dY8S}z!^(>lCu&po#zfb zgA%mt;cKW15!MWkHb~t-UMm1~wD+{-(O6NtK*5c`Z`RFW)SIJA=c^qZLmIR%8m&CY zF6Q%;I!KiS$+Ol72ateLK{Ck`a)t6M0ob$3YfgFx^%)bQIJMvPCBVOx%pr*00_d)Y z4ZQ@|#a;q_9D51yy?F|@V0sB~%=i*;^M+r75&k&z_~w!pFxM%Z>0z`5Htq2bi+%}( z4wA22gOki~jbBhAW80U5%0U8lP7MvzqIgSvf0XR-HiUppxknvTF5967i1Up#MAX&b zna2I;hlltJyt7Dw*Ynqo>ADF{S-cM6bc94UF2}bwEZ1X+-JQu23oh1(C=f8mvE&XUr2bv&q*~{ zYoPZ#`L(R^3M{ojOM<0VI?!uL6r0__E2MZ*w}+6&70CP*jAGZ8#ia+$Rb>88NGP^3sYoW!}Up(el`TjhV84 zw!h8SvRSQI`S5aGO#a~#Xw35A<&dke747fP%KmSZ3Is0Z7~0=^_m_66bBbp<6cvxo zV-IYipZa_ph@~g$O_^uGQbaHuYe?F6-tt3f-*5TZAA8?R9unw6783aDYh6+U>%Z{3)yT*t^K zQ%Cl<`FggD>cSzgxt`nMGNgYmL5$EGs3<&h+sj{sNwwD1(#(h(AMzK{{t)sXy$J~@ zmL(Pe=~&1+#Dq_eK@eS8Dd8pE3330dW!Tgd6JDtApT{7G^NkrMBxKKOvXaO#xb5QP z*Bl6bEuhTrOzAD)x4Q$sUC(eV;J2FrzdilZ_domTt?@PHv|@^%*snNPnb|Y+GQ+ST zGhV(b`8X4-rH>=53!LmE=*Aa`bRAuY?AaRWvqsR3?;5E<^rdO`4EXOx(2XzH-3}*? z^+b-hGm14oM>oDnaMe3UH@*;DAJ7QL6=BX5^5-kM@x?i=wlMc1$S$^g)xr2;N&O+2 zG$h?ol$X*g4*8&HS*@i1Nv4T{UrhBqo^M@lKgqMs13muOL{Yw^aKCX!*Bmex1_@`^3g`C z2*E_YS;MrUMk`uU1EvSSWWUjhmed5nnuj_S13$=V;OBrzT2d24^h#?FbmOV(4fdra zt%7^h$W##hWfDsIinOFvaIDcv!jRIDRk51=KC2SO+L8{|+-`dlvL%eIl1S)D3p{2I z?=(YbXE|wes|Bkc#C8FEp*AcxZs~V1f-V2jW2)DGAF4y?y2F-uj%}SI<2ISnW9Xx0 ze$9=BvCI6LWqwUb5Dg|@7t=hye0a|Mnzwz&!*BTuEn!z1401swqwvcU@Qv-EbC8ReRaXRPtywVzxd)(f1VRIvV4`=@?-Mf2F%-wh zXE+d9(ustlr-to&adg7b=erPU z^BW+Gn)}I2xb6!8i<@rwr2qb?euSQcv9kEWmq5DA@h5cu+3|eKRVbrxVrHDrXGm1{ zGSh%?Bq*jJdh-tOJu8$C;9O*)9STU3o}idL{ugn?eg77F3dWNJB^0b>JifTyzQ1JR z!|{>J9>=bVH(vMeb2SDi;*-*chew@g@Qy?9gnZ_@neqVY8?;b8fXjL1!Kvk214zW+ z@7(^4^)Gl_rTVT_-pCCS8_o^_ls6O{^0AW3l&<6~>E%JZfTzP+02QG2V((v;jLQ3npHLz&-~n+O zjbyw#umU8?XUY{rI$Fted%$KdM?Y>m7AM2eCsnd)&C+91=CQlhGi1F=c?X_u&x!74 z>r4aGa|d1i2C#*)&}G)fBXvq4Z*=7Z^)X&{g!V*TG3)^C2yN@+R1nDOo7+JfH*8$Y zZYE&3bX`Pk%10^eFO@()KCMFnh6@}p?$5_2>@SVXVSiYMbF{hiP5W|MmGwHM^d;Px z_Fd|fcU`AE*McqC)tkw#e%<%~iht{WY`uUMBvfWsqC0MVD$sOw7gniGNp-Me)I-5O zC8wJifZh)nYf3L*h?3L20IayhOgoxlByM}8nC=BoGwwL(gkx6v?3wNb@X(lV!WHR% zz`~_wJNE)mip6jlF|Ye0NIV-s_X1e6Em+At{DxLAcmX_i0ZU?sriHBm2{~GFh`7_e z06Jq47)kAEw)%`TFd;QHPz7ot2wMqaIoLO)FX1zeZll$JIUA0|ELgZ zpyh*0>+-jNp)4jFzhH$FExgO;1#Yh)-sQ!R-?brM`UGB`K7s${L!bK{f6SR`_F&KU zQH{EVzEN0Rm|aHc+pWfy;$ZjFwg~Q$ZbVT$VP-LNm~Mm885mZ z4Y>)T^2q!lLISjLYhdYuG~`tf-Uci_1QFE2nx++L$W8FL?f|r9l#Xt5&BgkPG~^}- zCL8wPF&bGlS3PMVt5H-7)ub7N$t!bW&Dz!d+~UQr2EGn!y&49w^2#99waTLugRSACGuEZii6u5h=BZ@Qry)B8JaF_=<-Y zPKU{y&{iP$Uu|06-9x34U)ytfUvsdvr8#fT$N@5MXsewIA)2u~^M*5*A4)O)>MwcU zKU#Zmq3{3;E*wX2LgfKE3G7gOJ4q){sc$7?Y_zh#NU9(dgmxOapgdAV5P3j4?quCv z_XH(}Tm;Lw5=0@WJhg|GhpY%zSS67Ag>>EzO`T*HgK!2lF6bnDD9*SGQYV=po&fYV zQE&$$`{t@6bOSXrYGRTP3R*J!Jd?^xbyk5k-mwCshSNh9It$rgSClKdOME3;b5vti zq@gRyl5oMJ$HSN~_tG>FH3|c$s8QNxV7yl;c_q!Sd55He+S&^=;+-}b;Czu$udEs@ zR^a?*Cl3|a>(ykfDB5FY*_;lg81`AFfe~?_G-XfQ2cpYv4ek3O9$qU!h!FC;?EaB5PE=r zUIaI#d(Q>MpSMHX@Evp}lo@9(NZVPqU^1=XhgoRKSLK4@wwWYak}dITkj&;yNtEDqu)!c^&eo{wPTs|CKy zaLQGIWmeb)Q%tPW2^lGlAQq>@siZ6u=y zSI}CxB8&>mUdL=-BDe^BM?y`#H&^I(LG&hyDNegr+9RTBJE=k`^& zQ!(vNw2A$tse*5K%qqwm@1+BIuYHqmZzzUJ6GiYR!pjYRB7D2Qw^R}s#l9{w4n_4Y z{zTxoxVwzQXa9u{yzS?_lAt%E%{YuE5>gd@wm;FWwJm4J`bT@^ll`zmTMCc<#BMC? zPyWPM)E`vNc+emHiSd|UIx#Hf?*IZ8iw@$XHg%H-6aa71nfDmKfsUWXqDOxMsU5A1 z5G>G>jCThW6kw+4Pe4VVnMa^8RMYA?1TmHVh1>l4g_&~Q^Nh@aTVelHZGQRi>fnKw zO2T=qKXaJDgUt`GG;F@`hFcDy*<4(J#Ra&0(Q79!UcUIsi4(5ljGT10I^FgKbZc`odPkP%9+o zxgyxaHC`@Xq256kpbD-yxCDj}(Qrx9yC7Wv6Fg$3mGBxI(P`AK7{LTU5#xwlkwC@f zVkD(tOCmsL;h*JvwCJ{yOevUT0#|^ewyMzOh z0um58`a5j{7JKf;CrQLPqztSnMCc|5lqDo31akgiAmI?e*nERS{z0afo!>@WUW-yQ7@ExC|Arg&>MV(94c{_KGLge36~Co*;21pYzN3TmNC z-U2{K-5&5AJP8r@D-2M&6Q*{$hjOm>AWPh2_XA5{$OVxln|~t)VyRi2=W~n_L0y~p zXQCE-FZj&y6 zu7bjZES_>A2FAIPouJwQT;V*s9BuB#$oJR~*P8b9XAadeApyf4MgF@zjq#O#3@R^e! z-)Ix`xyGQwMPQKxCCz874_jchC0YOjZ^_r{S4bU7mahn6nlsVl2rJeApo>AZmiCf{ zH9;Mzj9%IrPPw3@VNGyzKq?s~8gT#gzu=^L9CG z`Yf$zf8B8TC;QI+`Zq(LC>0Ez89MvBFf<^-d36{XW_aiH=&lI}-O#9D!y{?;ZHq)ZE_bO80x>Z+)MP&FvU6A;TlvxL{X zw3SvpuvS<7ghrHBS49eGr+2`Ve@4hOWSP!Kw(J;$hm4j3X*w4Y%y z?W!kLkf@)BVThEx)_jjuFu^Dv0=- z?%tbkgCyn}bqRgOC-lIppk{vkPyXbmeXZw%^*u6j>GD<~C&^qNE0uVcm6h0DIPG#X z!Q)9-_r)a|RWqafR?*Wzfr=!{;ybY&E@_XbW=4sylA7sOia5tPlwoy#F;UGNI}H0% z-)X;Zoh&Ih7sUmfy;5IdtSws8q#mzdv5-s5nf*S8?P;sJ5XJ8Hhm?G&ur&>`EiCq4Z_5{XT-BhWI!9!Ge5MR1R)gunEdbx~$imURoe>3!n57|PNos|-f<;&m8NXe(;Pi^wPsW` zdV2)GF@4>FRMx$X_8GNtn@GDcor-?Ejb}ug;C+sO zO|8WSTOv_quq7}6pa*v2gGhGa+6=}sKmyPngI{+Oj3>~&aDKA|Imwe-&@O-7-jMjL9G@l?va%goueyU_VD^v9(eig&sWJQ!FG#6N& z1Elz(@kFMO?JOX^*sOcJ(hP#l)cGLv0ibI%o)}xMw48g;UbHByWIPSTiO|1m&oLTL z^rn(ylo-x!PcUJkc4&){EF@rCy^i06Up>HKkyaYa835UT#D(_otDINIk~Dsb_B0jm zVja4m_(Vd1J+hnri1X;%lp#F0B2b;2*-fifzhoaV3{SU5Vrz+>RqD0L%GK70LJD$W z<$9g6a?PlR(`1=?I@7wbVEqZ7_eJ0M>{M9e@cwSo;{}SE+2Q?|AlQTTIWr#Uj7 z&!jYpEF&6DW~79mMpB9~3TiTHy`d*~O;Vqn%)^XflRQhFb8AzOh^p>11&Q-bQ5-t! z&KVx9d|7@u^7Di!h{Xqcm^oqq;|)B8h@L{!4VEbA^~X(IZuyyZVo4$1ObYQ|`&U2e z&pqul0h-UXD5zw2+5XP4Tdc>i&7B6Xd<7HA*VqI#UZ5ZbQz}T*1w)Ad-u02KXLzMo z7$e@SNLy~q9Bt5MG}Kq4ps%~x0Q^y2XhWcJ-~sfn?lYI&NJR0umtE8 zL6W$^aP&#5PE zo~z75uU`_P-}`~z{8?{y??M4lEhh9>yI*zy{xq;ddKZQe48TkvFB?IcFB2^03;2dr zM_QunL0}GvQAf59eT9YKr{XQ?U6|kj6-t2xp=;e{BvnCb8WRNjFNdRY80LZA zMLIOS3ljurisOd>w(QMioiv;@CT_HpSPOG_)-UwEDLzsgT}0TwGxi9~D)Ziu#bLHw^OsdSQ%giF^x7!fsl z;nYuXec}A2`xsHP7Z{$wJUyNvbTKJntQ-!fDgKuo9oT2hY@^E=AT#<(K{7nR7f4f+ zm>UmA2M4S@dU%2_%JT+E96i4iXFf)k`7y#~tY2gE1|K7=79S&ShR5i#d5pOID38%w zN0K;;*Y!wV*GBl8JqgyW_*91^*oH4^$Z2((i!PE?!4pF$7IuI@y93ffHEYyuF9_kU zV=ue)g(%w-MqlG4z4s&p^8VZo`|mICT7~_)i!LSMI;#D6!Z``o;d~?Zq1#<_iL43& zd4F#E@$rMjO+^h_670VIT6GiOcJNvONj<)04=46?(IN7-%?{t5INuxnDfqU(iSwvS zb#fnUGv)BV@P+4}&uocCC84$Us&%WNO}4s1ZuaV|6U^b?oe6;*X9va*_DcLRMglg1F(`KYGU$HClNKHIJdzd&pIgBY zz~BMeY1J6Hh*A{wfIKEG9O#UKtX2|SFeNQ2(7BTyxe&OQR+F+Ha^>mPM zDIc64+TF#WPsN2a_0qZkg0srBgD5d1ON0_c(&XeNjP(y7wgGDnrV9e?4pG;`)PWb# zO%G7gXYm|D{Ub{e_6P6csI3)i;--hTIhszGBABvp{t!s#FWbI9fNAM+C z#PwZ-H3GgopxByC{IsHP&jq!W7sHLlAOlh_;gSr}u&JYoF-^``j|oVNn~ftF+%Jp~^jPCyDM)hpoxmhMwN@E`l!NLOi{s1Ju^{NIX>YIT$8tTxQWj6_&M-0Y4& zef%MFbLhoMNj>nggE|>@BRxOY40E9;V5sH6z~I8>2})ruxxDldk}=R!QvR&P=Fp4d zdc*Nb3|>3h;e4Jk%mw!r2$*qn@Sf1N2g&&l5#q@35Mir*h-L-3YOMMX5q7SuAwv%l zj(3|6(GuhaO9k*5BBL54($c0N4FPsmc~y0u0D8elG(h~sI) zfB|ZL>wt(y$Zi5BQ-yqQW`i5`;AYX0Px=xu6GB$R^(R zc|xI{393Tjh=TpDT{6VHkVI50)(&ui{+jfW%Sk`6N6J}>4Pb64bP;|%A?D>zL?;c_ z4kY}A=F21}t)2QfIqnmWL%)bQU1W6CnB7KquRB*MwL4r@k1i8GyNl$X^i+>tvJdFI z;z8lpuKbW~%aJ5{L$K2W+sT+ew;6C$&LW&*Lv2h9vZj0vI*zRQm~r7YOQN-K!qSi% z5|d4XE_-fcgEFTAunJWZqY%h8kK4iK@nsI1Vj-(4#jo`UExaMicN+oQ)bc|C+aG-6 z`+niGofOMnuV-(L9?zpC<$j2@v>hbUD3q43St0;BAvI$=LAHuD2<*-`Crx`>Gu=zH`#hF3{U zMR*mVywS4f?=FD4Fqr=8iE_{K>0N7e6|k=yN>gXH5mex&jA+G#D>)*i)5PPsV8Gb6Q>R}OOseUHvE#%n&;zs%ac2isd*I= zL0|D_-}p~|izk8<+-jwX0(C_YyCEMGy2>_P$*3FfS@ntuj`nVg{w@tpqrKbmv=qV7 z-fij?JM?~RmZLmB)?0Ntk~In!Gce1MZknxlK;=R-6>?V4ZIZmyHA*{QZGfYb67tMpX*COO)RE^o3)jy9t!VMh6lM!V7VZMI+Y z5!%sJQ@ZP?+l{hTmANTub-Jdniy&iGK6w6JSGvhu{~Y_!)8gjywSN~HtT#Vm-lHi_ z)1y6HLsysA98pd{}OB{}aFwSM%{gK;xG7{B(}pZSg7?Xig7jMiuHHpWV;UG7>* z?UXI}d2B5}#%5?rQSuqQ-|<+$2fkwT8AiLjqt7tfN2+gUfz)jQ{CDD7Qlb&Km9*HE=(BG_^g+n z7K|#>)8NBx^REucLfQ;W;K+U;Jq_5CqHjGz3klrQ__>A)IL?9f-kH@>YA*5v;J2E2O+9wVitW6Y+#-6P3KJ zU}BCcnSoPn0Klb=8FP2+$l37ac4S7T$}l%L1)K=%<3U#o+=yebnash8;+s8D#bimwr# zU%Rj;mrXozXiAqWg3r@FNP=QDNsN9}SS0v&dN6ds|C(fRG(kxMFnqrDNk59diTWPJ zmqNduZkN9UeeU}GP+?xadAPNDSnPb)o$yub4e1wsVB`20N8QUR_U`CiqTr@yQTpKP z1wK4HKUll>kdvYaG#j@IZ-W)?nX`nK?sagfJO?kRhq7*3kXT4h)hlVa32u{Yd%a3q zmcjw0!zUbY0v6rtXhN4xa+{_)nsC0+ZDdDNsvdUK0O9;)+s|L>`eBprwqv01Yw^`S zn>eRD_lw*0?ib(riV{%1>)H3b`@&kEu<^jZdg=1*Z+*wb<#W%zbhS-Ct?sFqE%DL| z*z4K1y~EeJc>Xy^%?;M)-J-?qx*ht^&;H3D`iL7W^lr8sPJ|*Vy2{n9@LVkIx@9lt z7kW2a*i)|9^||L_(sp$0GmW|(8hwU4fdWnzdQr<1_!?Y9!q?cPGFa$^7~zUc+8#P} zJzOv)ZAYgxr$X=enC4jMtr))@jE%Yp;pkMlcI6{uno&HCw+G23O}Fqqwl(fl=q=+_ zaP8X@W?#o2Y0{xk<2#X+Yfx>%aa)X)sg*jjDe6CNE!PGIz{c{xKaxb;|ccInA(qh>#TjO zfnjG*ff>&;G5j4NqM=xz!=TmW#EQV~OBUpa%tb5ci2$5q?b|x7=+~qbJr95tS2FLB zut#Y!PlBEXfFSBPilT>a(H=UaYEa2pADC9bk~rD6Hk2^@yVaJnttq)713)^s6!PrC zS!R+%(P_`~Z6rNa!=H$Ek85tpuiHp^ss`t&H(VMb$}q)pxM^T+>j}_QdZ3;dTt?CU zx(+f2jr}zPZGW4u!=Y{iv8yi3aKXl`zx{<5uuzVl*N`qP5S}@Zt~bvdU=w+X>t+t7 zF!{ZL&3RGp)bgzbV~P3`|Hw#e$|i=p;4 z!9u3auJ1$1t@?`8zEuztZ)HUkpbN)_3yStNNz~=Z$4dRj>~=o3Xx}Oc)-J3`$u08B zjU*#BlLWSh+LwB|W5kwjj+7rw5)dD@Pf&8*A9@>a4@?>1K#)8MsY_ln-k%-1l+~Vq zyH?F;Io$brTJ>!|4J-d?5)@T!E8^H#5nxOZDzj(>n%TOdsOlmZhfm0gQBYL138FTtt~V;MS_bnK zMOB+1i-k}c>LQ6TJDRkD?@ioMx*Ap0CP{E9*F>``)oPX$Rc(^!JCxHz zaI$KDb5+$v5)KH7Fkx{4f6`i^Uyvl%$|n1KX((VI)tu#{=lfIAaEMR(=NWHM;S`mE z3!U%0X1qf#iALz&6~$Y0L_$yEEtnSLP|=IU^Cs<3c14Hd27{R79)ZI$9sTSipeuZ@ zDpso?ABvN2tsF2PzE97VsPItVhwFL&q|%51%7?Z~D4_cf_JJUj< zw8=Kq_7;vOcI$ZzAl31($yd6D1cl?t-p*U%cq+@^no-g>abo!)qx9+T`r+=c`B)-7 z&(|-q@?}wx&ZWp%IKmiF67QksJU`Z54i0df<$r&kLgj(z;gRN1cZ_ zqnHrDUfM%Pl?S$5Ga>8Jt!y{{nWv-1gNLuf7hsC9N7kazDD5$Op;#}BKxhP$QF3Ju zNK(%%5NKn+WUaXz!Qa?kw`6ZjGN^F0SGPx(=+2DN0Rm}|!WRBYI@k8*x4=W1Zr?L(iq?zo5F_B1d23w)^qjHAJAv_0K?VXNk4 zZtGh73+2h5&~`l!e?iaQ9eQ@&Eou$Yf}Xt^X|tY%v{|p16#VA@=hyw%_j^f3>tklr zOSO#5g>3ZzmIA=XwyGb20*5uYXGIXRgVb!4HAJh_7o<@)!Q*yE>(4{m z-~kt;jxa%oaqNX57rY$q(PEysY!xf(m*6UU-}+FGTt39 zPk|na7qrG)+g6h5+15nnqOf3s&9^5R?+@~zbQEM>EIN_m(I*`RG2#zz6UL!Oz?>uZ z0}RGdJcu;bD01>=Mt%c<>Ryk;#%~3iB`bIzw1YRvQ#hCvRH~KTJxoLjFE@DhBxhKO zSn}qKVhnu-dtc6VFJ>%HdpC3W)-+PB|NIwx{xAKMw^VCAwbzb_s;@*=V9O(#9xBrc zuWhCMg!$4?!eGmzT2F%18&)1>rCAb)P)bcHSBHC>V5HSUK$KUcS~o$miAw?zRIWW* zJ*ssR+^sOwTk06#OV`>+`3k0ocisdKtF3lQpN<$U*BqK^-30fw9T8{So};MsDk(U7 z)=Le$5Bp@M*2aGe=gJMarahcfrHa%uo3W zN`kJE33}7kzyu4|c-R4bWzbLTaCfkiTS7cNN6 zVJkY^Wp^yB5iTfs?Xsd{Qz~_X3-VF06%Q4ff*A(wp(U?fR#bCM4+zs;5HO~5)V#Jy z0^NfQI3z*wslKEgdop0;Ypz~H2^wwipMT-0Wfh#oLZd2bZmo|8i~qSA@=x)5e)eyM zK2aiMlTB^eUqJg7_L;|leWpC96W+;^O`eY)zwxHPdAF!_AeU_N&192*;?v&x;Q;X0 zbfP)omRx)lv&kSA1#XgXq|K(VN|&>O6_45E(X?~SCIgW}BouP0SWzPiUoj+{V8u5& z!3D7V0y1*JlubU6C5ai;En`9Zarn#RZR_lJVNFSQv;tEV>jOSSRIWq1K6feN6e*1R;_`jL(CAyf- z=}$j7)|90*;gO5H(!R+THv}@*1o)R%+4QM5U7 zzCR2hNY5Aw2Se@*q{xuD>6z(fZf5%JYj@iY3DHy~5i?~J9Y|>+QDUq}g83l)Vbmfq z7Lte>G-&v!GL(=~Cnc0|3PBX|JnQ4P*81)Jp0m$;-}jx{JqN1iJ^P-ue|w+(u|A*m zEW}VUakK^rIgkPT6dWT^s>J2@F;os@kQ_#z76nfM{5Km;XCc79VPrHQf#T1B3tFQi_q{0M_<_@I8*pNSE6V5oEoi0if zq#_Z)JrsnvQuY`#AutB8V0x%{0fa)?AD&X)27+^JNFW zhO#RdLr{D|e-g*Pkfe0L^G_N^+QETr8L-Voqds%m3J>N^)a%mIOxbJQuWrMyLb=YoyAkTc*EtQ;%SWphDf z54wRDU2=6n_!Tkpt$$)DaI!bS^1<;CgFa zSn25b?%>L(vx?e@f#Z^7zCB3vYeN!HbSEacg9lm86XbzpYc5w)`kVbK;YQ;0U}tt< zMy$X6i8Q!6py96Swz;ZTlhW_K&QK7$DeTSr4v3e5SF9c^Kd_of-lt05U7DT zx8wLyZ%mtfeOn-I$Si*sh|EHPF(b3`CN3==@;6cW@fUpmFZhzaHU?) z6nzd{EY&j#9y`Eb( zeRh)N{s44m0mQ=CfYW*An1m#AxJtP0Fsc157xoLkN|yenBy4Jb^n8neV`B#=&J#AR zk8?_|XPW_rn`t;@KhKi}NR3KlfoU<+N<_Xyyz=JO>mKXJmQI=2>)Fd!|9}(|()>Rn+oo#nW>c<^~$xrtce!sTwOOLKz4?d`^4Pr@s5SZ~EjjoFk`tb<0wFj$y}1bI-)#)&l7e%+`0e*)g{dwsQ>P=^c-3 zd_kM9a|Mv*1A%Qd)7kcdh(YZ+ya@|Z+0XnK2)_JlS;x ztpqAA{6V1Z?|*qA!T=8v3H`7p#v1ikT^dR4+CGa~7Zba@NXtP7x5URTiQZ|}#dx0R z`|5vDzC+CX>V@B<)4Z3=w+M5l$<;?EPWmRQyO-|~&O&EDfL;pxTlvaQc8tHQN!?vd*+t3csFlso~9)h?Iq7PE=0USLUv5Trlk$>Ztuf;Q|3FpEBEpX&uEd(wee zp}BgP-KVu}hu$rj&_dpNC3L{$$I#d|L4ZZXd0}sPU;Lo0b;0d=3CD^ng6y{T%^1YP zB{6J(jFfEd*$he$rCtCmJGp0M&B4J-y#V~ZX#asEQkY}}F26^TMT4WG_Chw|BstM1 zc!=ieWbYsP+?~e{8_wjRbL2+q;~}#4YK|X1ZY}~o8X47Nd>qtQxp)3AY;}C{H3u%i z!^dr)&ciG3w9eRqDsG-0HZ#7?PNT!0=4EzS_Py(9? z&%cvQPdFrn3jt5~f}Uk3nI7>OQr%>e=EUNqK>+L-V5TuWpC-C6Q9_eamuqGo7A0b3FuNchVAnvx^2lbJ}ca$RqZ;N~#)d%?(HgDjND?@@E*@!Q$Bd-jWSRbL!zTAJ~yy*T){ zr9Ojv)YM09FAl!+7l$96#qEh+94yqoIQ%CcgEjWz;B0UD;=Jd22p4NXd0x{J1)0)Z zhWbsZ1#zHLDIRTSD=?!6iW36;4!L-Qc~1=4+e9q*trE~R6zGWoQ7ah%mG*~oT2Q1A zsK@7aRI64fo*nY>*R$2OoIhXtRD8n@d4H*mrR9*O%*Desehw%*(&gZLoR(WJXX{)% zT~texVHz3}M$0LZYQM2Ghe_Ri)g+E=hmROBuggPB0tpz1jU<$=->NCJpM zN=6uc2HZ08=9wViZs2F2AaYIWOU8=wh`Hbj(+O!AFh*%`j`A$|p#kO)WE}3O8O2yp zo-z|`wJK8ks7(w(J_EMJWD(m!ap(iV)(g978G`{#_>HK9oG@e8nX;ajF(@D5mz?P^^6Pg=-?GNDtWMbO4+bN^< zsH=SUP%EQjawuBzFhymJD?=)wiOW+oFHfEI$^XJ1S$)|_yxdflht}c%{?0+Pn&JZ} zKcA1g8v63d^-SY&m$0|R^~@7wU}M^J+>szO1qU#E93MdU9Ea}R-el|WJo#+g)i7A4 z&N4?Du7!`rUCHp80Al|LjOZl3trj;>Tjh3*55Z3PpxqGKB^}*7>+y zT+_jtDKyCAc3}z1nkRKDl~9TxO|N$UYC2VQp*!r&T|Y5mR`(i9hRZis&NCKg!C|vg zROu9%Yt?Pbxl$TU6?_<;PU&H~y0E|C-bE z7md{d6DyH3EBp>p#4~yaq~1uG4110_(Qt^0Ag{Z(PocYt)4O2TQNlwNtq4-3y5M$B zZyc%xZ1PBxR6)9dE{J9@4h~}{h16opid3mCh|%Fp!NG-CEm-C(f>fy?xFBK|f=dj_ z?gXh)T@d7B1T{kt_2Pa-QK?O`javcv81fzI*prl+Dwk-a5JffAk6=Tr@!aNK({aG}4r9r}y!efeL1 z*N=T_tIlaP+cPRw+a|voQunZsK+IYrhJ9rj6&FO}o{4z~q6@mm!-y$0^z#L+bZclO zjLYHFO8OAn;piH1bnK#Uftr`N49G64BF1gJbF`90u5gMl97AKHbaZic>^wDj$zOys zJ__Y-Ws^|^l(;+oz+I1kI?IU(zvaku2z5%%4bOTHMBStDpiGa@S68C8GAv25uA+O5 zA(q0k8T-UD8+tnkkuOLkW=vMkyd6n*xWy?y_9RFpX24Kxik$w0B$edA?0q_6N(VWx zi??%+-j3>{6SwH%?M&xmI|rNn#eeI!|E7O0ydBLzxVPhpL4cV_%S|lO+Tg$Tm3cc& zu=Or8m@e7AJk1;BH!|1=24a2~bVKJ{Z1gU}TphzArQYR*fp6m+YcAG%mlr+bZsr(A zUSNkb2+t9NGss$AQmi=_i-~@Ujz*IARPQoceVJk)ki3U!lw2%e66o%N#m9sxBM^(9 zQ?N+8#hRBAl1N4z&BfYSFG|AO?0!&&(!e1B$+8|@c-YHN&rllt5X^+6-0<*>iNaj0`}quj}HKSLKrFPS(3@EnT$P2L5HPnX{10zY`u_AXysk^6H+Odnlbk=I}T;A`q{++&;AZ~lq@ z>PyqO6LsC)6){KNjWWBx=7ZMw9NHfxdCG~Gqa;sK!|-ImF_I^#VR&SHjN~bY^+!pb zq=w;#Ua(*z@jnSb=!!(9AP4pE6>KymhE%GF4?(SAv?Xb;u_QXr25tG`iufhpFZLwh zLi-iq3hLDPnv)E7Gn?{3ZDN>f+B&tGr)Wl03b5sI6MSx3Ak1xi>Q|0$#y*`k(--2X z^C(gTI-fu?QsWJf4Cc)PiQRyGcbo*6u)I`l?PWOAY7hwXUw`XQ{qyfT1%#R02vSIy z`gny`vU%di+BS0Vh0?0w&sVzvg;DnHF;YsS0B|zx`?xO$U#-T9!m)9Dco5J9U!X{l z94=@kI;JD#`t%>eepu)+f>wMEaI9E>FmLom5Ca)f>xedLA5^7Itrj;ms=JMWU&fT3 zN}roweIkYG*;M~~fx*0;NWcR7KEW9!v3gRdd}$jJ8k|k(ZAW)4Kt@|=iN$jB?yWFY zqetL;b!)HR34J)oxlUEL)0Xe^g;ckHtXrt>}{peRnO_b?-cVM_KiXx&`J@ z@Hybr8!+Hy+~Ed1(&Au(h5#U#>vLUrI>^2t-E>yZyhhyy?r;HK=WfZ8>pISV8Hs`qv(dG$Ev z*e6OJYnXe0BwqzFV|M3p5O$YnBFww=2_X{&jdJY}DuAHNa8RJQ&q7Tr@;RZ#(Wg{k z<`5{ym+eq^Xj6kqi~UI;Kpu(Kb|igl>HK9o_Lr<^+N8eB`ZKvz(4V-vo1{O%uZK+U z+3xBz1^02#pMT_+ebUc8`)Lgsq40DM&|5P_>or-T??PUC$FX<4COVc%heG%58N9B( zB9RkFF|&n4MtCCljTL!AToBBl$ow;54~?Ul@DQZsb3xotO_^iF7D!pC?Lv_H(*=?F zCl@Q5QZ~m2gRHiyKXJDQ^FA1$w-v!j3PsDoAPZ~$^g~b7V709gjl8Abq;)i^ntAy6 zHhWH5_o6S3L^pMgZML@GwtY>`d`x%6zn#)zhHtmaHbbF=7*zIV2!e!FXYBxD<1O*+ zE@_bz-hVtOcs{Bm6^ToNavMEJT=Os|&0UpbnyE>`cuDwydKT*+RWd!s=t*0$ofdZ< zB(54GrSnYB@eEI;MpJnFjF%@#rU!Y33BBIAv^M-ol1xuBT8V|>me1YF47^KDd+yMu znr$aG^K*wy>!g)?kIh?r?y#E09lm&e?${t(X!o%FB+uQEu30o^!}?Wgf=3})6EJHT3=WqwG}3eZpM`lLB5_F5eHd_Z}Qf^xQR^F;>boU#c(9EI{04711Egs}rI zNISlCv&SYNAW*gOb5?@tNY`)BM2s$7zSuz3D5&HxlZ<6E-z&ah>G#WaIv~1~bJr`o zkW1IAbou7}4y6w3-t7*UleZzsK8zr8hO5KcA=A)E2Xr z57VpFHt{W5biIe{0lr1Yv2UWMHYqst)S@5Rg%Rs%dvXi?(9WFvoCjz&PMalAYmKm1 zFFgN>05GEqf-Xvn-J9Tvj@c&H795h^;q0)q@Z|BMZeR*s+t-hA-L68D=ev?V@0pjL z|4^pNd;UW`RbD%t%9SVk`Qfn9@7BI5fiq1=+Fj&6X_Kn=%ibil)gfME(IR6)W9Q!8)07=!lntL?L~ZCv=nl7NfD$nbU|b> zkT-?4+^-Ji!o-TS5-tcR3dw(oEk!A)TaoI}1P}S5}b60rq}F(f#^l9Mg1{f@uc@ccT$|o=BYN`obf7@dapU;w|}`2 zVGfU){|i4iKIQNEIlhTc>iX>Cr=&oC1R#R<374-Ko=bbS+RjK+$aa`k4HTN;*Y5RZ zTD3j<{7~K=($KfJ+k!o9d8*dF1^d0<@t^*$zbnRNYbbEzP<{*6PvHSWF9c$o8c(Mf zh(&7-L4gWE|1w>=7Dz$Or4R`G5*T|Vq5K@J#@&i30%EH&d_%0b*^^GR9y$d;P+A8} z3t@8Lz~H|Mir41+5{Hdakl~L32|ZHM%9CwjKFdZGC+O0QZz=?(^3){#XCpgcob5?6 zg{T1D5jV-7GngBCQqifFN>w6N85NaJ^`ie?%k80P zt@~U@P_b={-D@^o*zR$nBDqFT)1PO)J@8lvap9VK_CS;y zE){-1I*!e}H6(EjD#OEM_d&gbASZAyLS2FZU*dHoQr)f5CL){?$D$8)RBU`qm>zMA z=&JKpMpqt_iGSmHqy|3M)&3Xg2Vq!A5tQV9Q?9bFeD>VrAf`v|H0>ZXoGI5R+Yy1F z@>p`k2vF`fSBzS4PR`QAmt5(I@nSN2D1MG!o`|53N#5aQc2d5nE`vSUC+rcuiyY$I zmp$TfKjB@5X}9R>coA-)nzn{>gtTTn6>uu8-u`E9;1l05p{|pWU^hJU9{i%6N|C zqbLv7>^?xxk$e>8F&U(fyK^KD)nR>X2>JU%=SaR4<+tE7>jiTpkJdRW%EKxvAU1yW zHAQ(&_sqG0iDt7FM=wSBR+IlX=D?ceLXcjJ z3r4pNra@>+UkK8Ralu4G01vAB)%0Rquni^IE#Y)^f~6D23bX`qgTJbm%#^SID=OJG zDmOM6HqNrp5Hxrjt`G*))+;2bW+SxrWFun@na|oaAbz=$z*G0o}QI9OwibhV?P^08@O+S7eQ1Wx~BgE3>krBl9 z6|*JSxqLv0Wm-wP2hV}=fPQU&4^I#*0S{KOgutei{L}z^Cxyv&{2{VFVZt6DdqwSd zc7Dem_b?illF$)I*~g_MH0>5$@;i5v-}xv0oge-W|8xwC(_~v;656B80=NV^W0sg< z)>R0o$Gkw0>N1#~+X>S2x}fp;pvZ)2yGV&Z)!0{t)NnzR97u49k^|B${ffCH#A1~| z+z!B1^tGd05&~$6T+yiXY!_@XD~F~|^h0Ct9l;Vf^vY3TevXq&WEiPW$b?NQn$2`N z3$8hsGdcLBT=QHsn_++#X5-N>0p4a%wxY?fdoTk*2cHZ`f=|4!Q|6)>niJN<58*~) z7;pcuiSO9f#2pu(j4rHtT7JvX?2#Ve83yyw5yzS%9Ok)bHnZmqIB{Tv>VtXaV}x|f zCty>=rBHUBBiI$ndcRQpyyVwmHd}E-wQC|OlXL`2Pb38T9dZ`|dL&9y1^hlL+vG^{ zJxY$~Z8uej;~MABri#*(f-Z@Ae98WYBU=69uF$AgE7u*-u>q7Iv>N&x@GWPD`6k*o zunF~qP#xb6H*p?qsr#H8)>0=e$1;XFD&sE{eA65jzjZi9pk?Wx2L}JBA@Iess>=f$^ zCa(U+MD~ci9}7-ffv1ARnfhL_VkD0sIA4;6m4d>`SuRgX@S*E#z6Pr*wfY{Upxv*L z@|#~t-;vlX{q{4RwBn+T@P?+SU`h{&CaA`c(w6?R-qcujY=o4` zdI~JbPMrO!`%mr;xwvdk3r&)% z(i6+~c^oS5zxuU*=~Lbo%3BG~ygFm_XBfo3MLY;k6wP5Q&m&=NUpXw*m+b|5!gj&6+YL0c^@r97+aysK&>j$j z?UrLJEY&0rK;y~H2Sn1|ghZGUr_+`n)2ENV?kj%LRdnBJ2Fsmc%V&Wmgad>4$|B>Y zj%K8~a!wbRaPqUlYd#6{w^>9;i46wai9U4*z4im}&?jL2?yw_qM*fkKHWYp8E3P*S zaS8WJ=$B3~=XBAs;L4AzKXt{Fv3wTl7A*3TxL9#`PC0bWSQ0isca%=%@In@t|7HQR zAdU7q$Q7$bsV& z+9@Wm{IHk`3xes-kJrfI51iHNFushHdZmY0lM%QWF?0s4P_G1c_L zxeD*nUw3~L^V4ooZ#3?!pMl4<)RlgOB6ats&bl3@Be{byc<9Hxuh7W1tXW5)^5`nH zKo*{qDfRAirrmtSSN!z;2ZD=3Z$@u;qnHZnjNYx1W6#{)(@ef`04w@ zit}@dtty5WMqlF-fT8;pb1{{bQHgkv;36?yS+N*iCC@`cIe^&a`0H6AD$9mx7#UWx*06A z0{6IP^qkAh;|hK4u2H~&zE9na05(ei;_2zpEK`^y_JGPn?k7p|+4b{8jt#I-u8EYE=4p~7t&dA?fU>op zjyZ1qn&ti=g{3wC<&c47xj`5+B4q;t{`y;I1TwzoMIqPp9i5J_q1QnFc3CSg~F}B(XBXDCIGi~`X8}s(B|GY=9M=c;qfCQcB z79e0sctk)j)Rer+_Ejn5LU1aHe$0Vfi)W0x87oqU`Jtg=ucy4H3TuMLDDP=wTyQHD zN9d&;<`$|}j6+j_xgfan*`ESIR3YG$mvf|zaluwyKuvW(%P%f;lLX360s@!=8~hZ< zPK8{qeTD0FdQujvsixmN!?ACSaoT*`pKP7 zj1%c!dnZ3U>?AZ+G-z7eKD?UP_L|nV4^e7R;ae;oSPqRveUjVa{>b0CjNK@yB~%lS zr^cYGigHKt?S47-J6i$mc%H35vaql!!&V?>OvZ`tceXX+vou?y&9|{BnZu^5;f%bw zrkH%mH}2p1QYs!uajq}MZr1FfXEAnzjx>26tz265ik z!9xLupyV)I5c7=1DFt}l7}i5ja~OV-^OdGW@Icw<<0L6?XOjd6qV^IV_Rcook~dO; zs&b~W_o-}7aoE53g!?gkN~bbqGbcOv`KB_lpCvq8zq#J?ZRop8?ck}X9q40xuETk$ z9o%E>VA3t>o%T!Z;C8tA{=nz`^l$xevb&O{>uU$*F-y_@4bRO7DsqDYEz$j5^7plN z(55;bVDkI2MXntH`ejg8z*1|0-I8loA43l47>{0RGkW$2kKReUD^?N|Drzkw{Lt-% zC5s|?)J$TwlVR)RldgGg=#dv}N9k6cA``n%`ckwMn}*kra{)nLW-j z8|(&zxznn}gDlX+DBq(|zEQR2Vw7*kD8Kf*|M#!@3t^Ns9py$@x6rxZ#qvF}k+pX~ zN7A_<%@^wQ0P<{h#zkgdA!?3v7m_w;)Ij_QGmn}^-`f${NH$F%ZWO^4l7eDFW5viu zvcJJ5&FxVuLclH=Wb~!In~{BFBayTRT@20<$#`_)_A5p<5`yThkdz!5QTon~$VRgD zg>`)5y>#mlcb!e$oc2ohMJP*i`o zz@%IfEg<@X;5yi-HDB{=L*GoSTI)Q!>?9XTm{|EV#VYGj?gEvpo=R=}@YuTldjTdt zCBS5RY%j6)>o0%sHKomXI!R9wnb{~W%_Y{p8`6^x|K82d{J(+I(`u#XxUF`{jzu!U z3y=k@=NT_hC(VM2p2={5bPPZhQnxnr#Rd>L*j5>mIpC_5qJs;f;Rc7M;M^$8?5tp| zGfrZM9i$|>O$ZIzPTvmRJ?n*tx z%{wo{8{pEHfcw4!?(xn&#P}6UlUx6&39( z=+Uh88kP5UzOZ^`2xhIf+0EHp3e5~$TK}ty*4v;>U9G|jD2(p<6s@=0&5d*jgpi2# zh$K=&fU_*{y+$`cUeUqP3w#fFY=zpb*XU#F)+|P83D}8QFKd_eqfgYil_YwM>q^z* z!v>FQ`r@G-P8HJ$I`%K7obD+q};^qpD`^x@-82c>|t&-~!W48m{??SDhT z#wY*I|He0CpD2|u*MqPKH!&Ia69dK5b3QvhP&_^8!CgK&P&_^9WDln!z;|ak921@% z^ z0e>vt`3~T!lO!O#SW_eiL&_yf>T6=^AKn@s9azx8dFFd$J$*dSl@a%*qvw0H1#P^& zKEoFc)Jbuk8;mQJJje#=h5GVNd(kGavCFH0iRE5Ws4p58@E46A(~E{({6*u({Gvh9 zUo?K~UNlJii^h-l@}eD?piW$7#`-8YGGToNB&NbE8bH(~7@I)*65t?=^e*5a*^Zn) z$)&*l(gvZDJgb0~b4bZ&RL96G8y#+lXUjELk8{TnbfSV<o>jLdJSaCaF z4hB0VXA#_{v_N#N4nn|CRxEK5h72M}M#+t^j8#eMF*|1@zn11Ov6ZYl%NiH0K!&DA zM=;e(CT9`tLhO)|^F+AWOXl0NU97dS2)AdDTFVYSuJ%p`4s3uasgd3^$8H26B5{TW zI_}HaU(}D}OOH+Xw~I=2Klj>(K3ntHiod3N-9-^8PR~Lm*IFa!^q#okG8VCOfMiT! zI3OL{t8j%iN5H7U5@;m7+yIRTk(ho9pQ3ISCt{rTi?-R{*04myzGrKd+exQ1!#-iU z>(4#@&j0>r{_zv(E_7pZ;;d?G#eRp%%!*^XQq<-NGr$OO7(kfShJWG0JWrC|?ZR=U!rbOSBs9{3X&OAixE=V-wkh(nYi-1<$Y^w z0vas&HH@CyzXuzwksyPF5 zS*tnl+;jDW*7qFGe(0x1BrkUPe9zn-(rXmL6G!LMmZw_E!9T$$yT9}OKlNoXS6+>% zzt!&iAxo(gQFv>QQso`sB*IICdpyE`mp$_~cWjKjfiBbVhYZaDQg<9lwuRtOz+qb1}LXC07V-D{--;p90%;*Aiflmb)sucSlw;At5>v&tCapWc&a4&yNl<>(^PK(=p`@Q4B5d^!5fA|r)6oheXb%xL zGu5!b2;w0kmf)@*q9ZT@rdYDkQaa!ih4fJn!;EaVU0D-xoq%!Rf)zhT+@cnC zDw$Z@#KZSSoH!=yT3N^{)mMP4NaDgYN?#H|sSg zHkCRhb#g0HqM=UAHS3kf>bM&eNf9Urs=q^8cBuZqhM|q@75ONNLMIpBnq{;Ljvqddh5|UdGUk>V{O!hTNN9&^d!G@rm9YS+c^K`3yBivwjHc;yIaEfA?BmUgeF-&8(PLv-Y^Li@Q2p13 zPlK4|!hL@{1t_jnm^R7iMI43A8*$&;6B~-@X(@Al;0J%#f4+zkho0y4=#?e;rmCXI zS}#B;PfUiEMnp%&~Hc%hhr3`;ux+Eko4$IM<0h68#mkeEA7PGsc9!UCfKBpH#>Jg z1qB`?Yb+DFa>lAh766%0U|%vqtL^~v-t09~Vj1>zHVyHUQq~KSBHRzA{EGV{&1U)h z<-Kp`-vKrB^0@o)*5@<>)%*=yT{56A;5Gvp3Sp^#peOI$$c#<_Z?Z1!xq@?pri%k| zaX>yXunj-Rs5RQ# zS9Aebk!g~vJ^T*3N$Z^vuq4TRa}fTq#t2C`HR=Mm(If8ql(W}2%CzkI6U6ys8`By&coBvYi$m@bMr!7IC z)TjDNf-|O$EeU%r0Z@(tfW0t&lkINc+vgw+I@$P5Kq}B5I>!LPZ-TKj&}hJ@MFf(A zwBR=Z=l{_l&6z{M!8GWQ%RyQYhv0~VKqZ%T4vjbjjV=Sp(w^6dLr^m6&$0w*2TV{F z9l1Fih?ywYyaZ``z#BA7!qluP!9LphHGVXYX2s4Knp z$nxUq?x86y>gqNTz=7N1e8p}$=7i>Dyp}O~Q(`<(?9-MXQ|#~iH~+5(-yM}JH9%gu zGIN9hGeqCg1I0AN-VLN;cfoK~!C>2apxOD|?vQ^YDhz`QtsrGB>-C%-Oc76d3(_9%U;#P)_6|?h;vOe`7hTJTCHf9KB=XbZCvylep zNE+T_&hKHrr7O=RmxJq5o!>2ZsASE}(iD3fTok))qL{PNZfbz$&`_RT@5!oFRB3Zi z+Xq#y<2{M;T@cp58&3Mziu=01Va&oCjZZ3IMKs&Uh%~3{(}Qi#K%>Pm%=dNudGUQY z5w{@gZnZxL`ZT@h(5HwjHla`H8RH%0NYS&hSoXA^t3WSVtozGiO}j%%jD6z?cJv*j<|#nVpK ze_dCtH_=_Zi@PD~|LPC_ci;2-BL55b0N{A{E_4f708i^;2G3yFEA5vHTD6Frk9)(` z+gGsMG+!>b+Y$iFczFk}K@438GEL9~!SMk|4OVPq{UJ#2!UeZG(mTV*BBy~g08M8I z(z|d$@Wi6qQ3U4})Q2Fw3m1edpv=*jTx%@PA;>g=3m%li1D$Z_AXD!m1jV~>N#KHX z^bm4d!Vip;lg@?o8ktKT0A)cDQTl5w1DhmSBXddo9PO?fJ$k-7fH#nii8Lo$Llvhr z^X);ZWo_4}pFlj>XAm2!HrQ%!VY$1yTQ=`%+OxM{|8f`8aLq-y!}6Py+X7bt8+aU6 zt4N!)1H$TaaIjBI@$sZU9N>^MM=*Qu&gqpqIu9Hvi1f-M1%a!(ODPC|cy>2PLD)-h zu9GmeI5%zi-oUXq_XR)w_m)?Y!`0r`zKt7jKEmXPo?0?cD{MdV`fIl5R_w-O`@EA zMIaLemw>!AElv5=qMS{zb&x|#4k(*cIh$m(kguU1yCo&`v#Y@A4c0@BIRq$5rh`vb zkE+$K#~bSRO9_z%@lqGRs2Ur~&FU(SE3~aMvaMM&^K*CLZj%xzg!0U+|3!rh3Cc_e zjX?DTyIUV~fit4u@=nmPJ#kw?-+GKPQQ^!$inUg#y4K@+m|A*%&efjda~0kt=X!rR z*J-z?HyW3m>+R%Rf7>^I=imIo7-6Pa1doa=r|>L*4P3e11ac6? zX>ySQY`5?c8lE4iIoE*i!T2faA_Pm$wWS_6KA9{8bIvs~kDzd_mEe;mtr-TjLmkqt z8L#%ijmn7i@UPmEos1sgUTBoB$7zsdDS7C435$m=PDKJO^hOUMz`fEY^(OS(&OV%P zAq|AnU09|xuXinJp&+FKvOzdhO9DQ?wE`6Wd<$j|@wpaks>?oY`Cj|dWq-#%de>Jc zJe~Uft~o3cz<8?_vmfw;Km?$#uYH9yK#te}q5zo@1^482uSty@u(Rt1l@JJGylyX8 z@+rp>FDbY}V&+KVrQ}oQONN(bY${HA63gQ%d!JJP4F$3s#D7c-IFQ}w) zN(16p;98!puvUz0ys@;-aaAR9>L8`LU5Af+_`jjuULV{0%l z3_z%Q^}DmOGz0A(>x_pVO_evn$H0LcSNsN))?IfQP~t#oJ#&2384j*{JT#HaOsMrs z%hQvzJV{g2P4IN~2;Y@rpSJv%V*ec<{)Ue(BT=pv!WFyO3+7}wWDzbQ(6Fkx>?>33 zP4JlSNX_AbQHEdaK(|1>6)R>u-BBkqyMzE-BM$=oww=$789!FcE+GiJt-3yFzNlsR zsIIRZ{~Rk)vHOpS;yMO}R3{THBJ>QJWGk*C7c(e?=Vp?lK65FB7?8y;uCV zKl@{!`&qs6^hCD4^I#cXk^!HI?1K!?L{`pJFJzVsvi^LPJ}fOu-t zhx?Y+0`e@-hUjX5);l>ne1Rqe5!|e;3E0+v9;JHp6?bc}FN@%s07d$iAxQP;f*^&b zAjcYGFn1?N_2`0VKSoaj&v9fLIn|>JBDhuZF!a`0|8X3e>M;ZlI%@m$>~Lm6*@%Wy zR7W{h6!qv!Za~t43rWe-v%_sl9eOc(&Y9ALw*i?96e%b4Iczi?ohcnZI$B9J3xWZK z2A55e`SyU7+t$R1s<-Ttx2tlSk~>S?s_+}3=y<_k+#&{pU5a2Y);_w;Gb3Gg?b{2L z2|`+Q{`9SA07|mXNBs3{ z^~~GP9#SA>-LyX#*;ws5kehcke@44E(+`$z&NzRmLZwZ)Ji&V+{A%adY3)5ZVKr{t z9zSjQ-YBt7`@KK;k-z-xr?mOC8oTuwDS#Dm^(4_GMZ=Wz$X#_v;s9A`iKdMW$ciLR z$w=+NjwD)OKWC$CSutm%z>lv;agd8}>~#_3y|ML~KhhWl5elQdB3Lp~7cRj1;)2R8fH`-==-VuPzxWbOkSkoF7-z25ctDoRLDtj=?K3 zQtLf{|MlmjbUrd{`xUMrB{Az9O1k`Tsnhx;+HKG*r;-Z-`Kx5UJw#n0e#M#yHwXE! ze1Eo>YN~6lH-pPAAZ9=MVZLs2<4O8ok6!MOr0e7t2`N{V%t^y!BKXttnv+a~YW896 zSM~ZeJ$?FRpNtE=FQ3dZJa!Wi-FJG-@%}#D>n>nDdeoYzb1TvR1)yBuC{>B#g-A-2 z&uUeog}0Jij^}7yAlLzqPX~k*IA=7>QihDD{n0K^z&Q}8CoIX8R+hl* z(@_H4BimsnaKVt;h~?z+G^+t{ES}->t%kiicV#uEEk9;8{=uW4{k(UcPSiPD))K8q z;=g&`My-ZzMOzgV*oj1)vt^x_dLC?9Bl$O44L>vtCkxehR5!%Y^ESmf=0shvWdUiZ z5kLsav*ZlG&X%03}1*Ubs_V|w_m z0nSzHm$rlZYdbK7dg2!Krty;HyPYiG=YHM4_Lk2N_dJSeq}5U>jGiH;Sl!eG*= z}^E!3Ww!@j`2=VvV4AyXv)B$ zDY)RYp|Ya57rvx*1`_Y8we(ah||SU9)qylx4iG3e z^-KD&PgyFjC1#w281QQlLq`$|kF59@~F) zOoRo4b|1j!jKt0-!eS4Q*VYwlM#4wJf@>T7R$20-fu+e2Q^XIwRn=|n_SesMRGf43 z=zp&>j{ZIWj>*KoQQ~-@jvn~ZKqzR)lvI^ueM+h#eGX>PNNU0t1FdP^p$l|-(vYr| zhqb_x6jkFCNln=f+tp`*iFiT&DrJG0^-L!`%!1Zgj7YK`&muEz8pqd!RZFVss!HS zN?q{wJG_n#Ac}$`RZbc}q^2}}45M#9#{kAaIlrT=U{MmLQgou#kyfx&62^rDkGxbM zFq2}~3l=3|NFMD4%Qq*8R!7(lQb`zVf&vL~I>=iGbO(t2^X+MEwitk9Wq`Ow0-ErP z)XD(6`QGT&T=JxIAU6MTB=>1luk#U9c4XMG?l3*(R{7Fnmd$>g1K8SC_CmD!?%8^Y z{I@vG%|dfpAbQXPy94YE(lyCppi7(`262&Ne>gmcy#H;+-iFZiNAc+*TAgYc?d(tF z0eZ_wt-k&7*WUeeq16>J+LJk>MjWIo~BCo>#a>M4M9oT z8Q;JTQ{n{fhXyOFn}(p|>|Ah%4|3=gK6QtdoT>@h7O~X(5;3 z2eY8$R9z5rC6I!|lZ#X&(uE0V_|A7oPSp?Xx_ZA^%(bE&KSpz^CJAk6oS9oZ?%k4w zkYTrg|1Af{1ipb}Moyw(f|w~}H8qc2PE)kH-<<>aE#;zPZtdU)iB@+>=zjuzkVJ>7 z9#A*r`vX7_mf}SM3#uCY^xN|d+N|eDIP*LO>HwKlbU*4nqM z^`(&_Sq*6Y!fkhgfPqEW99I)dRKi>c)br<*qdYlzz{ZMbP#lZL>5cr?VJyjZW$ zB(-^M_D#M}#dMR#W8L$O&BNh+{JU64(<&h5B`6PqQXH?kG^@x)FAo^xT>a2k zF{{YE(rB^0crg&HDv}flbj3heuZ8efv8YJ(#8?>tbgJ20vq3OAC}rfk z18O=+ZkRS~ZQ^-up#J+`leW1{pjC-R21K{J1}6E( zn+yYO-tl7_TBj?W3p~)h?rwM;(q3(F^99~`ivWa?Hsz;h80ZD9aDQk8)37IOZ*Lqg z0LR+_IR0CI^SgfZi+*9(b>|L7%cHM|qL&g2!cVa`p1kZzEZYrvj#!HUr(GwQbNHBI zO-}@rcZ<1=rHK`3!2Hm=jZCw~ic8R+b}Q1sa6u5E5Ul{4xLsP`Z>(5LENB#ya~v6* zO9#VOJUTd+4u%UN&4gwV9D27zHm*CzQepvZ2&^zA7vKo(C3A^|jecU{5nc~w?53_e zrV}>I8IDimEhQFkbSYvJ@hV##;U~r=DkYW!Zi+ZUkTjOtBw6kcdP_9^M8~B%LP;{; zAmsP#=m?hkXG4;4?RskO2x3|=(exEPK?bDeihgs&?B~Q9c&8fLEx9RcXWYI`HnA<{anin-3zxQ#<3cCB`e^IGH zDW)XJWWLR@Bwyc=hcsdVpGa3l&I(H45ot8(lt@{O&FPY$xTk|akO1fbisVVNFGe?EMRfZ_ zro`<&eFpz8Fq|zj?qBh5_jO8=pKIOos@JU?N23G)rEbIFa*`7TSFfc;E@|wiA&qU% z^0{Vua-~mSzZ1*%CWerY|MqWx?2A7X(|hzhd-Zr!>lO9bre>laUFlHlmv`zBRF>E4 zsH`40^Cfb#Op^ivp{dtcF{?+k(i6!cnupkfqIrsXTtG>QAixy;IcD{^MHXB8(@<`# z3iqVm;d`lSWQaUS%3%bU7VmbFL%mEj7XWCkAawb0ju4mezuls;>EHR^F`4)`N*z}; z^I3*vArJ%i0-G{#E zPyFe(v_#i6Do8bp^xfjEtgm-gLAjllsI#I6vWM2@3qx^queS~mvkQh&&XR=Z&@8Cr z_lM3Z2z{hloj*XaKv$JRXBC855v2ab^A6-&)hybU(+<6oA!j)B8f1WF#Yrki-e1)m z50UUFn>K{OzdfyrTv7PnP!93QSA*B%V(p)t8}H$j7lrcqTy0-$yZElL?${?v4OJ4} z6!U!ymuIFCWl-7&IJ;^{%FW3>>d4KN``x+uJ%g;-0Pajhn;N& zej?ThXpmkqJ-;&;B@Q)0wzO4Q?P-7s6{TpKGc>o8v^8}7+uxJ2%Gsa!sabLMp&(XjQCIX7+sA$M*PPcK1T2H=f-^Kc#g>83)_-x#f3eE zYA+er@Q%%*p$S2Kxy=9 zg|D!mt@h8NFLSkjH|b`O!$kGKH&^%;e%TIzA-^4N;yl_?D+c{-vjiXSd-URq!eZPg znBVup2cQ3Xa5nS*)0}`N=#5^dFWV{`oqD@r8o=Qv*h0V^sPh0g9*OQO*P(R;r|qLCEQ@S!_Fb<%vv1KZDKNl6Np z3n|f5`#B&L)pRH{s@8zxcT2t2B@c6{Ka1tC{`(}E?~dw7ULqL~mv7^l4psHa&mN2> z&h^#POgrr=XvD3$&`zI6fcgnWJN>c0_@jUPn@%+$aMYGyMld1hi;gK!2f=t#E$6{@cY-C(?+0K#be6)Q@%$yN+r(2=$V@%$V;x&^5i zl^VvoAqcELh6*(f4e~4z9BFHyLUlo)P-$<7GF=b)A2bK$C%NBayn#p#`x-={`kFgu zFGUg;C7gPe6=92!#8Tq{zISlV1pwn~27M{$N!3EwS044cWYCu)PCk=XL{ky-oChgM zk)`Eq?XL&3y4%FAFcp4k61KhCb#)BZuAVtWGNLI+668+`QU+8XKx^Phi>OM#I&}wp z-*TKiyoCJEC8`rRMc$tol(fIVQ6j2h8ni@p!qT7juTdR3kAY)N`=h4~$&+;ce7p1h z^0v40@0n91hHJ4Cu2vi7^Kl;aQv$c~pp729D~X5_CXK0~ulpUp=Fk4M)E}k@hXFQG zb6(_M@orED!(4|=+;Tz)6-o&59@-Yk2MJ_o7Dt&pi)x7HH*t-MXF{O zY~>{s*Uin26?ubQ5WUWdyW1_-9brM92+&9`fb>~mEPQups%Bpi{=K5v0BalICq*^8 zB|Z=FY+YfLH!b?q3{5dLdMT_Y^$GQRP7AQ)u0xxPGuv`aH|`I!hxUXH z8{prjs>o@}j}_Mcvmg93fBC~fHm6DZzOeqL;-|HaGX%v;@Bno6h+J6Lo_}a5AeiaG zmBNLj0}M?BSQ4@5H1ZsQSp_v6V3NnVWK+$CWtHo=WKSwS)k?#@E7fBLC`Ls@x0Ru; z=|fk8T`9{noz}~2<0Ywt=_=!GSH774?G_yzlyYM-@o$t!)in1L{V)7QRM<y32IbOIbvr#(Kk>HT`i*}yQc7yw z?>=q;dpeT+(tNeIjEOtj3N^8m{ivN?(w)~G-_hP z&wtSIs40h)o1;-vjw|mZYEq-0QvYI<^ABRQzvMYtd`y$~VmdU?UYT?Kh6!$o-~F zc_&@)=)b z{W({KT|%Gx>w}zji+VF~34LxS^!XJZ{K;SWO5`KeCJ#cN9XkdYsIV~7`Qh2Q-hseb z%I={2vlb0%f7CdLmxBuIP{z|G^=0N807W zU(iqS=M1mpB%>=vOAX`AYuTOUbJOU5yRT)_zw^IiGJ0CzFQt%e25Tv%f zpv1AhB5-6_kpNv{66!fh9BYD@L!mTMNERN&2E#a`sh_bnTHN|WOB~x)6smR#E(|Xb zzgpv1lQc*)>x)xo&2oEm=qR%X=nw1HtoLWBnbbXwuE3YHX1+m2C&8lwHPWw{?@&krn>YAH zl4`KuzCU1|xnwzjogAEJxkvk@Hm~hh{hBA88TAjMxY~S%t0=5C&qF@w9yvuC`(&6& z5+1|lUGKS^%iYlY9sAHXYs24>Z?1Nk1uBl;`8z|%-C1JOZqX$(aW@Q2Z~gh#_rEuavU)SFcaGNJZSL$^agH#qL~5;L9ah=;?$B5z zeL<(D&K&Kq%C`4thgCMcM?0*t<=q|Yu*!xP&1BbiSQY2!c#BoihtQM9TCB2jv^&~j zmG92+7OQ-FR7=w@Jioh_KOBU6m>;UAaW5rSPl@!Yk_*iL?evk(ae0oy{K1W# z-gtCd1CzE3mw`+u})jQw{I-K{>v}^Q(yCGpWe7xv2j9l>Ov}WEZ7mTj1k!j7;%`S*g%zCiU z-88KSYre3KfH+6$W?wOSuvX~n>VCE8<|f&Cu#f@hug`c77HF?5{~ia|3Y+zH0eNIS zSUb>I^+%^}_M=A+7O49)AWUmgH@jr?VBsJd5W3{VFz=yr@;!do2zf~@qAK%Y3;7zq z2o-mV;~qY4VIMwTr3CZCD-Zp!G=$1dE&O}4-MM4E8I`6Oj-|Z!f#!(qlf`Ba5PM*xCVPerF(=p7U87kq6t& zd&saV)}#|+n=>#Ekv)cUKhQ+jKSAk9pB)xUvOnTH4|7ZascTAin6J4-R!BPocSuxp zYiiJ@Cj&N~1xR?{2W&`U(M!?`X=@@f(+);>Z1t(cT-x-c&$cU0WMZ!)l2n7ErzaiE zIc%Hc(UHsNXt+8oIGB$D1>?`uwb z&%l@C36=Jq;os~%16xk}$nd2@>xacD$eW z>^;3(1g@kJN3<97*~^<4O6 z+Rs@pXY0cIw`NF@mRql9>zvU6=ggW79>}j!VLbC31o|CtL)!7z+u1sE^Q>31b>ZfL zK;2*TW9+}5tuyi8dNW&>-@opDzig-D<9ze|U+-q?lF?dIBjz708ZwR#>XY`w?%8M+`aC8Qg~ieO+6ZRuZOMOq0L1ce|c3qx={Cyzu~k?PO| zF`iDVT0p!SwPhTd-l7X0R#I|g`3umNZbd50CP=1At*AMxW~@j}=z_+_2-COPz`d;J zn6NYp45AU@b4bc~;ZBlz%-1}C;zN8zG>VhJr~K~JMnLoep&u=_)pwb4oxjJtFg5OIuMqQLIB4?%yzwK=0a+0!3)>ZfG&3%U zA!-J}nS)`Z6Qmd5f}pua%7WPg5F*3I5eB23FgP^502f4+NtsBH%tL0P3QFC|8))Wp z&DtO@!MsU1M|uIiB8JTo0|TQun0|876xIyHC_kPW#apBrcA{Zjc$+b*-Up{P3}1<@ z%(k?h@R(6;(#O($58&@y$n#SU;6!J?Ce2$&Et&BMZLv9-JpawcrT-m2_JQwuDI$~c zS~{W(razIz*bMQ5^nI;fgjD95lXoD9z5vr829~jDM~)B!ag(H-0W=&Ce2Pvo!QB?~ z6&acAwy5l=s%a~VPBOtLy2F$21w|*B;1(3@l1bjL@pHNrMJM^8HH}UD;F8Jdf(m40 z;=g(inovYf0yMNHZ2Jm&Qyx8+1PD?g1OW0adhB8W8n$5r2k^dX7TedHq!3m6L~EUH zFQV>&LC@4f`tPSY>s0D&*3c@FS?M9oI?0X4lr~f-`~hqDkqDl z`5s^saJutj0ee=%%fG||7Yy56)3t)fJH`Ey(un#IdbDLM%fmEGLUISA4A+l&-!@2WFpUrqGjaQdw8%L@unlV- zX~T<@6JGR2h`7W+La}Lfu#ypkU?W6aZUrMgoyLa zplL2Lf|(F;aj@QkSTQn!xJ*uni1EG*mCB*T?)rrcYLA6XIINP95ya1F)E=0DtVTZ$ zo-%^@=pi|@T5ulBm^EkDI$UF4bcX4VCkVJ^LM!u$DSVezxaB;bq zqMBV2X#`d{A&F+U!FlEz1k=EBB+9S=mG(Id0pt0a+vSd(ngcHm6rj!xer$2n#pZdb6 z-l(td$aW7jA`ly4rq2=EQHIAHu|?Q4!XqCTjvO|P@W|(g?I_D)j@XWnEKX7~@hXlM zW%x#l2tW9L@xrFPjm?WPss@~n@EWQ@U(Ek@iw+J-xiOjeH%cV!F$`u7v)r``JGCg0 zg_yYH*~91*Ze&C@B@z&$ROQR7*H1zc=HaBP2B8z5l=SNMG>Qnt3MN#HO+G%Ki?lz^ zH)BE>x)s%NA`+_zN4+?abCfXce9d9+;E7lJ#+qZ=@?)CgBOiU&-~0ZPxgIc=%lx+O zdbd_D!H#HkUso}qIZ%t~vmRM;#ka7?$gL=_hu^-1!=+Q|K>DcMsnL`sl>8_Rf2Q zuYSMW@0~M9_ory|6S*DmB$V;;bOsB`{lu&yQTRzg^R-PCZn$=v8#rV3I-ILgFS(&h zZs^fVF9`U*p}}bx1+MRJ{WEWZ+|UpI)VKY@&x+iTzArtn+w2VR+JI5OA{CTz7!Rb# zmn*U=L2t}gZ09V3VcO9yv)rCJa^N#UiUjfJ z3O2424ATxlZE&L?{KHN#Ogm86+OY@05tMqEc66MeO%NuNf(zz`$~lT}sFxK>0#*i( z29khBz@w>>QO2PpSrjR`-V82KD&z19t&J&Z%hSkf-jcy)?4ZW`6wE?Qtp_dsS9kSR z)7k*1HhzqCXv}K+ivD*@MvqpJ*Z#tKDaW^wGr5#-&NK6pLQH0w?`ksBk`cOTmR?HWJ5xXiilC0DsY2B2x&@Yh2<;);UGOdNXz zG=t)Gs7KatF^QEKapY46u~eu>99pMqqoo;Yue$Z`d`GB9#K>#J66*iYt#?1pG1MdT zY8%o9z$;ts27;j;87dvLOJuu(ZtgBmQjd(!cRF$ql5EaNu?J$LP>);_C387T*rubA z&lV@AzvloB+p^{)^{Dz}h(lA&m1bC|;2S_ghSsDWMN-Js;Ybv7QIEH%9zms&UUGC| z#44BA`o*^XRolFJCjOoN^XLwf^sX)$R2vXQ-HPB*KS8Sz+=M%)Dvx-f?bBi`CpLQoRiO1SYXW(6}bh$P;8IhYYIMKBWt zZ3(Kx5Ja5C36|woOOo3J51`$VdM#oh5Xe?RG2(ub01_C52d<==dq2sO23L*h7>!vm zx4IEsp&k$LZ&)|^q@vEwG34eL4@>fbBa6z)Q)J>~D1F@2UZ$!%4O3O@Q9YrlZybqC zTfR30tloa^Rdxd7yZ;lQa#1k~H2?Z%2V$w>iU5J4y5*)BFx{y{|@S(6$K)JSAWZFf2n*)JAsO=N8YIO1-_5{a<%f?os4&2osMt&xb= zOTcNMb|d1Yl&FCg2O!95N}x3;M?a|;sXj!NHSVy5ZQI|>W~72o7Kw!NyxLd?psG@< zu-Jz74ThSFPq(ceFOkS45_#eISHvS^dFHj3uO7V@3Hr-4UKv+B6+U!{M6TZV5G^zh z6F2w$HO+kT+kf(lzxq=fxu`YmcTePBayb^oZ~fMNIC361B?))5qH7;oK%YV z6eKR7x}4gDS{Q)172%3xX1P5&e3Oz+q?p#s_Xi#Xr%jMy3DijaFQS*_zn!%pzwTY{ z?-My2;fNdoa;O9103C50oiS*&L8}hxr>Rwk zPgMe_VFxC_4|uxPbIAm^$CuWkv_F!Q z1z>k#42Iz6;^!#6K_KL0NXGefB73^ejm|D`j{AdiOuI#WgnLPK-cG9XSN(He_1xot zbLh<&I2er$<3y{^(GH$$!NPAC>EOu*Ec}L%4xVDa8p*{-2TyS@8p*{-2Tye{ppMkR z7f!SaEq2F*y^~S`*%@QQ< z%xT8konLOiG%U8;v+z;{%e#H7dGbc87cdD6*^vD0gQ2W?Q^>|)iuD|`>IGDUtpV^~ z_l`b~UtLtMOum7dBq;-9rhU3RCAN#`YKNx8?${-^1JSDzFyY|VMGyxssyCu5%#9dC zmig{PbTx>>PAXJYmSZ)pP_O65R=TS78QI2@C1-V!yNszW5c%_By1RkMPt$leu6Rvb zeoUeMlfUin{``M=I$1Vn=7!0#zK>YT_I7+aUQ8Q7aJ3(BoK=MiS~a?AnE3A8klF-p z3^TssZij!C717zF^&I;Og4vgi!6OIE6X|qSR;as!417f*2%U?)($5NYw?Zqn`m&(X z?pDkS70hm)23ii&RmGQGa43qT)toOUeoaxRV4OzBwn*-~)Dk?@k}R9ANs7s|+Qs&nIYqnoAn%Ic0A85 zTFJW0S=!b?Wv4Ys;2}7dCfV0U4h_TklbyfJ?|{*7lfggziT;{UxHxvop&`fWC@17(;r zfX;g%VAfEE$Xuf9;F5ZK6QT@Fot+4cdQ*Ezz1>dg?T7yG-}wEX5fksV(CVo-E4#7^ zrbM*pXddA8X%Jly5y?C}iwl@N4L<_@R%sUDI80LD*OHFu}g= zmK*TH&}zntR8~!JwO*;<0{&blSO7Xl+Z0Nxz|lU10?;|$sF3f@@kWJwdyY3MFh2L+ zexy+WZWaAWm$YGo*MO7q9ooa05?5^t{zZ+F!9s*K?L<0f+O#X+yXp4-tTY2bKo%5Y3^&8mEcz4WwF@cHhSS87|wk^psFP&49(<{r$#jAd)(Me^cB=2U3&3^jiV@Y}92dwQNV=NP(oQhq zZcv>Z*yh5#HrT&Vcql(6;%>5ytl5D`UJ|6jJHZrpLlOkEi2le+f>eDk8I3U{*W0im zh)MPJfVm~b-B@$KK(Uu=j`64DyQ54KSQDjMmI=}inrx}n_T7BoByIE!Ot(g`=jPnA zLojk+Igc@84wKB}dP}m0F-M8yLQIB-G9hvKS|^(>P5NQQ@22W%is@XlKGh^mTfR3* zgt2_n*L~#+52IPy7J?7X!k%v7?*;@<)RL&Ib**fb@dRjkO+W$mcBsiBZBg(Q(WtCk z*l^khNykv9s9ZR4Gz2-3ujCR#ozf2zt|d16?Tvoi4%VkJQFN8m7xefbCmZUN&j{cv z(r365E~<3sliH`fyzPT3ghU_`FZ1|-TYb-S;m`hM2$1XalWa~=B~xT#ZAu?6>|KWZ zfkhxRjiTVwJP_L8q-j`>Pn)!+iCalhgXaej_R!z0ra5=C12xU&Ti^T5pBMNJZH@MV zi8V^YC0K6-wy{|P2rRF=ePw9@7ev+?-W62R7~29hybEG>0P+hI#9Q32NKNB{mXUz1 zz>BYLRvcR5KI2B%%*i+hR}d%%%bkaygoG}Lg$UkN@V2AN{! zP=Ai{&@@^Gl2yPV!qib4OAsrH0-_O&XjSIEVpO1P^MbY-dT^fOP*A+s#3?Gb*^kx zV|K-bto2N#IsgI{hf(FJV&mwFyc|EP?44jL+|9GUDQ81M>)ii?V$X4cZ0%@@#0}h@ z`<*Q$gZkq5XE!{-ugwhRcQI02K@^*30I^7~HL5l;a6BLuWpjed#@c|tD(C9yOBvz* z$_S>2PTZp2tG)m%ZUwTo1Vtyf7!$z45$UmFBzj;y=U{=AA2Y&hpCglP!_9-;u@8zO(Ssk; z;xQlt`pc6NJ-9wCAe$fP{iI+AaD+(oE&tVHEi-lID1@^J@+SU`USl)y?Ar$^|fiY z=;C%wzeVQ^dVc@k`tVDCFetD|VISxX-9qhZdsteL>wuX(R4n$DrDn{P-Ck%`L^p+&>VfEn-<9d zIrQGTWg}M2b=x+nf)EdFu?)c+d!yl(1Z(IjLDPu#jD}#&jv$QIVG+Qt3@X7n^4|IE zyWL`AEG@BO$&R!>&>ffp`eT>u2)r0=)kSDBoaRR}ZHGXIGICNH%`dw|(?m1<5QaKg z&WD&)Bq&iCL-xd~1*`N)PsIhiR7L1R`-W03-+|#O@m; zA*%_(A;ux+m1d|AC<_oukJgc#p0D(5&vq+h{B+F&T9dmBRC>5)8#GG6^M*}5prx#r zOi%ah0A!o^=;-ya-sL!Y&MVD~FA{5xy!|<^G&{hhgq}dN{2Y`W-O+Pi30Hox7tk71 z%YYwRW()fD+<^K6xNVO6+jm!K+Iw(S-veyg(|^_81N>V;UdSVeFP%|7-UG-z;d_7; z@_WF|^d8`#^`Pe9;Q$oAYJNUlrgN1nTj* z9mkghQ*5rbGdz>&bntTuBjSoLaJn38obMs;u$iq(z6}EX4*B@&sg>i*o07p0awDBW~trzTh{0|Azvhr*5|co;~J|JPX+` zy6gZgViQD~0m%U(qsfz0(+GSZT(D(DFfxR_xh3C_6Efs(5->5}1*2w?q!F<_IU$3Q zB24k%nc5S6Tvsd!8MtFAi1GCOIp&1S7K9{XI>4mMXCs~CNz*}3LJdLfOKm=gIw~V9 zcj1jB_vdLHInpcxcsDNaykgI80iLHSN)RF`SLc1buE2_lxK8;15@N4jXo)g#HuTS8 z_WoC24XFvsQ>RQ^z7N=`g1+Qie)IqNw&=M~;t7ZD84U%R{SnbH;zQI4KpC9}`^s~| zN~;7+m=t?y>se~Af}(;I6jv`H#^<)6nAQbb^u-c0s}hd1&-U?EA~3IboOd8Mq+5GbcMPqy(FO$zgoi zy+Q)171QwLk{4-mI2Qv^lPVxlk~kLk+1i?5ieevE{g9d#oXj9cg0-^u)~$qwo7*wA7JxFlM?MU z2~By+`c&VT6U&e3(=Yh&`#<@gJ`IKT33W?Mu9lbEk+IrzVO!|V@CkK5<*Et_LGkeo zzl*|=Dgg|z#_$EIix3oN-UMTm9Z2+gL2>ha#npm&L)>cMwYA0;D^j2OImXO8?4a5& z1gTG5FwMN158&F=r!I(*SIC;-(3rAhjH$7r=+h>t&43(wcR>BF{%p~wE{R$uvU^x_ zvjYgcmy~eJuMlwBa`X+1{6LcW)Yoj>p~%}ycFd_d?u!C&bOk(M_*)4~3rKiC(bJZK_r2WJh27 zQ`O{dn&(X8cELX14)%HbW&h0geD4K>L+BC1%$)PNfN*}xi>zj-aksyZy_8WSs?J7d z^UcrQ*B>tvZgCXcE3aJu@eLZ7NM$1cKzCD0MN7Pp!ihyUuZg@e1uF}IlDn4e2sj4a zP%;iF9Z>3J>437G_Fr-N-kmTJ#&Ht}fk7_t(ud?H2Xn>cyhpjz#~>f9(JG zrQa4QRCTXBg=)?gqv(l4>F0BzOgNMtjlf-?nq$hy4U9~$9}oFQrq_>${7RmVnu|xN zN*CLH%&{@|IpjY&y*`KhN2k}9kpKAjdZz0AERT$@2bLs<{E-|upbeXO5OE{$D04AK2qfbr_sLK$&I?3P<{R#Opdkmeoph<&s z%U-(Iy`*Q|ofvU1cGcP{A$_v;y|+MfoS_X&NET>=fMl|HmPf3aXSVKSXal^5v&{Pd z%K>+z_(OtS$j6sgJB|`6Zn zVh&nyCM+-Iu4&8n_KxMQ|Ku0Xe$}5((U;_TT~~YU#ejZN?i!3u$_14?jdw6MiORrN zjB*zkwEE(dxNKIUiK%)c(|E_rUFZW<^qA#xwEm;mjh7?7kZN+0K1(4?3aJ}>;LgT3Zt&xfg5%66##%{YXM*q=pi88WvP(ns@_pnsqEoz z=IgmYQ^v@masXxo6|86a&_p{cgVFgpVyK38A!25vK{-M&6=yKeOeUML?ulR1{g@np zVW=U{BLLn4000VJJjMwcYa{#tmv5k{8S0ECV z)F2LC1271n0R%S(^KA!f=DTxP?Ue`>PTGKYOLJu&R%yhIqp#6lQqFUd`EOB@n)8LV zT^QJ0qn&GKTIknnqbj({zJPfL&SGGL9-Bk(c;h=wo1ojpZtbkIw=pIY|3=Bwcrg-G zT^Oj&3(5rKl7cF}x{3Zz;QIF#R9*al`||@#yXaVxZe~ButY$2DR+hBw?FjmJjA1JT|Ao{e#Ew7{V|o$#cB~UEkW( z!nZiVkd%D}e(p}C+cdwy^9B81%*ry>GYmfH{qcljyUi^mp^Bbn!qD%hZZU`4% z_}U-&mamQcfmYLpZFtgVF-~_RMFH)Mi}B>3I~uV0NKv2(3NI2HH_$#;THN0G4k-$_ z;F|q>%*=y(S)tfg@wfbeZ6Uv~BAGu{L^O}IKx&$^qJXcs+^eNSxQ!XyRZxloE{Fy} z0Yii0iQVgEMJWoHAeJ1==7E2~!bNNRp)YnwqV zfw`EsDJJl?WWt_yrnGNAfhk>b`c|Nb6h@WnntTLPnNV?6X$L5eQWumMweEn@dDI1a z*p-kn=L7OF$@@#%sqO#7uq&J|9{ASsj6&{Nby-a>wbPNpPzu4NYMWqF=>-OCDt)`1 zGCN9;&-Q#@%xsGNo-*X~v|DsBvv*@=Kf3*i51k(GwOG(P5F*MnGp&OjdcDT%3>r_KL?@WSbJ&XA&PI#v zw&YYQzb5e-Q4+1Sa+X`+hpv(lo-dFSSdjS%M_=za1E@;oyVKB5n1BZIoh*6M$g8~$ zqCy%+F_rp7mw;FE@4QF1+3CdN>LClyOJ#XEhvP+0b?U6nOZ6R4sE>cAsp*Py&H7X* z)3oJ#lSC-fNB;are*dchJ5|(+XFDAObFAkUC!#uSKW2w6PZeaMt^$uFYOzD_=Wt|2aDA{@I&qE?wU{8H z8Z^SwHeduXlw>)yMlB|Z(k&2Pkc7)Cg;bE#=vTNtrE?!v1Q0JOeUQ{u*pb0b{rBt_ zs5Q&c0l4dbPk}O#l@=hV{7=S9%RV>!$S zf#Q?t+{dja)&9ZjqOO4BG_R1?oD!79F)ZNnK+FEZ*0nyBOU?6XsCn9}a#z}S+VW%C z_q(^h=c7LswI*Gt*S;H!V1?2kkQAtsPQ6IT=?V)hfpDxKh}XNmwVn1wQ@SWDFie61 zyDF@#eKD+&*bA(<5JKys*)ot6J}k*gr~*MY(5;xYug$83;BIcCH0<(017__DoyzGP z6kHwUP1()YN+d`omZREtw}oG<3VmgShjHwpeRqcy6giCv$Q~6LlO*ZP+9t+N?ulc8 zB>O&cameP7U99JRpkBoHasDSJmq<58ET@;JwJTr~yp-o7YwTe^*UDTfWcODgn|6zOOMOAu z-VVa{?|~;EKcU#Z18p zN*2k!f*`G_3xarvj+Tr>9WVybm^MMMd`tcezK$_-$BOiJnjlhPh@-e75HsC5(v-U3 z0RvMc+}psYs>zQPMfo`%6`4KxF=K)fYxEHr^($nHX zKlmi;oUE?lTR|`0%;J?2x``0~(f_-1TO4s(eDZ_)-}q+i6Q$BH5RdEpFA8dC%ZGE^ z43TErk|7c-aWZ5BFbgVj#d3-(R(HTt(k!QTBBldM<6b@>AC|m-wVZ=SMYQIf)u@Q` z&7{X{R6K{rK=Kjk=g{qK1vQLOO)t1q&``Z|rhx~zmNyy|V$brqc6n;wi*ic$(e>O&Uu z>;A`3ANrxwp z97%ayRN^hFL{WMeHQSiXCuk+X5!eHJS4wZ%@?%Qxy+8L2U-hkT`J_+6(Cp~)>7BsD za{(RI`Ax}2VAKoRrYIUO zb1RDz*c!K1aNfEVvl9sFaZRRgm#YC6M|J|Y7)vAh6tp=TQFL{O7xvKW+jE~2h@sU($BgDdV8&4NK1SwV#X=UFw95>wW(#|JePHf9HR@ue(i3rT#1TQT;ER1wpCX z1)m`>;qXJGR~N|fc8|Gs9_dp*!vI21ol+86Wu$h?3nA`mTXW$UiRBtJHJxO7(r1QL zU>oJ$s$1#7NRRr=0wbO$hc?wUm1N7#bBkw4A0Z4t>{)I#<71L|U9~|HkEacOj-yL5 z$bXR)74iB=#=L5c?5xh<0t7$Ge+8#-}9B^q;U*H z=roKla>RLp$48HjG2OjnQbriivqIsKN8iqY0m;8;+KYyPHJQH~oB2hp`i^h*SRZ3kFyj`A}?OrrU<`<2d=|#hoobsY^`>tQKW0Q5d^d<)zL)9HgPj-`S zn9pS413d41;-7i$#3baE%sP&!kdtjnJ{JNdDwORA!!RyomD%Mm2G&AWQrPuYeECyUD%-JtHz=qdl%s=h(&fP9nzCF!*@lyG3g+X~WE;$n$x$sQ+Z33q z45LZsFWYhc>pkbs#P7!-9E_jIC*1vh0IEP$zwCeqqDA#{a`E0#fZ4=*a7(XrWaBVPIXEECVlVzK4eQuLX{WA}+)r2uuhnp_UO&+&} z?+-R|Tl_%9Q*Fa?uAJRArd7~iZDy(oe!QpZ{PcT%`3FAfB%B|{5%o91`QZ_Zbux6Q z1?RSfo3m7N3qT!>6ZAm{O6|`O@LNRC@KaIU*aG;`3Gyv&DM5Nu zRi~|3O+qyYcWw?W5C%|GupfAd8V2MtRj24ODGVoFD%+vFG<<2QO=6T#G1vsrT4*cr z>-)KGch`-qfa+n>UOtNxBHea|J|QE`?M1&8=HE{ z1k133m6HNUUF?t)fFMZh`lzPl1lDsRfFQV94kmr%l+95Jot&}(Ka9@a#UIq=a`2;_ zZ5B^4g>L*Xs2KL;IPu*yL?aAHvqebIp=qwJnBrK#ZZHK;;;uEC&Wqr5n~8*iXO(N% zg>**&w6}=Ma|ir8k}x>(buz|nVriIK{F779#Kh9P%M*hs-GKUECNRX!wcr?#Tqeup zF&gHUBDFY20>i>+fTaNFrMnX0ekKr_*_v>^;IFAql_b+c9BBy*C?gePT{At!aG&vL zXlU*by0m6`jAs}Jt&E5uIy%%x1iw8!$Fl>VW>Rm#(hLy^p3%#~tCYk2?HUO{4flyt z%aYR{JA~8TM^PU;gyH$I!{%wfEkAN2Ph~tqSgmIs;6FKjckHp_wA>+*t9$OdO`Zet zW=F#LzUHc4MvCqv4V63^#a~4@2e#PzWpjN}c$O4}x2a|b`UMb;%#u@%x!xDZl?jIs@qk z=%utj(ksOUhCm7DWjkD8iQi*WA1@E9AL!NcO$^FSS`pzqF191TZvofCDIPXcZGN8$Uq+1&CdiIX+@r>L=Lg)%U3VI_VU%E z_sZ{)pLWIbYLacCA}BvZe!%3rLjSm#)4>&sgv_{HJK?cQ%UyWZc2Bqg&ZWUIuG^Kr zqwUIH_J+SJ#CQCE-~Q-ZKlK#e4cIJZhSVMIJ;>y8z|}kgV@nlEOgJ2WK!hpoMC3*ILw~yIpZ*+U_^-Ago+yC1rjg87%)LJC`hoBl4w=D zfkKJqVgMz0f8vo622*01~Px8MC;&OV2Cs?L0G?_T}ue&6n1muIc@tm-$T zUqBs`-2(ZVPB8n;d$=o7Hjk3C0k3`bn<0k&FiAEdiP)`}9YWNWOq9@-R3~cDudAV( z#LtUT*crMZ|P<82C^6C$(&Q61(Q7xv?x9xIN-5Q=iaEt6QeJwTtiE;=* zLDfxgHgkSzH(i>hixmY`_Z1f_5&^SA2(Ws0YC+Xa5J_IGfj}$Kpy`q-(Z4kUMZ}y~ z(Pq`dXO2@-CA#2lzhwd$2|`4H8q2=tV%80fgNz!1sB>Hhdtl=P*R#0Tv+X z$9(K$gnECZxsP61e%d+H%T82vF83f@U`zyRoE{0yp-$uyU=({mKVhq|(w<8gDgVr& z4&Ln7tj(bgSbLPd9$iR7N_@n}4)U@R&(afU z5bndQ8tOFqdQkTd!lzW~0m$2OBOsAr@m|H0OCajWk=+7*S1*}wPx~>^ScMj>Zq0Ik zT6$!=e!BWkN2onPlB?2MO`1h%Ghk}9{^lz*pW_s*e(@RNs0Lbl1-^J0>ZLp_U_Ie8 zTnKZY1;Si=$*)oK8Eq>Dsi1ii_HN$tG#Gh##$eC?slWcoA2>-mffSD0nUP@09gQ3E_k1?Jq2Ae&-EGU04$7Cu7+c(M|U~Q_0;>+Y1EK&Xpc^^s)hM^@= z#ETQ#M3h*u#$cPJ%{hZ&Ra=%MOAOX1f3^;FCCL(lHOiko8l^<9CdnLwfk=Xcs)!Xq zC^R^Gxji6pm15)LZbOdN8rM-*+bNjXSmMz@h6WYPebg;^N|)R@u{?t0MP2h`1W4@} zYSN=WRj>iJ>Gnw0QI%^B0qtLg`9a;`^!O2vMYKannHWlZIAJA~ zR_lZn|JHkiR0xr&i-jJm2&w~OOQL~XIVg^s;SVXnqOZAKZV9KO030ez%b&co_N2L1 z(>Dyl)XEtxM2f?jdFKcJFD#*a(ly8MT3yvmwr$;Y$B%2e{_iCxcDp&Tr|x;ShxLS3 zzo4|QCQ7SH>BKF%7~c7}=$z4J|I_ZPe#`&b6OOpy)s}u#hhf&Ib|4)kw1s7{T^9Mf zAmT+5FEX51?tnrTK^opBh{kq-bB`cPRJ#%@((tw<^vnmtWoPZ#QL*e-l)M*c2n*;g1z^t7vm4nZ-z zF1g*pby0J=-1px`3~!TUm@e*te6RdVKDFUZ7BtuBo(p}N4bIKBcWZXlm{)r939R$N zHgaA-KS{v!)RxyC5q@BH_F*(ZKxV4Vt@dcBE3sCbj1=_K6* zf{wnNK4(5skIQaQcTbJyD>lM(lwDAplw?ZDduU+59+%}nu;!(_`VWAk>L)uH^i$1C zEl6HOY6Xle?oKUeh_$XjduzQ3rbQq6BvQ^xf!xVjS1^BKknbsZsm)IH7}OcGY5m$I zFLhX<$&?xooO@k63_2KcUJ5ot3jhH&(s-1gQlDn~LZ}8(o}%hwdD)r>bk{`vx7!KYH5amz;*wyk?TwcNYpV#R6X}hS(d{U%W$Q)EGSNC& z%cjOFYOPz5evusLZ~g0`8uxGhg0KCU=S~rJSZNTT2QY)y&{h+y4a`8^5T3z!hhj!O zqR93nVF!bL!6^1dEFEA*MmNWgR@jZ z|FzB5i4_a#0XkGTC5-$xgqRcVFw=-uqQo&q(qy%6l{x|ELaeVA5FcM@30C{3$EZVX zA74pk&=ax#w=|P0E5RWgh}XuO&3;v zkIcYXsXj}3rExJd-ziGanV4x>y9s({)+xER;Ly<`sY5C^)Qt;W$IDmme&5UQ`oOzh z4u*{OBgU^y*L2QZ8JBAdj@=dYdK2fyg|6cbZ+PoZe(}{$Mp>d-a)$pD?fWm2z2-I?0EDm8UbD{zK%T-ts`xV58>H=Gbp$>3C5hduN0j*6;9RW~&e{Se z97rZ*2>=Ymj^^ACvx+&B3u+YhMbNunTDOB==SeS%4vM-vU9VZ}n8@epk#~lR>GIxZ zW?N@eMh?8JYrXr2m(lWfFk0Td0`w-NR~ks7G*U!*uWS}WU%`)TPF$~qRVkgvSv2>I z-B+rpxC%d2I}=O`ow!A`->=3kN`8ZWgi3ENN_m)uUI!0&*4x9uI9tK0 z3LbXfZR|UgMM~Wx7+?k^E=gZZ_q%5o07)mU0s;q^O^|j&sPnjOE3$cP<*vAd7DzTh zO-*u|Bq+Ih!=B59(B;U9*kceIK#}4C>^90c5A?+7Y2qqNxsYX0x#kcEEagJ`slNPD zE;QYkpIx-+#{7JPY{C@*p}sNyqyr*W9T=axAB~<>Fh<*p5u7e(fKLNf{NEcdnT%m+ z{~E-;?xpD1BVTya1bik-b9`_Ct!Xl5vIIcHiAci+%gu>@c?J z;YZ2wb$k)nH|B=*uC(%;YV}!S86FvvB#AG3Vz2g?LufDY!g`0}VJ?G;8XqwT>*&ar z(4Y(|+OIKXOgvwdGWMQ)9aMy_X+_GB0O;T2GN@>`+Uas>Aw;dvKl>1o$7yi>DzS4G*D?pF6I(R=x7`XW!TF=G@G z>tk94GsV zsL&rPXct8TvK`Pa5I?*xaXct}LD`$Wu;7L1`ei$gm)TLV+0RPCC?m{1%FEH9<>mU} zMi`D%*pOwIQ9U3-(-;zjVMgit_Z%sdPN-9a;`_^XT(Hg@O2;3sw&nZF#G-V5*-ppH zFsC>kQ;N2w24hQLARk|AFl2UAET@l`(=R{7sHX(LVti79b}%3bOx9_FF*fmAw;&En zZ82Q8HJEd;?Jobrfq&+dmf{+)ScQt8ojZ!)1g3FcJzU9XF zuR>5N=S*m0q?l8I%xV)7ad=>nkGD%LUWe3?`iqJm{n9THF^)dBXCG9Dd4%(Bp32t7(Y zAnVFy$L~Rit>{_^6kR3Tp`74ng25tm9V*B{pOJK}IHKwN>X=3v5!ajR%}9Y!Y?ZjD z`FM%a+VL8S#inT1rYrGlfqWz(TV zu+5T=sazwU+a`DfXRZi>8do)|?ZUUFdUHWEMX{;}>J0sLRihE=^`KMJtLkDLUz$$%?AijHs+VOEdOFO3;kO3zcv@pHLE0O%>jlNJ%U~Xm%1Q6}SCF zC9uv9YL}xwPiSVD7!#i84E0E-(qDiR>XD*ZJzf$D*Y}>>Ixnv2s1vuSw_x{mi+JAG zb0zMlyz6KE_795@AnL8S7ht{%^7U)rRxriK<#7c7EBWSqkTVetAa;Czj9OM9m)d9AwYtXd*H9-z)2{fy}04b6nY#~^JoS0w> z=0Wv9lBBc;SvV9UY*9*4gS**wSS{8tBwihbTjnoEX%B#2Niqzd0bA zgMp%@0)ayRQwkX3lE!#P$+eZS`xiQ?V5uin2Qnd&w-VljRH9c|jn=UH0-pNN9Hyw< ztcUaJMaeQ%c}?AvFI}pvAipzt+yA6=B0hRzy@;LW?>2co36pZg>wno(Au2*|9r9_w z1LP&Zq!)t}br&Vm!+o`dsh3-KSZ_I4zCJi&>U~YL11Tu6UI78^B*oUdSu>m=9D!#JM>U@o%tT2W&tyV zDGAJ_zef_bwKbKe8ZQk{oGLm0r9r+fy)=?PY!5lM94WZ|rGb#YH2nBPae~p82K&oP z!|kb;2K&oP!|nXiAnll68g6O>Z}*mToA%O7WDSv82JdhRpAo(nU{x~8DSStc7jAeu z09n6+gh~>)9*~jTL7EbSIB{R2^H}W_@iHPdHudppc#EcteG@GoNmruBL&#d(?c*kX z>$ZudkWUS)<{=hCLN)!vPgIm7iI|N5`{8*dB%N6|C~a2o!tY zuSXH2?s7q^2qp10I#4^olEzsrNSg<(46sQdAzPzMC7~muFoM^@u;B!q0m8TdwkP z&9k^d8OeZ4#yiZYCb*Lsl^7$L(Ln|q1f9jvy6X(~P4hIk-r^{N;-&a)Cm36_aJczR z`t!J7Kdhgaf@*txCn3lA81{9-VNjjAaGPvMeu_k zJ8e>*<_gNW`qW;%yV9rgmLJonKmMa%_J6$)MUml8g-3&^6rINc`T<(C!OAMQFnwxU z&!G~MKHuuO-3B={(N!TB?j}^xVyhHCoVVEvhPz28QF<3p(~4nbs#jvga5oW-?pIpq zvIpd*h5jKJ?j}O$)k>-Iz|3Rwq(d;=O?>OsLIF2cE~(;m!IO$g)yj3HGeud+MphA_ z3I@Bb(;j-dM!_>6LV;c~1$AQ*lnK{uag_leD1Fp2X3vcXY4+SYJqE730=S50Q|0;K zV5-aun*|bseMOEqQu%y*Jo52ke&hHXmOcVRUgo|4RJcr!M$_({IKLR$*py!<95-S5 zds@fsx+cjRmnYf_a6*rbDVjg_rVss%?>^kxAQ@>D#*>T%#;Nme3M5p7x z70iy?euWf-3NA2^x1KsXZu|Y3KBIIxqN}M2R>uv5p!nMKE~SRDc$c-)albb5DU?R` z4r_JXSU{m`N&#nGGrQG$xOx((?~r`a)KC0G8n85HaHnMJ@EUERW|GYJ2d$l~SrI}j z8$p>Q^9?#8=0~JHCOKPgtF}B5e>ZKc>em~cO39-xl-*AI7w>62cj9lh6zu|@!eqoD zTm=s2gktYBr51f>P0NQgY1+#WNUEw(?eg=4YL`^d`KsL>_Y=BzQ2RK;MWw#>=sfXZ^BfgwoM?t+IE=pU(KkO&4pbQPp(cR_1u1!@Sk zYiR7W;z?c9-fmSdnN-gkGj)$4fLSxd+kL(d$#ryL1h8$R%cI^Xww+Kl7ay`@ImKs8 zn)NAsNDXDl^CrDC{y;y(77EfM&@G!Yl!nw}+iEA2#y}@s#x>mExQ2PRsMqV4dhF{< z=Fgdc_pM*`OTYeqPqtCYlN_(MG8|Bypa_W}1*rK4O#5ORwW$k%mWhAxx;JfK7{YYSXk!J=5Plpwa&F;Gp=fS2D#!X94}-Wg|1A=9$k za_()FOch!z_Tq0&Hv@rOFPZNSM=wZtcEH)KZd||XgOkQy4Q}Sqbr#*Qz^i19*M+P^ zj*cR#0l2NJZ-bY)^ABXxZ2l8B{voZ)-y(>FU;+Po0g#7wzWQIFI%qq>GuGG^h^kCC zw00vBh>o9QLe&hW6AH0y3T{qxpt3J2!$1g*&dT2beO~U<7iR2KU@(EFlMA45bp#g@ zB*!M-**vpJ9ASG3AENjVzh<-ffY4B+c77ycFVV&7f8c$e_kNw6D#Pf#Vg(zIV%N)$ zKj$6qLDSKTFJEs`ZoLa@-YvRV{kxfa`#-<)xi9#}$f{`M?pEL8_~%ea&GuzNlVDX4 z!(zL-EcGOYnZZsFn`+P07&KYHv?F*f+L_!NoyK5Ga$TV<1!)rZPL*{c{MUH z&4|Ky;(g9Fq-c;piXz!E!E=)>8CY9HYNhBG0tMNV?SyRg6Bfmo|Uo(s+3^fv+jZ9~5 z$ZYGKYdmKxPi^j(dt&)s@w2pMvH7rfeR(J?jT^6r@i1}~zIXz(YU^l&@s8^Sx+$yR zD2#W+i0ytw@m{=zvcY&z4L#^K3gd0Dp!wmthGl3hBu0kUVLTM|z_l-5W*o*tMng*! zZH?2OJ#|siJ+DI;&%Jm53q^E+@y=0Wnz{=$G?of}7Gb=(I_c>kDC(s3$9?+GcqOvN zntpbjq+3fAn>eWriHjp zxnM&k4oJ-QE7B;sV369dIlo(xI;jaZQXBNbsou0*_||l!Trfy&P$JWpP0OR#Bpa#C z9)q>JbBnEX$sn~sIru;_YKL%h8mSF_{k{xbIyikzc)><$v)LZ{FCiN)ypjl#I@ zGS^~j>(EBLi=o5{&W2zPV*w5_mP9Ge66GshEXf;Whe7c#*o(q?7~vQ!6)8E41?M=2 z-ZDBp8q^%8mXO5jQBYW>wbP zG9aio6gSm#YouaV3Gzjx3ApBF2Y%sBlG@jQp~DKYA_!D^H5buGS~>TMUx6Z?N-GVyPeny!86iWD#XC^sp#e(HV{d!A3| zuuGnKKAd^$i1WN#)B_KfJoEKQfphZAKkbLU^fN!b50uJFqFgnf75m#h`- zYN}oE2&OE-hcVgR%!chkY!QJHz_R^{d1jR7ucZ+c7B~bWP=X*xjfJa|xo)-TAsB%Y zu5q(c@+}COVGY3ulwji4OPv9p(zOI8xEacFl+rL=tYDqY&-FAlmSB=$kVA?(U@uUOex+o~Z9K(wW`7!r~Qj$*|n)u&tw`}Se?=_$3 zn$iD4LtcWP^NM-2wHtM_e67}l?)K7C)Ec=qEN!fqM@EmLKMM^9J>XK%qxFi69w@c~IjbV=c2#h4GkTQq zsL59S==fSJtyC~p%;-_;_(EF1Jh%|7=#h1N!ErY#$q>}Ekt0{F;|uhM73o0(eoADM z{nt9a*5DrKe#vV(zSi`N>u)YDsa*_yLhsJnASKdE=Gzn9S!0s<{&4cI7J#4{aB%i~ zgLVfF>BF7eqKP_c`|-kJM%MgCPN(air)ZVAuDaLCc<~#}vOc3P4#9S}IOuo?NaW zkw>p7d61v2N&zP*0tx|*vZKYgDcO!18jOL%Q<%<=@nH;FLA}8Y+=DmEoVav;og3HA z4_-hNjHcr;KCJXzpCAsz4~EmVGj&MFf@?& z20c4cJJ1p-6b6s0_dBwY4qhfqzwO<}>PR(WCV+hX4_O?k#>}*(tI~a)>PR^?6N(G1 z!6$z6>PR7#scr5$uc!Rz8eW()f%$YSmw?-WXuSSL_vcJB%U%44F!hcDs&z*mFAi{T z!hDNsSbRJ4FPmH=|2HNR|3=Bgr*x@;kS>rsV3aK^rRw(s++(=7 z2=su2l~qYSQ1Q$dl4?u4Sf>diT5`*!S3+`8_lc7~R5T+2D8Y|L8Xo8r#DwZE}yRBL#1nDBU zAbb)~G|)TQ`vmY1q>JE!!2kqWM4I!1@50X`E<%%Bq9sL_To@n}ONxu&lKahy9AaoQ zMa{ap2uYHWmrDY|AR`*P8J2^aBQAnV?hZ%Pd-5mRkzk0?qpx`b!yktE>Dx@(8c)p9 zhZT-b1uw9T>=!s*pnkz^@=WATnM<4L>$c5whEu^v)nA%3gIZ<=|DCV<`hWVH&IrgZ z$oAp|Qbp!~tZ|t=yocl*?U+Qi}u`w9*OoYWM;&x6TU0~TEdsRNi3QbY51@*5;c4noA8rTw3ps- zM0;uP?!gSjd;x@=Cg2k75#A=q|Ni&s_>#x(f)mc!tq&@3{ct`A&HL+l$m_rRhVS@0 zX-3F+r!ETqSxAY{V~O?$L1n#X)uKJ?tBu*Mm=`8FC#SE2$(CrZ2{JAMAWU{0Z6u2o zCE7E=-1|Eiiz?BcuejN2`6$3lYsiZgCE7DVRxSZz#+Mms5|(Js1VPmdP@BOK=Eov_ zEG@kf?YSTZvjK|+?ga$7|1uiw`9&hZtB@=(W(%8j7vn-^*6o^~!!$Ld{TXT=maMNW zCl^52CD8)IxDaPY^J2Zs*>+t6q-zKcbf=kkB!hLkvId3KlutCB`M++rY^s?*H`$w4 z<>#@L#GkwQSEZ^rG8J_(w$6xma zkE3l(uhW}`+ZzZZN^((P`eadLc~=DtYp=hLwg%jy&8D_SuO5%!I2HA{T9VVgteDl~ zVGo`bfqM3PcB2)+tRBI+a*zxh%0{edI8L3_;{hbkQeA&ot!y4t2p07yt)J987(Cg3 z>!KbHM=cV<;FE#%DC!X{b*ym5k{cO|TfR+Ek1TA*_on2wBXD?XrKfr=Dl%Q~H8qoU zPw1GW%n-AvR!j6~x(YH>_TgOC{Cv`OCEeL$lM>SzD&cX75)Y+qN$jIaQ$-^18F9%}aFF8?5KGuB^AVpv8{XY&sS^x9+s!Fn#Z+a(Yw0SQNu-%N!EAVg zT6~0)C>!2JEk5KVnr-{UVl;EY582x3=d(QgX6C{iwsFd`kA!CJJWq@cJPcQSqEP-X z_Kba^Wb*JGtn3IVO2P@Dm**n?Uzi(j0;kY4e zUz9>tGYx|{Id7mr3rcKUR?KP!p@$+1AR!*aAX&`>uz-Ynueh?B30wgz%}O)mfxt;M z!?#l2R(2A=igmi@K=!sV%{yfxZv||(K&@+Z}_4w`NkIm`_fdk7lK*hdb+YZ*NUUA z==#cT+D2ayZn8ElqgRdtaZ1^8PJQpDD!XYLeZ|SjZb2)o4tlZ*o3_zc+)fo?r?e&K z5lqxz=lQrdsljg6t9?)d7-@O#kbiqTv$0qgcxG6YoO)p-2`{rD6Fwx3#u?D!Q<(6b z0aG3HM`_Z6Kz~31w7RT65wy~zC0Xu#LV0o;f&>5xhB93+%9yc!Lg1%~hRGeAo3xlV zFHKqyDAzCB$#B;uEy1@MpoPX_*=;=+53vPS!0xE_6BFm*qo%NQ~c?k7ZjMgo~?{Q7PH0iiA|Q zwL!Sp>b*m-+FI{~-^ny)1gs&LZEbYIuh)YwlWi^X-{52d$hXCu9Yo*7AIP>gC>-%+ z`ffDIGuf43imGuqf?aqSdTOo(#d&fOk#a>H-W?Tq+!?ecjHj-p#X!0Ga=k|BF5G zNf#P}{`6ArphDZBKmQ8|@WM^|I_=7u5$iKLbQN^M|L6lrD&}|o<_F(!Iu8NLI^?aH zhX4sjhx=uK@UU20OUn8%$m?f-5Ph=XwUyeV4fRgJ{YoBUNrpEj!gs65`X~^S0FtC2 z`el3EF9U?=M_~#CN(TvkRe6s4J^!{05biOGTDoDVm}@c9dD^Mz7Jg8@aDC%Q=oa~u z6;K>L-Vl1dGU^r`U3p9<{*C9JPP||85Vs8vzK-$`U-|R@gc?sCYry|C{WZ$o}7S(?rHvrkf@hKD(zl z(KLaA&Fue8Qw$aVZ<1mt-=1lTp>lsVdr)4{ryNiW&DO=Ld1n@Z4pd~QLiwb+_S`0p zqM@5QKSco4+koy;x=qv6?j9PVgqz+*8T6B=q=G2{G(Eth>4=2rfClIsM21cCIhDc^ zrE_XB(PLj}doWH)>J6Os=R^}eScwWEMV_OAh@GG<>MOqZ_BKT6A$~11iJY&i?G-%X zvt9Bt^TGcMPxmzs(|Fs55Ge5ZGFpYEA*2~UxD-k^{yjG8|D%8Ki~q=n1!km9`k*#> zc8QeECPrx_Y7!<}H}-G=taW2Bwk?MyXq({lX0)Lrqtlm7&}Xo)0-?*2LppHkk@;>p zG%*wk==VsEM-EL;K5g?aFowu_D|7*InYmCK&lnE|9w6Z$KAjUIjHyFTy54_zt!%;&!HN=VIEUT_+F_u@cl&vV`r zUw#aydDH*##y33)WvI?W51`-vm|P4sK%X1*$8mRDsMa*Ur328>*)VTR?sk79aW>$W z4I0q8xTpkBLQpD#>jC#_37`PPi;mkZRz~s>*O&vS13Ka~h&Zk|FRp}S1waS#bNwve z0-#S0^DP0?SMuDMx}j=<9w-|RLe%E+d#9b!1W`DOuNRo`_O*zNrixk z+lP?W<$;OYhjV*H;vA=S?S-}E7FkPdVnNci2@`>?6<%1^wcX|n-6*Q}DdV9T%Zuur zxBQsu{pOcm`saT;$hpV;P-sVT64AX}AA4u8K;)>MHTM!NYaQ~PEEu|SIR!=BOU~@P z9wLVdQu1fajTwOM*$;&qN*#^Rdw~fn-HOEz-JG(_O-AEPg1+q>&h)dwJMt zsxJhyABt{Y6yvHNYKUD37C#g;Q1q%1-vhGi{q*98g8xdhhq$$5zAQO}(n7pPr?ch; zFsiQR22OgcO>aJV^w_bZM^D^See`&ls{S7}O6f^8V}AsnQAWcPG~WvYk6U)mK|i3zSC-S>pcDl9oHW z-B(Bn8zmRWRbhndT}$!Rm5uI0e6`<^@4iZ=2m1;=_B!7PVbO5S^kiS{7JKV|SuOd% z)-}_keRW)7#*sX+=o9TjEMfNWZv;Y9&O*XVfRk%MUp(7FYVMLjzdkgrluku^)jvhp9uK@`{D>f;loe4}GV2i@@ z5@0Ihl#)0C5lk&_6Bo9X*MXuO4{bXBa2|)b5|796ph%}hi1IuLl=I7Wl-I3sy_v&( zy}H7qpO2>zOXYz$AeR>kR-2aFUEzsLmxHfU+Hco#> zo7L53nNN5`S8u+42-M>x3(|i7&E{%D=0S!MSL+9F-7K#*<@-aR9$&xzW_z{H{lc5| z)uw>i5U9sX9;BUrEuL4~)W@rF4C$}cHnDfJYm`F|v3Ij?n{RpZCMmoJ53$vRce?4q z+~j!!_1?&B@e>s%?42*Y``wjx#sgc8oZHLO1p5HElbgLdy|+25XE_!@eERSI^}p&{ zqZw1j#5mHZTbRzh0*kczW2>E-BijXI$alsC!IDC{6xuC#Jz`@*kPkx>#3)_~t}%Lc zFzB3j-33v5MM_Z8SPmzm^S-zsCQQK-qKe0S+^Ny&h%9kNu?0)x7l>=*Q_)u3E;$aE zMq`8ecIuuGq-Ju#mLEJG78s#b1;xX2$t^f>#1}l=!bozBB(wQ>jg(gCh0h*8eyIXK zFXyPxOH-5LtcLc&CNh%e=j`ke4ka^+-wkqhMS+d0Mu}19Ek72ce&Uz@tY7=P0v=S7 zTZado4VUvo@!}yBiy~JjCc2;SU6pWBym{Tibe^5kvz#O~-ePJURo!V);~l3IKqsCe zHD17%7ge`C*pz~}M)BnB8lhu>;ZY|dA7PaNHL5)#Oa<|?hc%a*asK-41c5aPPea$13ovsOG->*r}qTQV1f?APlE)dMro1?Lo zt!Yq$I3dx&<|`MDm8Z8B~Ne+|PCu?-GJY=t84Va8INKN<0L^ zyM(WmSEfM1jD<;C4g2K+6*hAtw1Z)V#V+-vEp*Q7Tt`bGUln&Q&TtlmuX-q zPR&}}a*g3#;>)PNirxoMXz5^_dmMmW|uUseN50^ zZHC_{-yg6uVCEvO6Mdc>zF5zmZxAY*8F_FnHi#^H$$W><35xiDlBiu6TxY&T=*3}s z3V+YNRY9j;zTP7niM!*2(w$v!F#qnTxuOMK%l-)RO9ti|KNO=hSC+Ew*>LXc36)aPyGWz zl@T8RY*2O?vEsX)&JRc{BSrwgP%ao>m6ok?RMzc{>u;hxo`SV^e1Ru#1TNtl0XGS z>Xs$_C3%#xVbCp-nPkD=dO(;=ZQv`SdjVbowaG|EmOg+BPBtxxH*FNG6HQCczfIz2 z=W1OnkS>B{1#{{kdn)m>3GUF93u8@8QHK1bZ#~PfhA~6JvoIw+da}waN-bNIjblWD z@+t4)=Wcg7SLw=pXr*XjBmf-Mrf2j;o`;-h zqrmJfx!4*Rp7ku`qo4VE|H*rUk4gcj9>d?F#6)nS!`4Y444E>V$hLst3lagae=qqs z>TpBcY*dAT#E0F1z%vBbLP}l)MT48*euvOnB5b4rdwg7c5EI-2g;1~`cBU8182)a* zW7QC>9A+abvJuxPK8T+htd0U~95ySXsR%(n1OBZKz|O^T0SY0zd-*a2!?%hwxLyED z6i16C3x?mwERPuRSdsZzmP-TqA+kUtr2FmxN1tQy=E#J@Md_&uv4?OembvZkLd7nC zRZ`iq9V%CRu(U~okaVmxG@Kv%+f#iau)M_nuVSC!yjygM{pa7Jb9f-%^7H=wC;XwX zy9&g(-F0{w84qCEOpPP$h1K((05qwHow2IsUiHggL z8UH`-!4M^A`3j98onVIlc|xhxVM?K2F{A&xHO7l5s*c1-hUC7G|t&qx4Tk1RfGUMzU7JBD3 zpUOguk)wSZesCM9hWoXZ;Jy~6;Fu54AV#pDj8Z8&g6%F4IUIiEY|{a-^##-K!%^7| z+je7;NKgRe=!_(hB|1A{YEc+n!f8?*6iokB853pC^Tkv@yEKyXZqdb5-;JsMv>*Ml z|Nc*W)EnOL;4N>KZV3qw-Bf!F7-eo^=4cHfva4loDMZ#JcnAv0>EY*8_%uAL9r= zmG{OnA#vmG*lX>*gZTPOUs)jxDuoW{kL#a^^n4TUC&@YdLCh8%JQ3*uzd{yZs~FJQ zo3j1x;q2)NzrqM`)~w@+SZ}R;J4vQT{OX93P&_+$#1{a+`s+;3_?2N=l*AmPPEuR4 z?7O$}mIE3O`{z+gQS4%_P>u@ko6q=SF?buq-Ec_^7(|G`cNP;%``=Srviz4{BS9!@ z0@dr0GN~KzH3{O$nt0XF#97~3DJgJCd?Q9G#EzF%2xGe*%zJN;6CZ$Z2*@k!nci1~ZNk8ylKm!-9RG0 zv~=xa#jKjQSWqy#?b4gqLa?Z2j5-vJ3qX#f5M{-52T{FZ2r@Vy1|y4#YR3E@=`us~ z33{};Vo}X#C=`YZ=rJqX@@u>WMV55YEfx{qkHgqIimt=pFydj%7=#vPCD298PXb-E zQY%0Un7m~HjRxu9?w#+8m62X9poaj!`GoS&1Y3hJ(x>wafqTB-4kZ#ku7r}{dP_PR z#lNtc0eT4poX#)Xal9JtxE$#ZYoH5$E##h~x>c*V)ObT@rM-8qvn~x<_t&6hYUYGl z>Gl4lLF;xJwEo2>@BU41|L~Ui)tfQ2owq$eaB?nSww=56#9Y8^J16G?X4^SA?yuO+ zscC=3c7h#I8-O;jo}H1cVGRa-ndI6?2HeUbd&i80ZQ?Ho3m8)&umFlv4R;M|(8;8d zIHC_Fwe-~jpy{E6*|nJ-N?7jCZvf@Ht zutRRHe)n7OS~NtmFwBvzv%)V%X8FGsbcI9oc>CTQs^zYzIT)B;jbpC2R$!p2ChH8;{9%iV;ChY4#$Y0^)M#wcE3J8i zICZfxVL3Lq-fqcaW7q?0HU_;qy`+#e3@ir{-UC1^-<@VT@Bz#+^$SUht7~~wvXGgF z7H)4XMd=&m`xE1AG1{R1nzOaMYTjrT3I&XOhVJYXeb(EZ8dW`OR9m)@+gF`$MAyBU zg3p)1&)ubTo8xL7w^I737l>K=5b`pljBj{0OY+7yvm_yPSP8Aaj+j-9PKsI6%Ppk< zFiB0VtA+B-J67wL5cU$nzVzOAN%=;r8(Hl=RxLbbtpu>+{Th@YlTf55F`* zSWT~c2{qAt>&JOWM#i1ZNmY zJ&^DV;Q3}rIqh=oBnR=G#ZHNgYkBQFNG z)6FbEMLmalB#V?XT2`nE1<#^dn*Dq*QTTXu-_lqpP^~due0$Ti!?;__0gaE z{i{<6$;mi2hg6esYz}xQXRqbLe856ebhs)=~RJrg%v6Kd(Gt|-F55pl9znsTVYslFCU4b2~ly5(P0a;8%>8X zTlq9f%P@`;=`Pm7N_X+Rt@7K#27llloQM^8Y%Be?u%R70Spojy2S0GtDzIPt zU@8EA`SItx<2|?{s}6tpC2YU=+3yJn?7NJozUEyMu5>Ao^-pDL*NZ@!*;mV40rPuQrC+kM*Knwv&89PDi17NrB;EWPBYW(xQNp?9=VH+p4_+0EKJOM?YK-oNUE=rr z`Tyi!{+A;Et6kF$&{_}mb1lj}p#Q0F*%phg?U!kY(hj4F1XlDu>`K50DA9%}!C+}3 zGz7(>`-;Ji`C>GtX zu?i5Q{; zQp>@060NXP7y}=j8MK+y&imP3LNeQfUi!p9@wmDM>MrD73HukH31OMt1|vM#zI!z9 zs@Q^+?1c2r)@fYWahZ>?FS{Z9Jm!kTzfmF;>bT?Je-ZUxIw#IUUMD*z;31?p9x2>c zb%@d>iFS@+_;O6a5p}}Z}e>0y^DTx)ISLi zvwnR9QC7=43oJ;kT^jXp);N15jxtCNCAlmeZ3sU@;U0? zZklklHcD34 zgbo0h#;HWX4l@>ktqWRDil>5u7n8K#1IVD6!y&yv%r3+Jut5Pd?e_ja2E4Ljb{UXJ zFp5c#JoYPQmjM7hIk0JAH{`!dE1tB5EhJSV^iv~qzPQT0F39aJ3z?jh&8J$Lp4S;g z)TPx$D$%MuYHM1t%phbJ*5p)sBOz)&o{vj9zGsQvp2rf+AxF8@g+<||)kXS#^ZBJR zBd(vmWV#$WjM3_X9}8Ld1i2@F5&?DEOSZJKIkzTyp5ndO#`%i(VjHgs{9l_%^q>FB zf8`%evlq1J#3A?AfrEJl64RpAYSZ`X&^EeYkPtO&-gY6Aoh#6STWHBijkWuSw$WEa ztEzDe0a&h$o6o;Zl%17f-rg4Q)1|3KTP3U?~U>`u93FUPmS^2#&m%)+I~gR zb9U;+EQHu{z!*|mu7X(zqr)}R5a%u}SHUcV=B%FKqqOw~v(N@P{PM-;oA3x$yC_y| z`|F!g=#ogk{Y3itVXVCf*J#&EcD$0IzAD-AdAH~i#?JSq&Ix0G{I~2r>#s%_t2OhE zeCyT{%z7N|%AgZiFzf~bI@<*cOjGTGARr_T%II`&@oosxRJ$O?-zsn3VTsv)-HJ5T zE{IT*Ey`FChE+@LVnv$jCaBklgf6%%H0TULhOsV)nFhEt#=9T{>{q0zZh{LLcu2vu zLCUcrO|=W6&I}~;jCbLnXkZ+IseK9cfXkJ39RtlK#a8(t#Z>#6mYIBO&lXfw)4C=ziLu;B!+hV;6{<>=4jjXJCzK-<#!X5F6si&>vV zR{pA(^?A4GV%G1*tbfx-e9oW!Pfzm&Zy1Y`YZ6AL1bICvC8iaMAlh^LP(4TBfRZus zSJ+W}7=47IB?4cAY*LKyZqN2*n4%EO5je*h;nZjrTchf}yGELIJM1~oc(BwS5jfC< z{u*lpegJ8u2!e~VTQNuAM-XO8LL2jOR{e@40>^%m!rx5V0T(<(?&NKa7QXndSUpbtgzJO@Bcb_dR@C7s|g zQGs0fCicI#(keEO8(3w>OXFqV6OdEchnO$ZIUwDpIRU-#ztp@nuZK`p6kCe)qeBO`>+^kaJa#Tw;&U0)N7 zCx1Ffk|!w=d^b!m_T+&G(#~qkMF>@cJaF@!6LTq&9$$yAXj6A6@n!Vxww%nuU^Vux zpNIG@5ucqO5j~}byOj9eUy1L$Thv>L`|7>sd2POveuU<1F3*YHYaZ~d^E7?T%THc- zLs(kP;XBZ28U5*gUB1RmaH=3T*N{$j*V5AZifzpMSc5C|V-rLLu;G2c@x*r9uD-E% zsw9?{)>oXUh@E8?)xcF+pUzyK=DAQ4_sq=-@mIkAqT}UKt#b~5sSa2e!>6y-ncgWE)|LxC>ZkO?(^N1-gNRt5^JjIBNl%NWZ z5FKZ55GgI4G01|S9;eDd=OmL<4mxk25g8FAI3pNAqv56^WJ+0RP8_%b7s!MP=(aJ^ zK-&c?T!Ky{Fgeu`;VC<4x`4NUgOhw$wLE8v@T!1=c+)0$j`Qug3FVat``)1}od zh|(Y34t!9zuj^wO0p&7K*zHb{xi!S9X zA*yo6=6y0=vTH_a^V)fza5@sKh^iq}SgF&oM;fuq3Fe#uFa+fa2102x9PtyC>|rY( z!UV&?XhN;?u-fG#Ts?SE9IgOguAKcOprCd;xPo>2+*D)d9_S+Hf(zzCcmff;Tke6# z9ujQWhul5uGXA%_lx~w!(-lXTe%RaZhfVE4>E%XtF%48;vaxL5v3oINdD=Ty24}|3 zOg4VQU;Cav`Ry^SUSIP**?0#-q(LpN`hO&SnbmsCtr=0mS*;&dQtQDe?P%Oep|i4D z-$NluttVjEfyzpE9t(k>ks1q~ji_L$`ZB9^%){21Uzm)>%xUQescQYWvSi~xa<&po zVNIt2(;kbUI+~$Q&om9_YRUe=$%1@nOsxADv_mfJf4fCx)A#w`F`4)`N=@s#OSbVA zvyGBZyhozoyH{dw{1rd(TmQ}}B{o@ymc5@SgczwqE50e}7NEqaa-@^Hy!Tm&pTYPO0~ zEj(6eCVTE8v=_pLo5PiX7TEW>vYy!|fzocwllJ)|V&&ObI9VVZ5 zi!Lzv{9AMmO#Weuzxsn;6r=yf@su=ZX$TmUP-JfLb_6$QBCY7Ej{Y+&1l}o<)LIUX z67mkK$e~EedM`RsidkO1+J;&Hrj}%tYo=!b71@A|7_r%wY|c7iASs<3dUNc7sHUTo2PMR)7AXx=Tl z*rNHj=p0-0|9!*%_$S`?5pQ?{hKw*{Xx+D{MPgP^w@~oAUoag?Jka&L?J7JDH~@W$ z((t)kp=e1gM+j2c_=-rRuSLscf3rYW61^lQ0_cDer3Xos6HQ#Z`|6 z<9(hyYOxhR-Z-InEi|Q&q5V=Zi$#FSh-IuLB!TK;QWy_-uO zz&ojxaT{Q!<-R~N9?r!TW;*SWbVs`jQsDi`#YVO@om(37ca01yot<8kOYYbEm2gj@ zK(8D7d*)N|xIPuwyo;v-%lcEnk9~?co{Gn}@l?Fy+Q))XVr_c5Fk4X3B`-&qE|Qlf zsDE>FFscDPu~CMnx#Q$Cp>wq?#}T->?hkcz?1GQFzY`;H*O*%iMww{JJ#vn1KEA8_ z!vWdLTyL)M>c#Pxk_%;;kMF)e4k*V1R>*aK>-7~$Xwvob2TH%+dhmngwN+|*fhL8@JE!|IT3S=}8(A{hi zLKE~+lBeX_fBBM~*+N-lBPTh0@j{r-$;Jz2@%#h2-i=&Mnvv8{KCIGSN5?`A%C)??6g6)0{-fxjy513QL zyJ|Sm@j#r2Yc2*U>(WgySx-}n1t$w?1fce_Pgm6B8-&Q=#uYUVRjErpY*J`Gy?juq zoRqeI@v@oR)vrr$rp9=w$g@*x(>2;_<9ssVJrg(Czp~H4&idkWNN+*1`M2F`&^uY^ zmM_c#GCnJxU!I?E(u4^hd>)S6EXY>xq{(*j`6{%fKTkL*@cH1Dz25G`P&CgErQ-jszwINw>>Ey1;@m+w;Z*T=R{TK+eWILj zvRFDR{>fVDbnjspjA?PKR5~mE+o+UInySDU9roTdn|#3$8$9 z)%&T4#=}_PX~5e>2@T-&KD31gRw5O#M@eg_eQH`mNghLMFh5H514F>Nx8uOo4xYb};4L8qsRXbBUW5=(pM4<$AoctWYr z5zb2G!QJbuKmSWU{8#?7sI1ZJ>`S00X_RuxZZZ>>oz=-qT-I4ecMI1N0Bzrgl6|55 zYO6lz7F4pmSDSU#ez$Q!kV17nXx3S%d#0ZZFK`X?w-d}d3vE1@#U_H(a@CUc-F(LH)aEc@@p6O7mK_d)@7B&Ba_Nm89_bXrTtefR^4`o~( zRJA0bn}zM}-h9Rj8`Omj>ZSL-OVG!LbkX$}D6)ZSB|;eDWIW(mXM_6MZ~h-X^jCgb zOP68@_-gxtvq9+=Xz!IJk**h@2Vq*WU6w9&L1Z+whY}6}vQNbELy&%e3xXA&IZLbv zg9qbP1gRLBAgJfXb{&u#tEWDh#!`dQQ?-YAilR|5PwwwGLl*9S-Zip&aQIDR)O3#X zu=|tee;+*DU+q%r;&^q)?l8TYq;cVBlz0Iqs<8QqBU0r{VnV`;G^ zq2c@J1FZpPMIXC59S*@qfjl&q5quJ|9gv0ig6(4Dr)lOzjPv={#U9eT^6uv?Pc4&! z2O<(*@#*jUq5nIeXw3<`cW)VD0d3(xvIt@|$U3J~@^ic0mSYSdxWjubo@OMS`BwXi z=yHZ9inkijEM9NAxgp56+6DJmP*1%%SSL>i(h+b$^cv~wk7|fzpBd*JbwNygAg2zl zaqWE4u_AA_3-0!u_{3{mEUdvj1XH4)Gc5S6rL1C5(IMWwF9}jw=rED=%EnkyUMiPt z+;$-B!S|{^ka+hlxj�U)J1sY?0Q?cjvI=P2t%$2Wy{7lI8ZS4;(iQNrX84eUwnY zoqdav1zXeFMbnz)1_26^vx9tlP^BVHoUaMMUOVwom(Z2coYdshr>YmP9}BJfL#ONZ zy0mWUzl}x~y^2@Sc?h-2I;&2^I*)gbfrEV8Pawii_V4by62jUvb23?o*@G#htCHAE4a&f&3L=GisDBRn+`TrH`w;jo1ul}r=d1D00LZqK}25#$5W1d+BA zZFE?z0FG2asu&lHp-VdyHFbit0WNr0V?rXe769+TkYU<@Cb&3kMQI(6Ya5YdyYQ`P z16&ZZnP`6Sl&=?@C{VtP*nlR9Dl04rNwA^Ri=40BQ1IsZjWt)QDIR5NZAiF=d-S9r zDUY7C>>NHm7IotbxWdEH5NhhhH4^`J+UHhfVr`x&DK&Rv{V#L8tc$ZB^{V+3$K{d0 zRsH!1&o)WiM=@B3#g0kvDoMTOlE>|qQ!fyCV4en=2C8Iwl5uuUk;LP400voaDXud; z%2&I^p3|*2;$&zvW2fUcO3(7uVSUsQ6{MS2&@M@)hxuxEMB18bf{xBiaFR?<^VJ^U zEhO>iflg3^t|XZr=d1k=32u`-)ZcUdLyG!4C#7i*DgG_Tyu#UO=s!bSn)B*rjy%YD_NqK z6ECo=cyH1dK2f5FAFM3bRqSa6US6*AL{Qg;=R1CImgtq(Ppab5^~-j;eq3*ETR{aL z>frJTB_52}an0>GezUk*=Mu&B{%TXcKLpC}C)??GDJe|Xf4th1(0julC|$p7$MIdo zqCQ^DbwR-)4sM&+yIDO4Tm^~{+&$R40k6JqZm^fI3p)0>cet6C+LV<`R;rcYwL&pZ zk!#Uj)9Fpn3)@b~wFQTW+NB=Q3kNUtfG@uMh#>W|S*1x3<`?|ZU-H9W9%UhFlLrns zxc&sUK(>zzam5`;ehZWpM|smzU(?g9g2`ux}YH2T-@5*MefaA4f ztmSCIM!SP0QxpcUBDb^4KJ2ABfjNwU0>Al7fAKH;HKCIfT^InvtvhaaKvY#xO6nv7 zB~o|X2#lMNNBr2@9k*X@5h>Lbsgr!g)*Xj#abT5Iu;7lnBcdXx8eo~mQ)g=+NJn^pcLDHC&uJ_z(G+b}S6IqQ`f&!G66{lRb!zr&JlKwcZWYB!d-Tp{E z#1?+bD1k*hMMy&I?s9%PnXPx?#eeE@vU$so>6M57^k;wX-wwSpUQRYiYfQaj)pE!) zp$U^NjE+&4Sk>zAo_`zlN)ud9&>B;(xF9B+@2v*_Gt5P=*e<9G>Xjyl84iN@p{v3a zPzX}5xZosNu|$v7b}`9SEYFY$PFCNlNi)fb^4s%unz&H~zIjcL?{jVDTF$pGRCI5J z5_-Lk@0;P6QEmC#dqRPZX}E9s>hJ!PZ#=2trkb|0hMQD{S;HNsda;UzL$oKk_c7x3 ztlfL6NGZ=BC=3RincLPdi=`B@N zo+7!o$j|*Z4LCigOPYdk;`Gw6&xQSOh>U%r6cQq?r24;5TH=HyC+AY*-$AYC)cAux zQ;=wLCK%nJVFxhK-U#>xVPP} zkf>!UZnfyhPzvD(>g(5BW!`7O~P`w9?3mEjJEk4l)6>Tz}eIe zgCxlZ&m|EAgS~@SIdbw+2ZWYhtJ&G0%BcI|5@7k7ady;R*3kK+a#hXt=!w-z`esf+ z`@bdb5Bn$Zt@}>T?mdz0Ui;TUt&1y1|2w7{{b*pZghIUGp!6Vzy0k?PdFq&LBlmMCJkn{KH<5L>I&*= zf`NvH*)Ha4zh06sn`^E)^`+F~^$Lf?{)7dO12%}71t`3;Ya$?bD; z)m^7GGSMY8+p0!4jRs`6ag=zu#tiwJBwS8`}GPyaX-n_%3y?kC)?e57+W* z`Q}^Ryh-{J*>IE?9-x+%Xo6sJ9KU^PT*p$I+_=ugwi(9boE%)5uil-k#HcMR-m=}1 z6`-T&k-(5t<&<1o@RB|UgU*##9aP?(OZxnoPoICnANkWCwK~GBwLK zL&`T9WlI-BU5Qr(aFJ6#xu>zUDF=1|TyB$8;n@OQE^WP+w%(r_ZN2aK_>cds-y7MA zYiiG0PX}t@VZlmW7mcUYnXp>)`XV)Y|4s0KY4Hrt!A&vfm!R=%f@px#+WIwU&$?67 zc)H+ZH5(m&7eu8BfD0PWC1^{;c-k&xcxgPFpi}5>K?9-?LI~1$x*$dc5PC!Gx7m|m zqx?Jq{DmYi8)UOO;%hmL)mcCZfw>tV~qKe+*7zAuAI?0Ci zG8ya^)3G++P(#-($j=2f^9TDOwvgHIz_vu9uf;O9?bUbvtRIcoK!Lrd&TB;P@N&KC zlM4w`B#^1S!(&;91SzK8;ni`Fg`j#fC=#%gw+^o`Dq6Hwpp+%Afs6}cgDsLYn?c)h z!Z6VPjD}(AK@{;}Kx@TPsown5hmDl~qBQ^wdp+p-y2@)9I7>|{0BQT6F@~eCvs;Dr zFbrShLQQ`^!I;=<;aGB;SLp`D-eMZrlq~R3t?2W=NF(>$3l~!J4#k`%HCJv42lk*{ z)7y?BVf?y@g&V?XbUr_gY;R^@fAgw_FHz0?MK$woQJ;!`a8I|0=QUbx{RlPQbU=BS zhS)FE3O9DSj|3a6d*}Yf``A{AO^+E^sj+UPB!a^uXuofR+U-ZtJV*1Qu;r!;e~(gtE) zFKz4~D!tdWW#jSQYRqiwz5TWEa#Q`-NR)Lk{A4Xj`BE|TDSdd3;eSZe4j=sN3bA>1 zV^AS>Pj-XnZ9_K9gv_-YJXW%4+M6pTq#ZjsY~#fbrl}b(elX42c=_??yyHE%BFj;| z{1Ucb{OtFHgjl%;D&`5k5h-5G?53)Dx9DQp?`CY68sdoNlnU`_1BqjS7z;+$ z5UCjHh+woatqG!r%-U~vsFSLv&Vc0}{9a<(w;(K2OX#@HNlU0EWh?+zi=wR_`nkrx zowdsLk)QPtr^m7_Gf%SR5wC4rZ!r6nyAyYtrwtw_l1B9iiYZT!9CkBC(Wq6-#a)jW z73#rsy|CBkG@-GvELS_BQt;MWWzRQv_IzFwd_)Dyz4yO<#EakkY5(faXa&()E8xJP zRSv*2mQqYi)In)r-z-Ko(*(iHgI5@W8*prkk7~P+bD)}WK_gJbgGJsSdDlabYQ_a8 z`O$Mx!6Zq!6hwKM!W2n4)l56}6i0b3DnQ*Qq9BGtV-)2qi1sC?Dasi^xFlB6YHa+m zT2b4DU$W4iqX^x|%#RB+lQ4ovlKJkmA03(A3&6657lwnsU z5j(o%O;&iSICILz{>3YtpJ9}7B-pr+o}b6-B(P$XPL7hEr<6{5uK_wrVXdchy3M&6 z9(&BsRn(Wb}!M{hN&6q z2iPtMN?am`p{gAn8E71VAgC(MmDgS7F!+i#tOO_>!VN1@Xo3=#*oqjKM`Mf?mq(Od z(8$H9rI5k|$)?g7UY9WP50u?k#0Z8kT0y+9sZc$GlLD6Bq0qJKanQzW+$(hP(zT34w{UTK$$Wz_&yWp3xK1M2 zy=1vV3S8ql!4O`bqO;tU@KElm)I^<`bE8B(%JZVORbtCAz_be#yG?%A1e7{L<$sYO z?PgOc@lVDL`@Yi)oihCQ)^<_pl#wA#8UW4;x_+21u*M1q3(|6KqpiKT7kA|Y@B6&> ztHBe9)iKaIm-tnIQRm&Fi@S0+?#d^9!}5pU(hq)c&Ot@EG0tVVBsxk$S25gp6>XQf zD=vsZXdnfrL0m|$XAz`5biw0l2UmesZq1%gzTB}Q&7ljT!IOi4NkF@_{RJ}^lX?U{ee!B?uvi& zEzYVSH>YeC$&+q?7*+3DrEL^*ssB36kg5r`I;la~X=iD55#Sc~;gwqW^cdcNuD5+9 zWivA<(ypgld5(-OJw82W1hBYdN1vVSXli;awJJA;i|vIu;WhLoi4?B?)sKJ7OEb#o zcVsI=kL}4adW-(R^}6eGND^M4z+?+zKC8E%QAR(N=aS&UR@^NJfr=)VsW;F+otY}PC3cu91S%`Cf25Oid*^$PsA;kGWtsyJ+;PD zsJAcvTmS4|`GgN|*)Vn8uLrkKTHa$F89oVcYa=r_3LccvlK{8&8xH>~f*CvsaBGb* zznx&lP8zs{{Fx}DgTqyp=iTVQDGzqfdc7G=SecW?{k{yhdK^gu2d;1=+u!FA@_)7Wh=d2xU}>f95D z>l(O)=|O|*%r_{&tpkWPyPs3i9_>0E+ycjxB9r zW`g7f2$~@X?*AU=&U$FS+bc`~dtseSrX--$vzef)s(1#7v3li_wGh($D9IwIfR4^S ztCGzG%DADWL~uE2CbkPC6$DghEK_lf*-W6s3$0~zzz@H_ zEM@}JoH%!qYhvDJuT*mm1R%;zioTIB;P8lg_I!81#HAw~4%?-bhx^%2s$WrU4l3w| zadd_&<;p$2!Wv?lNoTpNc#l1xwa6&B)@R6JNH-PIbPBuRJGuk(o1mcDxU zy|f4@B$aVn>x-}8FB+XZ5?hL>B+2wxU!nSkEs8ihQh)XA^B(KqS#6K5Fs$aS>|c^# zmHYg^cxL32JbPHvA8US$hqMzeNBCn+M%6gN)@zS7`BZQGSWhvlb_FOppF3Bq@EOgW zZaoz%=*|nX=BWYPH=QEdGrNLb^DJgp88{xj5@dEQ%%(CXGkxKHY^J>3tzt!|j;7^g z$Y}cg21KtN6)Tx$ORvV7FIzspynOA1H=ZKeGrMAnX!-u9m|bzbc>p_^T@gI)k!q(N zeDG!+_Zd@AWjjiI@Pk1^w6CL$l+KCpP=BqqiM^nW_2Omj1uLv?f_F9PIuNabGuDO4 zyDAj2ty)M4XWPmkgiR!?1^&3Os$Sbui8-06-SVZ!(M%~6vr^IBIxe~O{`dK;QE3e& zuRut)f}UJiEnLt%q!|T5y9N9Wx@RW}giymn_69@|M;S&@Dr)E+`Ki%y#j0L5WFFWsR0OG@ zn;?pv5b02GK^FQVNav^tE|70BL9}pILGk%r5)h_V|H6yH57kKqg#rqMm`9Q|!R@MU zsV%}df)1>&8Il-JKaiw}bIAk#Cobf1$Dg1(d%itz2U$(c%sc1Pcaqe%z9yLb6`chC zz737_>pbbi(GLqhY8EYn#s_VzgrkE`hRpaXX*gl?2uaGMewh~`#|1pbK6efMugZFM zCdtlZ#@FL#mml>L3?)sI401n#P>YhoWg#6w@t|Y?L-IgmJDKd>Wt6$@>4d@>myfS5 zle(C3evBGFZ;m}0#Bz5ooCGi><@3`m$mbU~p}8EADU7e9KaV>GZg)$t!;Qc; z{M+-pSM7m57dR}Q$T+K>Bx2?*--BKRZ2sZ5|Hl99zlmlPU8t|_#8fXh_M9~Rm?SM3cmVq8n580O_X62yc8mYX|!qBTG-bwrFP&0cS_<<;w zDdwVTv+_oN1M@3balI$JQUtT|KCTul+9ariu)Pz^$~($H(G@CwuV0k|Hhz&?gusaE zp%hWJHIU588+mf=h&`@{#j2h1Ms0+yc`)8nI~~uS?+zMVpovu_vm>^Ab7@NV>jVJB zVM4gh)doR(CzTFbAWov>v*xb&W6DLh-SmCm@za0cC!>r+QQ|(`giH>JAjrJ|d(lDZ;*1)vMNCjd zjzo(e0(Tam$^>_9Ml>>5Y92$7*3q^QNFLZIic@2#G%Q(3<%l2Zlp1ZAG@Oz?F_4gX z0P;RedW|)^6$KmgF9Sj&d}~7ZwB_~G36}@2I+Y#;_lW*F!IEx@Y0`)+2RVs^%cB^E zsR&u~U=@t<1Bv(IzfiN_nBPjJNOBmLhCXYX4XU7q8YNNsAkDMGFhc3r9a0)d7X z)82hFsESY1Am_R{BeG)|iEEM*_T^G>@+wxGm?}GQi!Ls}{9ANR#mT?+N56dc!zWq( z_G^@T5>#FuR|;T6XAxZh+d>3DDtsaxp!w5qHU#McgdoXgDTuxzjZs68E`SRHO19zv z6sh(rQeQMd&;{zLQOnT_(gkqA0|IRcq{;ZvpPDX!3j!eqGZ-!A0&`Qvw%RTr19Smg z(E6z;h*7MapjMK4)0YO^00zKtte#ISsg4!(r1Ud| z(gXUy9`Z%|R>{FD2UNt~J>=O{^24f~#rHQrkP(Ydg9YL$MzDzj>}`+r39UXbyff^&Ahz?C?~Mi#+pmAikN>@|3WcZEr;m9s z3rXkx9WjJifyQvabIgM}IC|=+Gg_~q`Kte2VxA5AaiC0gOT+=4V2*kAE6iqA!G)F1 z$ElNp^c-5Tl(8Hm-H9p}*T2L(h}$HQg`tSMJ}%2K5BsVFwnRhFuD`|{^K7XashqYz zEo+6*N#(>BSYGV%LDj}dq)@dGQcWtKV+#A1VFKwoE#ms7T}LOF_g^OyhfpGQg^t7y zco_2#Wz|zzFLkf!U_c077Mg=r8zRni!qj?ayVq-=RlQfehU+bu=50cnqw4X;wZNpDYG+pojnB^zL9v!CJ8zLoi~BLC$f~3he({8_Kh5#FcrhA5PBd{XJLE~Jo&Pbxh|X{Hx* z<_w4tW6=4G>H{X-E(wvO+jUx8Bv_b8$E^-yaMxu5eW5Dc!eUHL6{?C;8`n=Xrxa=; z167?=aZJK19myAklZs!94>8L4@i;Gca|R4h!}~jau9JF65Zzyb$W+mZThtrWOM>Wj z5=1}t7eDUm`+|JoM+OVRiu1ZnhK5JpkCaUj3wPfeTWf~bR0D$gC7e$|S{ic#JI2Yt0*Z6e|{ zboyvi<$@u6vZ;&SYdQqz7BgM&jpXm*!NcR# zA?5txH6g%BCP|R5WW#!726GmQ2@@cq%ID(tK|Cb5M?N2)jC{O=)nP(z8V})mK?K!m z9EkH26DFlK`TW&{RSTv+ju*%DLP`(fV{RrK;r!x%ARQ2K3wWuVHS2klGtqjA5jR#x_BYrqgn z(Cy&`HZ8mge)9yCeiJt{loYSU>EYg zV@5XqjZ)L--ety7srM6emTlpP^-FaD1Y9DVgD3G+=FT47i@AG-xu{jKow!98b9Xo9 z?kB$Ki+|nkJXP=4nh@@vUIM)bM^pvOpqH2$c$ITslk={`Keu|n$#GYryu5gEa@tj% zwFT%xqu9}DSCYi_liy(4)xg%uKV)hN)aKEC>A#=uzxS&9b__eV!W70Qv#(mCAJXW{ z(o;Qs&sLnn3~wKMMhw~ql^P}HsF03VwnTjxcuDtX(<|xzRFcPE&-2d@*&K#Uk%gr* za`l3;&dZ5iqR{y||JGTb=G~%;S)PB3&Z)usGe76sf5(r8Sysqs=hOe0x} zWHL}xt8Z(`(wtd*O|Us0(Z;UDjA(3Egx8V`2);||_n`4SKuUC3PNsejU~?D81yicf zq1dHVb7H;~?4weWGcQu|^}MiJt?#LnD($ELr&jrfiBw4(@_?wXZ<$SVEa zQ-II&GItlVKi{U@y4jz1i!Nq={w+Gk?EmDq{MZX`ii$7w!QAYdN5=XjcF~jU(YgL@ zmnBzSu$6{^qS#WQA(*^)G?#HACRbc92ZE9^^GLT9!2&Z@+&fmJg7s5J5iGc8`d+yl zjf3f!a5j>w3qh%2{9?r%je}!AsbscCFr|o<48a_YZ(&3QAm6U{gXToZv9*gt?}AB^ z_nC$_mK3w^lKVZNZ(ijV@O)obTe|N+1ZY#L(akX3eYZgpLDmG@fF`Qb*~{%&9ZeE> zhkBhia1hiaTYYWctfxC~Tz94Z#XUCyxKrTHf^Q>-dKrCv9ursOBtCZlr@1TR-EhsFaJiUTO>;{GN@})e;nwYi(%xp4W`Kp zk1Q@{m?IMxMd_5S9+<|`y?Dg|>4IGxQ6kru4u}ioc~v$o0tZ4N1pJ=95JHg?OYeb` zP7561N*T*uJV07BZ<3hkX^eQNF|W7L=$HKLcEjP8t=Nq!$cZjO+$KG~PSlKN2#uS4 zd4+Vz_?jSU#yn9zM1$`>{~-daaC(Ru|8YDTASIsp5GnUD@1HtT^&!I2_Jkf^ z!W&<#q2MXWn)dh#`U&>Qnlq_&PCr3UG6{DKRg>9$;DeFQKO|XPW^V}Y+gaY2BB9N= zYxhR8#@GZ4#rZ7@^YDgC)@VN7aO+v4dAH~S3C+Jn=VXok!&g4$?SJkRe@WDEZk9G$ z*zQ`FH3Bs=LwYiKfPA^jij3P+ko@-vj8S{KTdcr%Qu8e1_7tSf{fguE^oX%y-HPM( z6a^c-;J7^nkfGiG@$;0dQDRL*-mIMaBtY;}$(}k&;D3>Rx!BX!ustn7?gVrG)7b*X z1uuX5ANY-L4?U)Ra1L$j7WiE@Bz+^|NG5dwd2N^JF&DHtZ}MI(jZ!uQsmGci=Cgy& z1A;3I3hY*-9&zeyeQ#~;4%aWmRG4=8Gt*K(L~db;+` z)sZXCXz!d#c2UPzo`U?>*Co52e;aj76U00T6t_xyC%D{lR_yt=QOCI8{;=VEA;Q1t z^elq2bxeEj+XsDlXZM@*dPNVfIS$Wo$UcGuJjgb#pE};J9J*}m6QzdQ(iHt><>wdcNzE9zOXCF-cIXdmU=GT3=Ljabgsk zISvOat+ZX{QoA6g+Ob%Z6oN8*FLf#yn}PAk(VG<~eZOCk&7cTMwTKJ0K9WWo8mAU> z=YoqBNAJ;qZaMd_tSIKr1P=?&fu`VQNaH8w&IIul%p{|>rN2f(1OBa1QNR&XSaH8I zR3ffXt0CHwHg^vtEtsNIFS7KJ>@coNLy05jS9g-6Qu4D$Zwd-02E8e{k0g3iP1Tl}mNRc{8YbT5Z0*tpLYBIKTYV>P`Jse2D5(Bul&#RptsFo+8^|>)lo4GH?0b z95QnGj9>I`|ML$9I-+*f(GmCI572qge!wzNr`J3CC2~0|bjCfp+&P&i)yh?3njI*o z5fu>hSlIMfI~k0Fs0yvPLO@P3RN}^{*653|O5}n|)1i47S=iTi<;VrN3}^i?a@m2` zx?W?BTz06=HwK$^SDZLYOQ{aY6ReRw5-DZM(oE*8mhV>0n? zluVI}yT<+(Fzh9Ax$Vd$b;py$!C#PvTHfY5X$HE%P{j|wGZkcYmhFic4VuPO(EGmP zCqCudLIo+(>)xc{zTkc|c4QQUvZR;=Y}!85C7STka*hYOjvAG42B``zi&9%4g5Z{>2%!tg5*ke;pkN1@eEPq~cTF z&(E=E>=PxDax)Y-!!nl~(-h#$4h0tr-x9 z;2u+wD7e6^rcO`-VwXhP3fCsz=Im+0qH~_4+A~*U)B*bW=BTo+gJQH$HhSJM9^H_M zPjl3lo}}il-1uHJ7@eeNuzgkgj6f$JWEVM&4ipp09PQ+V*S zdqprTDK25R>%;u}05oAC?r+0}yp}@xdmfm`Qx=WJy`Hi@F!u+#NU{29gXq{g*r}u&RU&;Qdv$ zfV5`5Irt$FS1`cX>~{TSO7X6*iC;#W_fWk%;PaP8JC{7{cvoc2Ejv%kuP%*tF4>^v z{c6RQyegS*5N;$c4{Po*qNjfMS%Hw+-za?1?O{BmmVa%|#i^J`e1P8k>(W4@?L&4f z#%0H+6zin`i?$E1v^XkUkFifY2jV01DwZSOMOR|3CF7|?ye}7FM_eg_M@{)TvjmkO zFgnBtiIfgA2=MVzg#N9FT!;Lo<55LkYU&|SkLPx@gaH;t4SYHt9e<_6 z?8KNFX(@~4^yi71c2vke4a#gU!MWbW3_~`TB@~02qcnac)`R_n- z^(A*?LgS+bc3^CvsDIF+@c!Z*4?(%lPItOI>ZsN+EGR=fG5>vJx}w~9TQOR0MoNS; z#1kzyBPGHa;%N$t951T=<1{AStDG^Fp=*uB zJ9-`C3Tn>EaW+#+6G0E2#r&4S`e0;hR!cNoNlOg)-r$KNAdOC0u{HI8tQH2H%CDzU zh)9hkE@7xt{JJYB1)m)Pl}?ZB+}T+6e4o%NgOF&h(wevYn9};2-}D84{da{cstfhF z^l>XQ8wfXZ+H=)KxuGya~Q*nqFwld7-BS-%bJzeZntLf0&wZo z(k2MSidku)0*@XUR@^QzjIdr~R$7?nhY~%yS*vw7ZdHr={2F&_5E_UehRmw(6sOKg zYrB^zM{N7p3XJ6#3D*f)Gj%Xs@}%3SO3_sC#Jp@#anx=}N1w}@ zrRPcwk*as+Igz1bcq zNjJk?-M^l6^Bdp#Id6Pp=w?lgI^b@JQqbzHI6WFg21|g?f+%|qwc{%~fL4zR@_1OY zZtB{yg(!sBf@r7{5Vk!wmJTt53#>(QhxBOj@Xa6JAF?Ixe*s5o8jUL4yAi4Gi|fr4K#ccG)BK6Cv}(dbm-1b0HD3?m)dM{^-*vTT`L0~o zdCQNvuDjp;(ckzhl6sU1O?O?r26PKlO{%(3UOM0>&n2L{{T|#}P-cfM-fwZZygsfL z=)xT%OozlJus3xJLr~PCm5S~$WK3K*5T6?M7J{N4T@XXXRXw6JrC(9hqYFaO3O+fU zAZ`69CWx;J;tzOw=tmh`qo_v{gm=Be&x5B7)UfI`$2F>Y^d*mo0(42x&33;}DbU@6 zC=8e**IZzfDP7mJrl?0-^SIbD3cyc^lDff~`R?drj4uR|A>*6%HBTzi&d2PEX`4<| z*YOl|sZyJB%Q>1tb?s~aa0k;}94YAkqIwO^8GgaW*rkDdaxMoP8yBMY>|E>5>wLljG3nz4XM zX6y`LP7+>Acwo5XB;j?63{dqoL3mAt>R)D(@HzvSNXT5zcb&0-X}ar7E;LPdoj@pD z?G)X$1aOW8-+=DAsY!lNH5YlFgYjHL;}7c%Jo4&@7w;O0JcJ8~eNal$_w&(Iw-sA+;!cVZi)&wEpVpjsYRDD( z?W|}MgR#16tg+9YtuL(ZTv}m>T@}Df8v0{mR z!0N;lDIRQ(TGVxN!%7O!RWY0Vt_9F9v&!D8%#6iEM@3vAzhbTltY9s<=p-I^z3 zAGOj}391{nIpj)LO!#}4+W3^s^K~X#7aA+tkUfw0UKX;?Wg+z()5RN?*yp_B5lI54 z;tl%O2}}an^gYHt7sGykhTYW83E%5t*ymU8oODZm&WDX5gGNYbHVG#w~Hg+#>? zP|zwAgC;;85{wEeAsrqHS`~$W62EUe{xQZs=U#KIefHk>+}o?GZtpeE8uOoX&Bu6r z;~QhJJpnA-Tn1wmK^k@!gxzQ21!fC_A2mZ0D^f?eU{qtEG@=)zVRym90;LcFEFwRp zd8t^DhP?^S1$c#FXa6!u(1aijy9*Lh)=Um)ti7NZ_K@^)3{23{oKGw%hTSEBX=-r^ z`k1k8V!1%lywxQEsdCyDaz04SX?7^BNw>=-qr`*!sIunChF#60*58UbR7={Com9%w zLy!BQJk0V5Zeu(vvKwb8F@QZZ&6pE_48TlIfdmc`hhuiIZ$a zaKovfnWz!+PRV0Sj>rVDJBBMz5Q?|YIZK>mg2p#z%6UCVc;^)Qe$9@ua_IShI3RJ7 z|7y%Zk~|hPzp1JCF*SuQ#8Uq3wbU=CUo)xDT4XTs!L^g>xkp!CYl|5`O|RjjCulJ9 zMVp*)KilWJnp^)GYOOoU<852-3Z%|Zn0^-c-goi8AvE@hQYl~=Adw(sCCb4J0Vt;^ z;>x0+xhHRw5kLs~b%rB4@nc9-eMU8|)cZQyplk%WKdcFQM*m1jF4=DL3@IXJ3Gwg| z_3774&-0AM;qsV*LAJNtpB|1Bv-CjE;ASeKj&>UyoSB{#NTw%x1|Np#1ZZmu@SqeT zh&9tAjic}IB+FGoTBct!J<~JPj@UE3Mq}k7c{figrVII#%8yo^z>l5+96qU>U-Yi@ zu*GvEj~%}5pH%)+TW{n^#qn%%AdDU=g&k9)gK)liSOP}}6{5_FZ&f}Zx8wZR zbD8(k63Lh>v@X9N0%d>MPW#KKq`3YV3A8SwtJc(g>G-l8`{RP;(@{=i%gbVr5_WEz z_;yW-8m1Gyu)}bo)ohJ0$2Zsb7R6?;mD?Bg4<72K(!C*!&AimQtX#a8HkYOik$H!e z(&JK~uEgJ(;Q*T{xwhcgq#G{Hxi~T;M>kL_+D_)!kQ_?4p_;mZU0>@%avq#~SLiOD za#zOXj@}jSav5DT*T;*4nCOas?63R&kAHh08wxXBpR!nc8ydr-4B4#8Y>HQn6RGdtuDdYoNcmzFn>uE5aiBfKv0TucxiyE@Kbig~v#{R-z;Qx>QUpyRSf zNrE{;O;G$6KQxLn%@yC<5b^lc;rMeE3s?Ry;7HYDrG_cS-qes z#+Gx?eM=BU~lB_{KD3H zjd zz&kXkV||yVR6{UuWO{+38(FuKO}*+Uz?_G#UKiXSh#G?&{WCc4@F_Dn2K(Y~MAOCSHCAOF*#B9+kO z4yn~be5?uId+2}j-D8ewfqLxQhIyw^+R>v@=_fa3vi z2?8D<7B=CZs=K;*VEw!Oxn%$D_ARG#m(3FtDbXK)b0!oiMYAHUc*_wzZ6`ai{Ft8p zE1Uo6qf!~H+LN9Vfg!=Hv;@$%lsYfqu_{P~&d}7h&`011(;-}0z>%%*)=6U1fxN^ViSrPRaIT} zmg=_an_24j*`qyMo$7JNs1DaDMxL_&HzuPeHBNDG_tpOb2e`PgPmdd$Amh7mW2crM zQ;)y>@M~vZ5^ij~*6{}3MWmma3GNSl03YfR>S6;rHBVpyy4{s|h2Rvls=EUnaBb&*^$VXY6YBd4c!7L3iVckEN?+0c zj>*KoQ7YAUjbH*7zS!-9s;Y7TS>F<PRX4&yaZl99 zGSQF)lOV0JxqW4xs0;2}Llz`Slpz~}^hBFrn@qEm@)LM@AxKr*1b65KsN~!or;!yw zs#+Ipom-%~ZOU$}NLA~C(YXbkMtdR~RjmtZ`hqSiu!_D74OMLuL_-3cBUwl8iN>Kd zg{9;kc#Y^(Ba&;(#pr%bW=BE8uF<@JYc@~R*PK*~s*a}HRjEo-Pg4|*Yb5>+4J4m* zO=O$wL$n~(RZffByF~{F9XW8fi>bq24JO}GdIbg%Lpubdl#9^75)ngN2BaM@GomnW zG;R&%jBKZ{w(lqan4mCk`W~vX9s9E-N@;euKW}6Ma!TkS6X%b1S`$I zIiL(kK>eZQq}gxAlONP7cVUp)?rXbU=+~YZ4RY^kNz>*BgvGXh8U|A#5}$b1`M>yP z>=Px2VG(XmOO;;G`rAkA&-VlDIluS;?|=ErUeWqW;iEc@6&sJ@tM^`g>D%57p5=@0 zz23;&`rwq)ZqdaLxSQ(OuYbqi{OUhH)nXr$IZ)DpRM2F%YIL#^`V%-!Hk2eRO*S-a zTZ){>cyH?zdO*MMQ65L{bRLo505i^y);^#5jrEA>_7t`b9k!&_z=n{5b zdhB-<9@3XO#mP(*rcJtnDVr^gQd2fNd5S<0)3@7G^2<+m`ugWS?xX(Lzx*++1Xa;T zk6jFlL~2;DE)XoynO$9O`>Ld`c};zg(n9L*3xAM0%U5jK6lf5zw)vXJia9?+1W;Ac z5>+vsV9w9P93>QY_JTP-0|F0%0VuZ}7>w${$Dwn6X1ChwbRtZTGA$T_IX{C(g&cLL zOO)UDKc?ho8VeKyV2z_5OP2gh3}#xp_D_<0B5hMh?3&40?QE)nOP;7PALU_;qUD;p zQ~mbnu@~n*x*Ey4u(;LuJ6h+O)U4DE`YIExcwDSyvr#YDfs-Y$1%QREucTjONTw%yhVI)&cSB@6NUWttdxl7p)zyIH7OT0I zA*40avpqwUt(Bvg4lz7>dbnp8#={~A@R7B-M0u}d;*tL%JgekK;GsotiNQmg9`D&^ zxm`-32&*Of^AyeMYvS120T@Ze!J1N5*d!q+EaxryQ1luFDz-h|xbkb1>he6%W^!=! zlzznZ-0?i|+u=FYXAKs4 z=_pNfq$3*k#aECp zAQ@*c;Y*GlQ5#A%lX25N{9#;s>U=*22Ar?b-9o(dd_Z|_nsJ1~4NgKBS90(10ETfD zk38+)VIOeA>zD;NYsI+|KRxe10+xhv*2@#tSbTSXLJ5}OYBuu=;Ttg!Y`sw4r?FeF zwI0$~f|dW80dJZ;o1!p8wQOKq`?oF^W(Jy>DQ7yqZ)rX+3O_t4Xc=cl+j@|}AZ*hpT`DaoO8`f`5n z|MPV}_(dPpP&|EK9L2M@2gb=W518)QTd{0ucZ(g1;h0ch?42?A%0RH@49sOX*5R+d zHj?v0$DGUs##VMK(&Ki)C_h9!b$^c8(gF!#%{NmNivjr|TiVtS0~K@Z%gIQzRpDhz zyTdqnVM$<`#!8vsAy_Oe>iKjQ8|JK9m2{F6b?F|)b`3fK&HQR@mFY<`;mY1IgpvyY zp7k_E$=Z&r3)fzIa2zo6sFTc&5IT{vg&I7j1MEXDnLQ!gJ#FHR8KLa9S1ytoF#ARJ z5Rw0YQKm(5(#uf$K22t99)x_*y_~r4*jpM152$@l_PpmDY67A<042H? z6PyjWJZIrR2n{gyCuy-n_Y;jQ5Fw)-TH610F}K~`u4}A9jtxtF;l;(cKa%5Vw}>|S z)wo6KSHOK!H$fc2<|a&dfv*J@31uYwO4{HHv!(0%l2VnUW#H2)|^-9qIO_&Rk6)1TEjP6Eip$gK)a6wdjX(twx z8CVBw`wB@Px)?6VYEUE!$=ipw(XB`q!vz5|sFg?f&wf(r94Boe15~wek1&loZ)xzI zOdyjF%`{Sy|H(hZ|JhRB3Z-qP{!qx9dFhiM_|8XKx%u-K!vErm(*?5&IcrsWW7C4g z1#`*6X2VhCd@R;PWYQ(Kehn_UopTB<97U#{-~?4knnssIJ9<`hz!qZiBb=ZrnVw$s zriBP4nHp0U%obtZFg?D=UZI#;o}u}C@IpvSC_lQPR(RUreb@6m{UJhDC_O~j>K`J> zV4d_3X~L>KklaiU5tc3w5x381H0DpQ|BVND>=UH`;v7j)4GKS`kRv-$d|$Iq)K_Z2 zsW}G)(I&FV36h?x>3|c%aFHT{)0OrItE8kzB!`qwxTZ+pgcTGBJ{x*0oBTy*G zYb4l4VyW;6QK(W{uHxq$&Dix#VbRn9@nSl@G(k=0-!(;5FOZ`);E#BB3a4x$3rInTo~OlG#A(qG;Mv`Rz_y;lAdykZG~8lP;-v}Gne$# z`(AqGrI)WBiO1^BtifLafW77$@iIO2?r-_w7yod8X8H#99_`CS+ZbtTK;jgfOgg)|~Ku;0O9xeP?cs5uO zr@-o!BA7ke4F*35^$jz>2{IMI?9uL*D1=d0Xu0XV?&Ptd4b7V4WJGlnG%G7+j}1;0 z063Lg4N7oJy=>$08~}v@eynRIkL?*G49TU2;as9me=nIlwr4oMLQ;K)<(zz@x@NvT zz!N!Z2y22-*x#P)v4IS^gMh>bDD3Um%r^*tA=83bQ-}W5qvtz>s%+#oc|EtAt~`6v z3si6Z40ug;0FOy`JQrbe-_8H(YVH+^A7}scYIIe=W75ipx~g6RUdJxHO=i6r*@A$+_yi8G*%{bE65x=5t^ZzTx@h zL#8M%2wT($9r004$q?Oo`Os;%=z_4_P5IE*eDNoJ`=clyQmU*c@r*coyk8M5o(r;P zvRD$(*l9)a&e~VNC}{9p5c6$x#w}*^aAHUiq(9OG)!wm|K*$&qU=I^hQiCrj>Xf1B*{4d(F z&1Z!qA}p6=!NlQ87C>n)VRB$HOE@l|R+TUDZ%>Lr1yTve@0b*W9N8i>eu)Pa{Gv~y zb&WeTz1u9FUY%y~unC9Ze2ZsK@3|JQuuLoY#Pd*8!TxegEZ=KuMn3=Z>a)N1`G|Zp zvErJ>^UUzqmxARZ+YJRFfKW@EQ`5L$q6=`IXB8CK#&XAP;x}}Y4U(`mpppdNGQs_N z11cZ_8YII9g48sAXmnl22u-@nL}JASj}^r!vK4c$gu#yyEXU7r2M?SpVu}VGa45N0 zQT!rbal6r~2(*vv=yt$>3F-JrB7K0tbX*doz@GddkD5#F(FRy05q8$(I@Xjlt{wfb zWB@0pIUhDb$ae=(mtG=#fI+A~NM36{I;_7D3osaDK!C{i2MkP|Gzby^!m7OHq&ikL zJB(6_X4b%AX_3jPX^*i18Ww`sR6N@tT^jEA5g=W zP}NYaAI{Kq2fZ>@bHcy0B2F(ipx5**TM?Jd6Z9?-Fn_Nn^e#nTjXX4udtoE0nw+>r zy=lDkL%P0I#W`%m@Bi!n{_p+`eQTFL7HM$1Lz;VS#G0__z zTb(fZdlAqi>o0|pJPQBwgS5`9k$29!uyd>L;(xovWz)a&zhg4-ZY@873P;l#vRJgzFq1VeoW;f^%Vb+%n@jpuOK4{CG7@cMZoacgra;KL3c!sIv4 z;Es|kBUR5f&@HZ#)+*R9GSV<8v70%XzSr}VDus4*l>F;&-=Sw(k-X`H4Zedt*q}C~ z2OC?h1h_re5cUU~AIBeTGAO#y&HSerh&uwE<7vOc2m1)2V!&?@zCr>J1|-oQvj(Bz zEX=yE8HQI|BKW(m85ROYc-5>KK8MgCDS;pa4EPOF1DviO2nPkiM`FJYy#=A6gaHuf zcfgCsoN;@N+{vrc zS3PC*EJvv4?H~Oqf9Z>VP4mSRlJX?CZh`ltg#vuu**~7yQTqy(D?nukdZropgQa^z zFhFI@)bNIiNSo7globP1hTsxCQ^aUuOccyk5e!fnhn}y{pC9%O2F98~$DspM=7|fyd-z4T<%3_8ZxPewaOved znVYERneP!$IGsnhm-aqc@+22P98HiX)!|&|U(hFY+g$FJHg8S_zZ9U!LY zjlDNXw=`NKV^)>tC4W{uT5jZMDKtz`wPV$sheEK)H7a zPxk(V9Vlf5VPQJp>4fEJHC*9LEZ>7BG%_Fj`8WRUS>zM!JYhRRk0F23#<`-8PoHND zH3q>p&UK{qRcJA2$TqtLqn|bE)g($&&9Bwhmzu)+t0^?CcBaj{ zBnoaPQSirp`MqE8E72fGZ$=-SZs#njV?2j3x=OQEL1q-fZ(tOQqCz27WAr2JO$ipl zFfry_Anf3Zt)?(m47&suO z8yGy?Mk}D8{evUDHyVFg1Sgo%8V3g`!(b?ncu6CgoET?*6%hA7)< ze`%$Z_6LvqoTyW?BrR&w%j2LUi5l=JZt4Xj^zO8%?cxR8w-+#Vi~7j-;sxA}7x0$P zd)p_!Dd+-W=EBf0;9js9H(HPbJ<#-~3NqFYF93pI847n0UBCx@z{3jw9<$kT-Zc}E zb4$g?is1#oegap8yTI<}yFst=@B*+R!dyXWfs_uI)ZqnC<+V2H7FD|HSa)o$i9~Ss%aa#?t3Idef%62<5(LJK2_Q#a?$3TsHXBNp7dPeX=K$%RA0^ov@tQ-`0AsbzK9>Fsy z{B|j?&#n~pLh?)mK}ZLLXH~Mh0GRR6CC`T2u|MEuV0USMDPK>X(F7ii^7`6t043-M zM#8h2=%_7@Tbzzw9`}si{HzrB`xE*QTezAn|JrS0U+UZqC`d1W`C7a8PJ-VTFeP;n zeA>##>bO0Dnq+1`#GXbCEHJqTmI}u?UBt9+85H< zR@&ezo@6G&P@&^-+UP68XixmV&ffSx``_;CwCGrWV>5R?$KlDRI5-&4|9$ZAFxw~l z0Y48Dqmup?7cto%KsTkJ3eZ6@kOJ*g`E0M?pVcPAGT1mDk55M4pW9(z;EUCw2y|sG zY%-0_!(PgE+8^he4LrQS<3$yM_{aWqtz%0sj+;By)}grUV!>*ckk>^QV^bd&3-+p5 zus43?fB1L*gMX}X)s)@q7RD;ZUD{l%5Tpfbg2x6eie9p! zyQ6~^#o;$W1kBbx1x6G$k=zme3g<`*=7&bCe*Hv72?1=y9b!hIpn(xJ7y}^M$^O)h}2D-sQSqXA_d~Rf7avcW1T#hypa*ERLE`Fgd z@E`)XDf-7Vh`@}O9%8MaEnqo8fC+j?O2f0i(Tc@w9C-rgO{c$e8m%>dc5EFEfJjUr8qY_xi}5?=QNyli!M&X z-L!Q4#2@;*AN)|XbkzQuPH?tvn_$N7n3IFGK^dx&hwUqO8elg!FR%m_#AcfK0}wf- z`i7ubB@>KZ)R>ad3yM*4!5#i5<_nHXFDOof9~ylsB$7X@R^-?K(Tqcj)8H$v*FZdJ z;#Ygjm#>22H28|33Q63(nWHb7T2B26zgnCI6U1?>E!hH$rA|Rnz?6MZ?c$LBEFp+P1OnZUC$d1Bdv`NB-zIni=oy2@If!hDfZ z&2+-U(#)+Vm9!Vqb%?x)x^Y(|1Rhh5 z0GeIfXr<)x)s-{w_+ijCa>*8jr`}ZV+`NA_wETRXiOq6jGHSwW zm7pj3N3w4hkN#=!=DG)*25@&<4L+q6imU0U6|q8?bEp z%OjT8kB#nrY-C4C^xNx>El`?EqDKM?Gliaad}aZzIZF!I&lLk?%9@ily4gcDt(F1n z{xu5vvx!u5ILLlA8*Lxn*8<&ZZ7MslsWh1Seh6J21#I@=eaUXSjQ<^5IsT1O$H6zS z;B$REu`u{XQN<-?kqOH}fC;cIfoHJw=R}}`h5#sm$MYEnl&ZyaK-muBd|zjWDD^Dx z47FmV41i!>DMJ|4>B&3ZB5382#wI=G*4F3E&uaSseK#-+09UuR4b7Xlw5R|)AE~p~ zUsw?Ac}XpJWk--1yZ*g zv?Pm2h8Xf(>uTAOR2cwq=!j$>C|yFRCc!rB1tXF{-!z;g&JltuX}Otx%nkLADudT9 zQs+^DbMgG|rCjJdJ$VeNyOayP_rP~l6t(2MUCVj3g3S6;q)>Fu-mOfCwCEh}lS zHJQ#bGTY^fGPPLxmBD4E)~6sA){pS;+8bV)(2VbEQ=hr7oLJje=4Z#ry>#{JL8{GCZg9@5e9wn2;ApsRvdu%L3$Td*xy2aXP)AQIO-+l>){3&O~toCpyC%!$#v zV?|o9Cb$E?OIBPQY(iKF(t^3*9&;9?EyV$Ip8Ip81#>}we`vBrrU1%;`g4@zx-!Z* zS{itw2*Ty>el@j~uh^PPgG6_nk%ulQgdoXZTiJA#_%)hbw}bD%5x^y<8G0-hWy%-yVcE+GPX1QLP(Dk}UVc2?6Oa3CM!=l5K*37qPgRb6qI@kmoBj>tXB*`6L z6J6VQ<#C>v4qYWr@>?`8nWtpmB7N?7gX+?ZwzSrDav){@G8|E%U)*L>YdtTc6f#n> ze;CZ#h$|P=80R>$C{V=gWIV;`1XH#^X5fl9yRdy`U3~!PM_I| zR;sXl07^$jv1a`9=qOfNQ$O_439A|ZJUY5Gfzd*bZm>O8#A**?N8LuZhL0ZNTU!T<-oKM;N# zM=9koY6fM%7(BQ4$CEM!alQp*1!M3W&{NUz_&IcV8|e5DR}1_@CF;n0Qhsgk5S)?p z?dh$tZ1)MpJSY;rZ+hOb*-#H>)1 z$1rPuSg|||)EWEAX!tG&_B|Ofi19$mQC3O_(ssHaVp^ST1zT&4tV57WuL-s|SO~BA z(326K+J(MiyhTXI>cTpkov*gD+~^S*EM&maua$nL`SiuLYSu>lr?w@R zriEsb3_L%BWe@4O*5%X;)@jT4nvq=dfAcfn^gn!6;D5%T!OKdMYPlk!FKorbzQHm* zEhT;WzQK{|vU$XjZ{l0+D;g;cJuERJNYT0wGiD7JOzhdIz@fEX~00b)slEKA;Rzpu? z!T+F9t+K}shkGO5q8}ZFPlPF%k;4Fm?uQHh=aNvQNCHxFq^oo38;qF_?ElO)iPP4L zDG734vsL8o&=FZV4(UAe4Qd<*V4zln?UIxFnVM3yT{IQaZ7UgSb7ljH)9+V}V-Ra( z^lelj>#jiF?87lSf{Kh&7v#Igz}xIY5FW-6OCixea&V{eMbm?5^&3Eo82Bi6ZJ*Og<-}*CO`}I?G zzDKKaCD~X$J-|#+;gpc^cctgqLS;<4eOlxUhz?rb)+NOtm8ujd%*4z+9sW2 z^zHG~Ul_|Id^-4M5A$7xN2e`679PFx8~>Hh|Gkkg(1jfIuw{|=Jdg(se+q7M{~BkV zw1-jx=m9}=7t#V7TLX$A>m;Pe*?5n+a-bfaV#qp)Ie#S-ET#Ww(I8nTZ5Jr~mlfN% zwm5XwN!FhgItirZ{v5MT+RyjwOGv?$jcbb)g&tuFb_a$6E)SH~YHnl9Lr@+)+k9rH zO=KYn1zIoUNor1g@nMM2)KCxPs7lO<`VgIr5<(xvC+?pAi*Mpn{+^%Xo3T%nI35e2 z89-^%Ed=Kr3-A$4uCX$n!EaKlD6phI5J zDd|3tjxXDBe2JdZrd*x|@E~GFWR$dBdV!(s(zkc6-tNh-WKKf}aY}L%7qgkt35px{ zeO<5+O$AL@px#7W^76Nnmw(eg`0`JlZiK704k+Y;1xgi^;#=yN)X`aE8HG$x;BbUk zK_P>1Kb(%0L9U~%F=%G}9FNY%qP}#&CuxnL=B-a_=)c}|BV0YoJ*C>=k@9~p%rCA# zn)=wkW_!yeuVZF)(jpPs3`bt2knx9DQ!&I4y^6v1NfTGOcY=FkgfgBRmEF^{Ux6lH@Kwsr{y zes}0>@Y=Xe6vGWtgE{TiddcrVrq>3uad~Rmt&QshJwYk*NiwJ1(zwo%!JauM8sj=w z=#t<4o|1Npah)TzbtUZ<<2o@`q^}e#X}4AZh#~DZ$|U`we1pOxL1{*R-Gz2`-=gqI)?Vh3j-KyPjO$#k2lq${UE9&yxX#svL(tQs`9!h5dpq(s#s9kfIv>}G z2iEv>!!fdKL$?rQ?)sYDQanx>P}MFReo^xWCD5$$?alTv_*^LlK45=hj#Sn0%O_Hft{h2j_~pZ zC~klBe0wm98ZG$cVxl!ik(|`Zs(CH?)Q!|~qSwcQeP(D>1u_b2|6-Y>$77vduR~Dt z!ecUedSjJQdQfk+F8kU)<*KO;rFFIIe-V~Sn~4Nk3WFnqrhGIrXo={kkl4U@IEO*q z>wBW4_saMXR5*Sxk<-FpU((;qKbYGImZcMuHs$g-N(;sYzxJ2wA-2$?qa~r>)_@&y zzH+wpCbZ`zY&|_Dy7jR2v|H30xC>5uJ2>qR{@Ty{+20cs4fQrW9jaU4fY0}&Kd}7c zz|v*pB<-sbwjyP-=V&jcLwAVFI>88AA-HBAM#-C^`lu6(u$7$~7pz+rE(hn3l|x5V zgcX+{A&OmF%^49E!3bM(i$@GT>CZ7@qV}s z&mTUz)tRWm?*kF*SA_Ti+NO8%i>nK_6)!pj*Td0I+0%^~QEU}ZJYA!KwXnfwL}}C4 z651rM zw_Nl|rAgf-dgt+@tJIePTd6Yxwww|E=A}NdnW5sQ9n| zO|Cmn1Uhl_%^GWw`-Fa#gU&h9I?vbKpy9I=XTW)~7LfK8?xw^_E*Y&%mYfWmujlD6 z%~g46u$5jKY}re5b^S{NA%AK3@z_g)@BO9W$NUoX4v0Du@zOwk_|o94yrzO5&wOd# z);lEat$Bzd0zjN8W<|_g%H&WAP-52Af_`4wVavuHDXND+zeA2{SArtO{;T;+)h0?S zvGRjwAyD_{c0|Ri&5YPgqe89FIHUyG5UBgtAbSOjH-ikT^=wrzUI^6vxgE!ss*BiM z?PsgpDszpWQ#_p&-{BPVua~oR=B}-FvvmO}AkgoSkH22e){HaUwOTJ`Yv_dNtqFm; zKeywA*W1~e?`GS7HCvb84}rRW{rzj4Z@&NQ&1_xDQXo+G=XM+)7c6h;0R%tebS

p%I1(`mct5eyrGH`!QV4rUvHb&p}x zV!-x0=;lsPd`K@Pa8!4{U0VzoD~g#l!2^0{s;ObhuUnCinC*r(|ERzdJGW;AKs`q> zvh~n-`B7uoGlu24aY03NA-G;Y3CFg%L}ocstUBUnb-}0lK4So`8i4VpSG}?579I84 z#$@8(xMCW%u2VjXneQH2q7YU?|14&|?|ttJ zsSCCC{@Qlf9gd4XdW(7}-~!p+4rKe~f8g7`<7&zjf{n_)ovb%OAIHwjNIYZK!{7q= zXc&zHsG``+YzkW^P^3f$WhB`YX5(t(XR-fiG;;&%7*?F{NNL$sVV(_$J+uRQ~c#CZy&7NApECnzDV zr|q{O7&BA@pT%OLqF z@r&ObuoT!rgWLiy(1~B3linz2Sy<3c=0bmTfgt=MX|WT}lf$jL^ArriB=`8MnE;$` zpZLv5Z=|2i4a0@ENrrx&lm3Xh1ZNSab(a$xS*Y+>s6XaJGzX=5LyxVh_j+j~QtPg} z_lV0P8&^)GwypQ{w6t-TC6mXx&eSm26TE0?*$_ECFb*aPUuupOxp%a>i~UKg25J*I z#t9CD8E?`x zz4aXuxH)NfGB}{`%f)4R|I1(YiW)u4o_PPv!^X=XikIH=PQ=p&GK`PTdOi2L`@SFf zOW(eYLA=3_rd8A}@CrZ&6xtRjdR9bbUl};yf>B$GVGqi%3PHLoF4$VyV%CFAYYIV{ zL>G*fwkuTEib)JXT0|F&jac&*n-NtWVFcLV8CuKS#C~ikvpi6 zKF`3@;)x|~AJlAGY3kKU9c*UV>A&B9&ikigzN1yBlGZf8-g*v@CBC%rx9+W5V?GlMmiTr^CoeGt z8uuYe!ipHR*T)n&q<9s}RnbQYIb5hk)X8oukrWi(M50B*@P+fq=hinOu1{r&FVF*> zYaW^cO_Dk0+b{NtXoD5J9~?d3o#Q32B_uyu0-Ns-^v;nI*m8rOpaiy4>0R$tRkoI< zy_TIGG+PhvuGY6TEHKn{d{X0ZYo)Lwp!219`FRnbaO9I6pc9l8e*%1<_-pg>n|3R= zmv%xkUqI*65zR{}>@^S5c=Y$Q4^I9~U;StQ;KxOJS2;^w3Tq4|pf=tac%3}_x zDMdn;Zsny)1U9P_O~`h#fAmb#rj*#UItF;74Z)PzfJaEi7tZn3`(AooPV%^Lj<4SF z=sho81$*fMwh{nczB*+R@;M3FU;n#*_Upc73fetM-XR~Ij4W1{1mtHd8 zAC$GOBpJQkpmw-Op5#DiU>&^ZWa_Q$aq|b%nefQ^u~WGoBg7tc70#_$()G>3;8E=# z?qD2>I~2X60jL#aJh`qF26a(Vh!SCDr1F!C!8f=?+z**SzDav2du6T(gRZSrx_dD7EkFAwp8fgI*UDzD;BCMnq#0jpt4^XnU!B7`r6mr>{csiO!8z`7=qhLQeQVo^iq<#6DZl0 zYRq(WT2q(YfvhW099oF5p;0}0zCDn$v6i5+sAf-V=KFI%+Dz=}27M7!vnR=XgAN$> z3#$e~??7@=v#aKuu6hx@eTssY1;^o4J=VF(o(IkUbrz(Z*py7{N6F(1-V2y|`(Ua+ zytf6W+In}T_)lAYEQ|J2ANkBb{AVJIrVCxK_?PJU(rJvMS{6Gp?x6SwFSlmV>_jo= zNl1J&C4h*!6|-VNhKQ~$1XoLdR8?7Jy#lzJ6N;I3no|Rns)wxj!B?Q7#86*Q0eY2M z6#r_?@C2G_kNi`2=&bk;_#VoSV}d~n>jaD9CmbgEHnbBk3WQw|#3x1Zo2_Lf#bz^5 z=UMUN;5q;de~i|e*35SY(UTqh;LW3wWLEs`Vxn2ZpfW_#&VD1&-Iqw8oTu?Nea#!I zb5VY(e=RlU-Dt*AWT7h4e&_0KJ=(oE>F%75q{S{y`qSW~+w*&_uD(=TT&gX|X=Fz9 z^*yHdD?jH;e)X)x7cG2AD$y!=hOCY0MdFK4ToI&EazQH*I3e-nV|v-yuuoIbXYdu< zm|nDqqd6{z&WW!W)2o9qiXeRkUopn?f^hg6N_;WOFdKLWxRjeb$EW=7rMu3n)?Me4 z__`#%UX6Z8uXo${Yd-s@Kli65$9mm*;tTzSSx=8P9t)UJ2|*)UQxhvkGGw%kEvqAG z9|m@?jWq+Ky^CECu_R`3B0@)R;=y<++C~>lr3Z_h#{6-PIq`KgIag`@$Fg%J@zutd zjHTyf9%ee6eY>BEzfApq4@^5q(XonB1T!aA~b_ayM4u|H1J#Hwn{ljsu zOohf6yB#{xZSrh};Bu<9)EQwZjVB|)j+H)2W-RT1JYY#QN^N3JGz#R_Y8uf07Ws&@ z|4xv+sI`PZp$5rzB#b9P4MiwWe}a(Qgvq- zuWe|Fc_)|-ImYn3Ui!An*Kx)9KN(Mru~@m9T&WpJL!k_=Xei}sgi2)D+&OGXt&dOp zOF4Nu;6%rpC#G`Xd~;85md_(i6DnPFW;O|uifAfX^9`{nm#3*zT&>qvUE5cF?%)46 zz9KSmiY#4EE8n1Ysza_u(KWCkz^JMa*C@J%_<&@*0&!8LHi*m7HB9wE>WAjqzJkKw zy>Y>Yu7S(7QuaUyj-zX+nClNsmFg=7x(227Wa!$X$3+J{!g!^mEJlYOblRf#>bkGX zYJ* zz+mh#;_QqYK1N4oWL&s}PsSxg1O)P6*kcWOvOVOBn(W~qra??}R!oDL9(hIvW13=s zG4!Jzuo_^D0KsdOP8c&w3YT(XMhIqlK)s~>5e1e0HxQ`D=XMIBYKW**)#d<5`IQ9o11HuQn8;wL1x>C$ojk zH*A95CFUBrlUFC&ddliqjr^}TJ_yL2HkWw8=Gax?W*;PK;Pwc8 zJHhOO;1N;YDEKyHrx&A?G&t+e*y|H70fsmBhps-z22E9IlF&!AKXmp%VjQ#fMJb1_ zKFA!TNv?<)XT6b278Vq!C8_qXN60SrKPFpPyctV$SizEb=XoKEMi&kiSrku_n0n?NuFcKSWvGgX-x8vtw#XrN=q>*$V;y>G<5nFI=F5YO?Uf4Ku%bm zsVHyX@CVa=|BA3pZvVZq(qcWVqlP{Do< zQHfPJB^ZoO(T^w~>h)tX=&b+JHcw!#`y5RT4<1ZvJ!&UaC|%i5d|_G-Uj3N1(|_~& zp*9dt{@F*>+s5@^)hRmi6q!(xls?{u>Cyt4{S~tV&Zssy-~y0^KPrYcZSoBbM-bsF zDxc2B_|3+Lzc#942K_efUWI-32cCa^8GR0v9_bjrktI{f58gLLh3qS!LcBmtu$BC* zcY}WS5_ecH`KaVsVHT*o^|2yVn6C&Niq0Sj-JCjmJw<$v=B>)UMp;@<=$4Sf4!zwk z0jg2qNaOpuq68x@h-|V7ik7K@bO!w#q2STIo-3{oDE-NTH&;xmOfuqdn|Q+jZMbhI z43;-Xjd-sXCF+&eFukR4Gb*r-cLi1`aApCL>kc{09N-#)Tj%}xjO6|0nF(OJ>r2aa zc4y)AINuzmv&azV7w4~yTjvYXDc4K5N;G{*4^eBSO-Xcmn2a{B^0hv=*cVrKX+9ae zE?mnSDJ^@x&sAC%uJnZ~{o%ot{;F^K_}}nH14+^Mr8l0Z7uL=>>mIlV3v2tTplm#2 zs?20ztxuI%E(bNe^#7X$TcE~M4n0{|i(*}xx-F`Op{YuFX)&J25@;RjVn6RoyRz|& zIW=f7+xr%!zDn&iVh=bOmYlcq@9V2};HpVDg9Zu zG;xlNW$rrDi;$a#-Ao4*np!>}t#BCS0iOy$OM&7ia^XNn(6=F=bmr!Zj{||?qsVp~ zU$_NnQ#=~?5!fXHpvR9MH;syqSE436Mdq5$|8`%? zrk@O8@Ak3NxsN5k>&M@|FQMSRvi}`BLi`)0j=@2d^ff~yOm;Ve>&1Wt(N2tbIp}G6G<{X z+%vRXsr00Q&!8Swy709@vDKnRor%63@u74q`A=MsnoczE;vf>g%w zu|sxFKm(}61aPT~b27mb=zNU*AbA@;+T~*^b}8Ue4Cp zIYUZ*U7RxrlMO+1SBM`xKw;Y@Pd6J;Y+`eFCW;9z9rb z#u`cCw%82A1&95Bfpo!zyTV{qeDDqQQ*Qe@W8%79+c;mY!_LAFWE@ZX4Rs!mbV`ix zN~^>pV|J_WLeD(4xFJHa%cKJQ>(>U)&e$uu0*j(ol%MUpDuF(5!najZy z3y#USTsz^hOUqqsN)Ior-lEg)3Z<>Zv+l}U^qRjbj4Xcoht~h@TM~m;CP#Q=VQtlc zCctXby+;QXbFAzuOPILecE=%m6ukGz6sY`Th8A2G^p!MXijKj+Inw*`bKK84l2`;6 zo_B46biQ0LD54vWr{Y)B8oD6zDO!bxfx`ItG^8P@>=_%#v7PTV)s1-*7B3`8T1;Pa zi(p0)MPSdE-J^ltn_! zE7)|^jZN~?7{wSowsC#FTLqrD+yYjaC>`#${Dp z!wg>A_oxeUnHH%2o;XEQwNyx;fd~kk_Z^k}R;&?LV!Xvvy9vLjHq>dV416LRQ z4m_eK%M8FIDChvb7q5eK%+Gw!zx65K7c4UcFkQnLoC((%)GWz~EDnQIM@`2FF$>9) z^=^ zdrRkUmIDyHrepRi)taae@1X5Tt*MGDgU}6v_LNNO+pn6>lQ1m>^Mt^&6W&aT=9%SQ zb)W~v@N-d+PozKH!|=bCs)O6DI)MI6?>QosS9KifB~rOR!kg*F@Zn2{=5Qxn{Y(jX za8I{L!;^zz*am4g9Z=5nwHc{=$JhVKpLu@}-t=blcAPU8V0FosSazmD+2VE&VN9J? zfgEga(bD_v8y@Ech=MJ(B|fa$|;*cqZV1)So`-8_>+E z;q3}@)KDkCK&-O<>x5IFLSr3d^hoDIo4VI6x(N4*!rabej2GNDvaYNEh|b2 z(=tU32T~2`1fNx70EaftNR{e8j7-zr#>Ov=K4O zx;9ciX8`L9|M@$9;@_U+pdfGoaTL@N41()P7W4v~kpQYHI~@yI#lj5x3Z|IK!3DwA zAPE?P7+0qeK?r7!!*0v!U&cb9%=Uux0(`~Y4o%@?#U&=FONcuoYD9lgT(4mh`C4kf383rx(*JPd?Pgj0P<#IrgA6-Tzgrg4(u1vJU^ zLg&c>faPq8$^yw86p*8Jq#k%JgWpp*C=15MxR%Ik+3>w|^n82JSI1iRVD-V#%l$#u z8YIieYr(L`{yg&yvZg)cwQvGq_lwFM@)5akTfC2hUg~MSez+YG1gg_fGi&NmjI>=- z;ZdK3Yv=z|)I-4Vk*+rG-;8-I*ZVhPeVO$byJ0t*T^>8jvr1(BkzlZ^IR@8!qMk{* z69lQ5jE%w(p6K*4AVs4fs&HJz`X`R8dIQaO&?2HZJ`17>DOmU=d4E1Z;cS2tT?(+k zTRp44bT>&}ORC*>VMR4x$g{I*_PSl%k@vs+Wv{&Wr5J*wL{4YrR(9Dyj>`@3VFxzcz~4X97MMHhEO@7^i5=p1+Cul}Du`mcTMkJG(u)^2wU$YIPJ9J|N|;`D&opgYzu+2T5q*?l51ij!WD~ z2|)dpvkR;o9XR)}&@kU2e9ec01y=JxZUJ^N9wWoHAc?g4;40;Nw41XpAFn(nrS?}T zmyDsba)X~t$;HN8M*l@OLd4afh-A5L2h~@%ugjV1x7j-qcP4v9{H$W*<=^t%DECPB zYGqrcXiN8khh!h3+-uCAihncD$YF7Z%Y7U9-mX%cj>d6EM;eJI&jhYi>2f+TF`k}D zZtF@<>0T#aNGW+be@wnOr+Q53M&YROm5#1fa0^8-pUXG0XZl>v=v1qI+VZ_sCrtiJ zZ~4PN@pA!_t9#%uxj74Xi;=j}aMlxX_7&pZYXf9`??W(0U`ONL9Dr>WD14w=9Z6{+CD#uddm<^b6ajncO*+URu=9krcbo(E#Vt>s`fZPD}J&s<( z-br1ndZ}Y3HRY(PO$nX)c?v0)U3F2Q9!bip{J}J>rOO78_XunR&yE&kkp<6L0$AQ?Zdw_Jh)hEV^IXRASN&9vokv~Y_ zm*hCy14h;O*`Rr;)%PXOv%9oT&E^h2xT#ZfIEZ4*$iAkm?WLCD7HcUU!W+EL_Liqc zFZMEAqhT{2D07Gyy?ojiea|=ip3r0PfI&g@0S&sfpqoz6ge@)5bV;hW>?_k_E@ z=#_zqAH1g0Lu)B>L0HcI1A5$(2EJ@UT&QoQXPo4)XEEzBNdLgY=M@M)PMrXb+xa zX?W?+Bgvt>znHz)pAl_39qGo?a!0sWNWGn(JF@3?LJ170ET`(2)0Q7YNdDYcJpRC6 z3xq_W7Dq@7ctO_vNTF3hg|~Y&&?AO4Qa@EN`!;wQjl_GfHDkgt+jB7=)G%et9-Y-~ zw&xrzNyP=S(>Gtqe@x8w9KnwHEV*DKQ;!u|Wjy$JofV&_w&a2YZsNgnmS)xDN5Sla zn7%+WyEA`-XSP71xE2Bg27{6NN< z;0E(9OUv8Gk16cH&3w-;rc8dYl~+A>Nq(SnI@tyIIY>G8Kc^%=FaQsQbF${rOnW+d zzB{}1QVTN66Y!GKr3ZSN6&X? z>%B&B;W@F6M66TUf$B6&C23U3xPiLSmx;;0UR{qM(bv&E(eA1_KAmTx0Z-%20c54z}W9?n$?JGk(T@dxx=CXkHR*lVdE(^#LJ;|V$#OAV~ucs!B z;?Tt+y32y5FPu}(hIwY^YEw<<6m5QfK)ph5WXD~W4N4}9<*Wq_;j*Bcd+%0VL0L0#$6V8B7^fBcUeH~=zqFo;QfwU{~p$-E;&G}Ty_B( zT@q8`%w^fa59%(bG@W{^V(o|gQSVD^M5IkWJ@t8P3(yJ2; z;F>G0*{B(z4q5?g;we@P;Cf+Q7e#PK4mljg5DefND}q2R3}sCFX1rVk89VtoA_zkz z8&_QIP_kA91GvVD7~(68Yy4_r$7RI;t|18KpA^ZllNiid5foG5$KI?k7mG>$1LkxN zB$*>|N%+W&>JbO8mPkx?YX)$Q%q4i?LaYFLfu^D!J%DRm%MH>qa&(02Q0rAP-yX0V zSV)NTT*5i%CG-6OSHq^Pui1F5TQlDv%>7UjH?9(>OhA zVBeR~UrG>9*+ZGrlCpow7*FX!M0zFN34xMsl0n?lsLxqF(5!MU zxv-6Fb4F4Rei0sxMmS57>G_?3u%oj|;9Ig@COwv?KSUTqmL4J*lh*E$x+Nz+L>O|` zKScZ|Yc+tS-K~p*~XW*ao#v%t~jv}sQYs}?TJE6w29viH}g`M9hWu>X3-{SwXqP+)CHdlouB;e8IHl4l4}bNiz{^L)>MkeY16_c zy2k3RZ3qc>y$nEm&pT({St9NVUE1N@J9<~R%Vhvs8cRn2?zepZ=l;caO!WY^-i089 zu66_ZWh+B0kxr>U;sP-q|iPB@X~a*hc;Rn z-tk~kZNNLw6sWz$itM<6%u;vgKzHzC=1Z;C1;YY*sQ#D$;2`MDk|1cUn&MX{01m$f zA%L(vfn$O_QkSgEQH-$_YCJMj7(LKQ1^~z3Ge_eH{2p}L=(-cYLCkjt>eRYD00OHV z(@A$qo#-wHq8^UX?uSEX$2u73I_N$b{;+&H#f8>=#~M26i8wr!yszzP4t$)~`Tx;uvg(!A6TajF?^Pu<+chd3qzxltu`m=sIi29m1=~Lr? z0obb>fZ#;0Keon&I)UJ7J7B8`VxZY-kob9^dIM$J-7NByDR#b!(%+LE8aqx$csO9a{ZLJPr!p z;OK(q*tHBvlzplS_w$@ow^T!_)N<^4nIVg$;LM!@Y#ajv;Of%k(2uc+&yJZVy9k?qGwd^<&=m=wxut ziu9x;jIi!XRA=0Va<0on;cntWdo7z@jK!M0khkaedy4MCdxCb(O2C?h)~YzEB) z7-2QR14h27pid=<6$K=+b3_kZav>19psSlw!a|THzpaQLD|7_Jm)1`&1jXdLd zYA(sv2ujOOk~H})iJ5PDfndk2t#OiMgyoWmWt9wpYi^{yBuSI+k{fgk7HFGoN$YJq z%jAod#aOjwx7F+(Da})XJ7dF}*|#3Jwsjk`)q3lHDa?EBR;|7j<|*lY^8l3i^hyJF z72-os;>+d<;SklgKmFHe?I(`5?B$wRp87ura|E~k%)7qfC%-S)WxCK2)$9E#VH&r$2U*`jwlFG_6@v-^`C4zP-iA4y*N#9sen^#KXr9CwkMz?rqmTcMsV_W1kXw zmyYw2qD&dkK4mG2uQd_TjBiSaN`g1h5Rst;{+<$|T?Us~%>tF)bp{t{)7;l~g$!=# zx`IS%Jz+5k@q=-`nTE)WaG@b;sx|%K!3Bda+?EqoVx)ZaYIzfuH$S^(PYr+lA7U#P z=V24c^l(h(vo*NJt=s=YEVf2cDE{H-!HOqy>q+60SErBC%tAX24@0w~6ms-q-tzPR z#QR{;(L( z>1hD`&}bP)Q$afYfz83oiq!uuh<mn>xJf$U0VQ2LxzL3; z-%QSdx&q@n@86Mw5Cvvks!m*6PA`w^(udeY$Mn#KMI((TvLte|M?REN!P~Mz%AwVL z(T918jYeZ2VN;*wOozxI_ia2H0}0!{m~rxcto-78g$7(Fp2&BulIvHeAcGf%_cagG z@D{xmz}rvwSAX`KKNQUy^?eyw-uYg8rGO*LJ|x9pRLhI5W@33c?V}T9?Byotu%;cQ ziCzLy>;#MD-J`n*1FAjJr~QiA@`C8Am@MKhBW~NlVDz))Md2|4R9e4{ac)B}TizY| zpWx6CTq0rHonyAV=&3?pI|MOuV_;9|1p3cLnwmZQ;WF>%#!HT47t0HH!&<*=7oFLE zGRxb{8$YN`n`#rUQfCiTJ;kV=A~R-qUDNdj80hN7@VGJx2Megaz@%6J)DIr#;?81aob~arP9WQ&egkjnc$^@NDae`Wooz*hFC4xW1F%wKl_jasLY+{F0Zsw9HYG@D0qw z8@}MpfBkoT%tX<78)*t3kIZmD5>aDIAJDd-RlGWVxv&N*At+`-i+EvAII@m<2r;^* zm_tz1kPB+lG^oqv($J6)6fIkhpn!b~VU3jQDFf?Wvhhm}o2^Z<@uQ#q7IX{`dA#v&*~xh16AgmpVPfqq zz&HLD@MADF;$PcafYo2^x8MlngOhCOs1ktS+!QtvDmCDPMn>p7R5&8YB$br^MCTzE zspq0`Fe=U(Wy>PL$qWt1wUfpYz1I~`vrB5D8CY)zy-&Yu@3pa0;3<tc2 zW&OL^de=5V2uTX>!9#2{Ve|mtrVDeE3OBeVYiiZDSzyw}vuFuFkJq)@zS)o_=-Iz1 zxwhaix1~$BdJN@+WK*|Z$guaE87+vyR=;T(gPsf71E3T zosam=k9vDlsA%l(H0g!}EVt~%Lp%vJ79Cz95rvgKZs^X7Ao?|Hjk~P@|Kmo$Q_R<1 z&W&FhD`s2+&b-p3_nX}SE6K3N9#g0!qS$X1Og9wpN3@Xtm?hgvibVmdsuL8i%LTP& z7pfP;r2$Ms%-=60YF(62jlvw1_}60>;OT%a%}8Ou9HO!FV9g9X;gYklCk+7_3-oK| zyMr__Q_%1cQ1NQsq5gyilU|nw4_yxc@zLqZ?j~}E>@$5Wti4ngP5TNal($kG zjPC|$P{wIgxt{_2_zn)aiy>(LI0TCPt6}v6PR*+$074nyo_@yT-Jo4eAVbamOu$3w z5udS&R7eB>KP~O<7aO2Ydd6p{A|-(Vh853I|JLLI12c?-L{vk`)nPb>AwA`@?P`U$ z0FqnW^1&mS9`o6LhZ7X<11AhTv%HE5<>=#sQlSMvS^6n+OxNlq(_*Fe9@?-xiXqeoPPX@5bx z(*8h%3i`OFI?VfXJMGWsDK_=-YH*WYE#G|evzru#J$Q&MAeXJe2%7-n+9sCTl!7BH zwkZWiM-Nuq$YWRs;T?}K0>f}jCNPmAck=2tK~jha{K#j1`Wu%~aHM=V_fd@x_!SIJN$!KD?gZt{HHKaEtUx|O4P&fG z``uPVHbg0IKuFa#gdk0W3vOX=#DFRtu%4qlw03CDTU0?ws#HOFI-Lc$M!!9pr45`} zHS>PxGmK5gu2U!K%)+2-f%v&|o|5C%*R4j?>WD$PX~n8P?s%_>TEnxJzjFlEcwTcd zLCMNV{|V7CsRJz=3jG1sA5}Jahfe?Z&FK27qV+vj72$j{de5pNJd=UGYgJ?DU)c_Y zCvZ{PWR%iSa0J#;gQU+RdOhIkE@m@aZdl@ppZ~o8H(6I#I#Gw^O%(I%u^n z``Y3a6jNtk8IQ9Gs=cA$4w-e@n-HY&biob!G$6EMOWirEb&DX?pbHwO4=W0_unN+6 zy5L5d)Y5{%zN>+cL(_P=AjUay3?Eip09fx1P2=f;sP92t56%(oAIxsYiZq@sh-v|F z@o{LdYRqnjpa48g(h+*N7J~~Z`q3p1ARI|+D8zg~%j@qJ%i@xtT9E0#;T0l%q+CiN znz$t9J}QMCbW#>HSIK;PIDtcAd9d;=LbpXoUMcqn#ZQ8(Z8^}ZUo+nzjCAI8$B^y? z1Mu$X`3`|%p%Wi?^uce>w`jj#`BlP{w0_Nek9G@S9&(T7JdJvEF;sq)@E~ck8SG-% zqyP3Bxk4HhN8e%Hc6iI$4quJ6AC9=ah2XC_Lg~h|>$}g0zzo_d%c@P+aJ?KVm1mDdD$qR6_B4G`I@CN4CS!0kBYME@)g) zs<9zZjxXD>zu;VHQ!Y;giZYnNpU{WcLUDCOhIJF1ChFYQh@!-1;|T=Da<1vFv3wUd z>ZvKczRo%ETXb=wPM?3am_N`WdIvb(`_k2yzU{@gzx&bqU;eUJWF4v6CkFA#3(tMu zXH8)c2cP)40it^hgTM?;D+k2b%^q6F7(|o4CviyLK|pe?joz_~dHE;(tU z6_hYuKm!=YKk#3V7-3y%tcS@_&_Z|HM!leV1OP4UL+)4Yr{I6bR*rw8RH^{6%Dmodr4&N64T%%3028g(9wYoQ_@|H@+-TzrYeNz4Fq_SC7Pd0A?*QFW*-C zct|GcC@YX{PF&MI1@_bw%7n{vv8Q)qPrv+EKls~zDwUTCeC@4FjCqepA2l?LC^4Rg z18NF=UsArZ8}uFeODm5I4VhuoiXiQ2TX8kFP95M^zyuXRhP^Ime83!(^w4Ea(ALnmZSaF?7Jp zd%+Czw!+&nD7>J&J_-&f?*Ng_ATMSu*d#dA^J)0#&{Xc+1&==l!8r*$1ZnQ#$M_t$ z_1XwiE_g$8r}pS|m6nE@ZfWU@!7HR3Si~A%3gi$Ljx?JT-`$SEvnTXo@ETI46=6?N ze0SO{x){8>F?j#`H-7Hs+hW*-5?kHi8O;^xRIlP{3@c~AKL1vU?~IQgRa`RHo#~no zl=#kx>(V}lImqqS%u@(TeCL8Ye2}^V*fTYuAt>>k37Sy`hDMUGfR-UB@tv=DK*u5m zs~8*zPF6ifiSJyn)rFxlzc2Tq^0M{O1i_?$_+x(1jPOT3AS_a=)0>_@6d*0t$-G;cAI*h=Xo0HU`!1}QmNLj0x4bwv+}P& zx%Pao3O_m29FcQ;(zVA%BI?QP9GKo^U^@1nj=?W2gwD%2i_a2HiSp_^3I|gzX#tr= zpJx$3SP-GJV{}zN7nkMfby-aLP28f3%W^j^%QrvwW1sgKk>XYV(IIKw0tbJw0BM}o z24f~*L+~ovSA{9p=33A!4d*sQj1Ix&)~df3%5yAhh^$y+wq2P*02<}K!-g|KF$z6--fgu1khaeil4}#?! z{G-()r*RTaK+N7ntI6$uW;X{qAl7~(;}#3#QG$Ok=uzg(uoe`te#sL2Ba6=ZaY(}C z4kSzPzeEQ@k=$ZxXm^?=_y-_^)I8VZ8TXPU_{S6xVQ8b(@vw7o0cQ?#`X#(b=)ush znS*~zaKOt#s=UWiaz(rqBFTAzbl$I-Z&7QQct8_|0rXJr5qek1%|s^&DJ6`@W73BS z_hg=2mFmK1;BLbpbtA(G_Ag#XojK&{SB$g<)GtN~xKX~X>z!M5r+W?hB%E5n-FrE{ zEfr#jdm~d1Zu7*Ds=nPIc->%8r1~{JWGT1bwcFG7gz13N%`fjy=Q;1s?G(m$1?S|o zmsG`6_d=YnJjo`+A|7NJd z3A}U~XV1$UtFALRBdeIE8aoT zFfdiAqyi*raIqiy#!5!t*)Bt>s^P3)sf(pX1FDSW#oumC)|ShGx1ZhZ-2xAi1`xw$ zQPeXYv!T9)$xucb!{lLcw$Uj{8dyLH4f2uM*GAWUtyl%m7L$d$G#^PGer%N1uwoU_ z1HjsWB>5jhNlfKZGE-bhu>&rtGl#Jz#u-Z>m=n+?9@?Q}nl=EZKv=(nLsO@^=A^k+ zvpm((Ql&;l#H#_%VSw0Bl|MDLn6XfP4l^8|bj2r5DE5XtTz?uhJUgwAG+D04S+-pU zl2*Dn0TV1CxXvZkK#|H>RU{gl#0euw2Uk8hA<}Fre<>&#f?BR%OTw&^J%rhq zm>R4QUXr9?_cb@@>xi?YBpP9gvydbSbT&y2=TXU}wT(!Ubh}(~hqDk!6g9cVa!Qi< z_CSt9i}}-c<^m+ie19-(1V5RQDB2zT_I!f?G^#^2lswt3Q2!u$cn$1@u ze=gprd%@XoKf?aOLCSID(S`kQxEk@vzw^KG&DbYO4KV}U`9`De>Q=Q5HCgQ5W1vYc zm9;2TEx>`|ZP6t{w!EW=<0U$@-*mxnOUIUXXA(VVq9E|r`N?e?G|0IgVW)ib8@Nw-Cz65pY%gd zF?JB+Fc!n?BQ=nR(60&XpmD5_svLB>FS%4Gb`H)U%HS1K*J1}-;x%M~F-hDZ7a7@U z-8?Y}wQKr*vXK{Ks77~$piHwUU!otC8`AiLn*|ee2Y7?D{YK=;D{YA?&(3SwrYxy#<(zK!1s;CS4qxgVXJH1-dP4 z44NCFS)}j|G-^h)onuqjC~ba-z7~6JfDy524o%VYiN!LcJ~^`{1aoA%^U`4yX&GH6 z1T%DSn6L0XgI%-+?StJ69UMSg*7$jkYE$K(^J|B0{twULi=c?1DSwpLInvUDV`u2+}OOU~6s- zpq_oHko*rpnq?Q{L?f&SM1O^eXq_OJv#gYR6H^12JALzca=>zAI1bS0~IcbYnZhAZU5M#^1z|b zF~P{ggIN$R1c?CWB<{s#ClfB0$4$7|Y=2N`v*9l^&MlJmH%iOfdgmJPQ#rS%E#Kq4 z!QBe2db?j;l;?K7R5BKrV`Pk%pIwwE1_!W5A?FwD2R}!6PJVL^b1C!`V}L1{ z-J037k>F)!1MyrhS#HmK&rz1N8%yZ$Zq0muSi>QeEHDSgvWkl&eQ5nW+k`-=b)3we+NMTC?7xIomhmDv@K;{UQVb$rQ?rQIT8?uDtN|{TCq- z%!!S>N9%#TmQtLZeE?Q0hmLF&qpcK#I2XLcZ%k1SsOjDQeW4Kz*hYmQe!fgk?Ld z7X~0Ar5ryPH6nP-^8VBSd4Iv6BE>8bYHW7K5c3S%oAKZwwqX65G3+*RX{j;J&L`VT z^7PrpAOA;w@X!5l1Qr^IyKS_v9L;W)m}ZZA23=&MU<0O-Yu(s2KJ5+&nCjFJl)K=9 zjVc6!i7NCEhy@3R&@KoC)Ns1_mwGv3#j zHQV_H{eG#ULonlgjaY;3yf741u;6_`tU-jP8akE~+i07t!!!(WOp<|xOJWv{P4B~0 zWNkE**35UOjqk$`vOHdr%(tgy{5IS5@E4W)(+2pV#Zv`EC$+xnRSRjZBD6`lLR0r` zD)R`vIKqgu-?>bmo2mF5JMnXOD&6LIl#_FWJ?DX9zPaWcOQzq+r+;2 zNfbIY=&|>6!fuQOJ%8Z?-}3fF1U>N{oY3 zpwiVHm268g{m^OY+e@|u*BKRi?|LU)uFDjVM>&%s7lkuHl_zoa&KKV+e1R-;sh{9g zB4GGAfBHZEn_m<46H1_S+v&tXjGbi30W!-Q;Bw;i2h)yjw+lw2m=(L1FgyrB+D;eT zeRy_>#r!R>BoMi=h;h&?%I&PvDB(17Zg?UrUQ$=QIhFHqD}LFJQ1OsJN~ zP#7bo;_OUROArbyP#hx0bD%&euL$2oT$w27B+&%Q?QC?+p7Dt&hsOOfr^SauHWNNF z9a4%QPnaPIci_}O^t4;l2cj2N=IyXDpZ&)F=>Pq$=o+MGvO6T6fB~7qSRKIRD#M`C zKZ2|=F{cH6>y+KFMRKR6bac#TJG7G|$8{v;P7 z^C3Z%C?-tke^tK3+kGX2unqI^B)<{`hvFKA&3NK?9p{@(7$=1m6V{`ovK_}4#ZvQQZl*U@gYcDIicz+xei( zEr-;YCT+cj(ATuDUCSXgYvK{JVMT4{U1D$QDL?dXyVC~hI|O^`Tj(w zBLPV&h$0*uUo$!#t=U#KOP=I8XdoKdNZmdXEN34D3yt+uP8_0QP6fL8 zOCy5hT)=u3CM9CL-|4mR1n;3nRPy$a`g zBX=7M5?Fo5SAETQ|8D`TUYC@_>oUI>!GLLTtjrxFLSpW zS{qgEr(2l_Rj)Sh(YRL^wp_7oyeEOf0AkZ#+p?E6)z-asy4RR(ihtut4}sWZWz?#K z(NH6jg31AtMe59A2dc_*I@3LI+Zq6=xw|ijfoB1!;6S1vAkH7SEnno6HRd~?qHt-_ z9Z{?xuW6HSa{^+)kR+#(W`$W}>9Or^3VUp~Z((%m<_SiCK&=f5N(UWFe#;%&g`YoO}nXpKn5Y>!rH(2)AC zK3b$fz2|~x25_WCgV3Ez9|_tzWH4r@y}1E z$IzBsfV=Hx4j_x}mS3PQJ%+9vUOYFbW#(P*w|=*uGsb`>Iqc96rLLH606`UWM28@2 zfBRB1-Z@_p%{^GlOx@(8fa4sqszpzBc3^?v3ZYv$^hpXwUQ*RA_mUoKO71a2iMDYy z9%bHiGQOcVhcmAMi8t^dhC)Odncj+qdX4VL0^J}8*ASS!Q*xa9v}C`-|&%t z{v+S;2E^)t%XzS1aU9C!~v#lHTmOk!t-y21cVv7RuK86$|RtdSrnp+9Qqy7;P=G zFax;)d6PC#P2n+kE?La!BD8G1_&Kaqe9}YS3w7jr+lZROOSQ)9vRdO*ulr^o5xwsJ z@)v)@pZdgUfP*7Fk$M5)YhNAJ>U9TuZ40ebJX&oP=sq%%1*Eyr_1z6x?qshUIuLb^ z>LXjRZ8+1#>qb0))Qfb2umpSvX0IF0wkAI~5}}gPG^Ow7h(V@E`AaVZA4n7{W`F~A zb!aezb3|k?Y*k&2y4y0KIx;)fiqqNmPK{ayz{N1QV?yqENtI>{*oO&0ROlnN3 zHN_bMwh-mk^j-PDjo2lpE_4y^*6?_&ayOJ_d}`{mto(TET^~HOkUjJm_|Ap>Z>%@= ziBc)Fa0Z_L#pH9;nw8qGOJ5@TAsMz1za(&q5fr1r77u{}A&GN7f85@!3FP3_04Dz8 z*M0JjO}TZu^<2qJEPv_y3}m-%yIoQcoeP$WL7p_bb;$oKZ})(%Z2v!d?*gn_nwEtT z!*v)O351&jkEN*4?DD@~63Ad?#zfMP^~n$0miKDJaZD3L#<< z94lZUrBJD8MMP1fLCOdUf-yvhrlcSsL`>yUA_Z3RJn!Xu-|t&%ueJWY|GoRnnKe{% z{2+CK{J|URhIv^=Q z0|4bi-O~zYx9+e$9<|;L)`TIq4n;0PxbR$n@wNSVntJz&86HS3*T(4MCpk%-g{pY8 zG~HIiey9&b$khk`HT`wzA0Bl@Ld*$fbf@uR>{VJRpFp2X{Ed=HhnXvwO0Td8HJ(;< zTB<~L@W7(vqI5|gvg+CwI9AdDvK_U`7E#39?NUY7kb1?h>ujm0wc@u#ztHI#Zkm^NZ4EbV`M%Wa#WO#0`7g(41_tLn zWeqpT9*{L$#@}@GQPyxsq+UH3DhIBEgQ2p98ypOkHQeA_s48&X4~>PQ6KMAb8UCTM zP?0%6Mj7Z9#p(2og-VYo6vEJ0sC;*Vy{2z0RK7jIUel4Bu8Biynl^sa$C;phU`EK; zU)&Kq(bqK1Z15M+qxPq1-ecCx{2ZFN?~`d~_bA(cp)guyD{#!Lo%w7gW!uq?@TpD- za69l#0ji_}ihj!nl!vK!cpY}KQ|C#EH3;iMj+%-NLP}ft`0~1!HW(YL_f z36w!=Xuc+cT=0M#5Udvj*PKMiWQTo)-U%8S7mT)zbIp?8?Kv(2K?HE2ot6YDlXB?& z5mclixH8_gI7j*cE_hhLSyaJghc|$JfD3|T8woA02=uWgZeqo{zheVCa;Pe2T$W+5Xg$eD}z(v1Q>$`{$>*02Y|_)URh3;G8Z1 z0yMh){%GIF7W!KP8v_Sne~kdm);l!?H9H7*=O9eGMYDr&J8;;a|JZ-;LlO!5Zo30E zeFbwaHb}P6)s2b-l7b)y#_$IeIgq$iL3$Emf=uwJErxIqjvJDUSAt@JOb}G3Xh9VF zw_%055@h6H>$B~KB^!hqTVZRj1Vv-mis(JnNldVpmRyZ<6bHdCX1NCUI?VYIiCd&X zs};pTDCdY2upWBNidGVwWF;d=Mcy)P^o7gW`ZWP*{)(TQuhYVJQnYSkVc$kfytp`~ z)(K5urU+FWpcEmtRT_}~RIMV?l3L4Gga>U1F0&c(@%VV;{Uxsy5oyywd1}6sSBir~jWejr*!q|wG7==(~DRIFpYIibYNh}d7T*)~4D zEH875j<-q!-Hqf{RRp9$k4^8CvJ`%-twHKJ**EH{0nQl z#RQBY^cOrQt0(qMXB1^;y_8#2G7%GV-Xkz*17$*ts?uPS-0E%hFDKD=sN^ZyW3e>I zh5aD#2FPU;M=HMpuy-6o=rnpYdd{(9o|1ZhT%1ABZIs9Yn@ZP zj43kVolrVeINeY1f9D+f)3=dBPaXrEUVqw2vyKeAdkj-u1lxVY{>=IH>GlWQe&=NX zoOX+57h(D>I%O{NcYowZeEaV`!9`dbL6EK$I>$z1df`muI{S98c3-&&gSGoyXCJQJ zXBT0(cAs$&!?pW-gKkp0Kbza33pptCvNw@m`5$xw>Kc57@RAgIKH)m!K_4K`fFL=>DJ;c6h*b#~`FWy- z4@80wa*>e;=^Y2)Dt$YTL_q2X8JKw_LeIE^^Ae8G?;-87{NBbrQX-I7UwHAkLVD8e zKjglg&0zb=iIsg{eBtuF(Y%PQ2Puoh?+Tep^_BX5rRlL3f^mxf@$ujMTmQRX44j`L zu04IPTT7ViHHL^X*$$p2g#T~?>?=#(yWsI~L|+>7{9Bo6Sqaj>6+wW5B}D=LKSs-y zAnltA7E5?QvI3RnN|4&A2(B<7PRRP3vDsQWUG^z|C|N>Yh(QEp`LRP$-q2( zEg6`HuO-_v#lW0B2Qlpy%{hqM$w7Sf7k~d(ygz&Ztr@uwU=^gN&>oJW1I;E3j+z;- zCe|hV!x3|I#0LQWysmm1#?R~ML!f|M!XE?$&6-B6RP#Z1D*60gB)d%s_mAA~}RJ5FfxL4?{o$@c~?Nx41siK%;AG_DuFV!fW93 z)$m1CLATdc-(_U(<PyPP#3JLWb|^+i9G-b^b8`;xoDK%)t-aWU~r`S4qX4@ zAz*AGNw%w6dCo)!J53Khp(+&shc4B35Vv(|3U#Sqt2G5MO?PIcr!?YIHj0sK+&IbR z9GgW-{`WwFdhf*d$(=wh3@Z|7rxzv$LXlKI= zj5^^=Bj$p{$D>D$)qp)QekqHbsh_~nu-U_5fZzfDgSD#!b2R{|1)WudNT0KpD#5xM zu;zFUw#V%aqi<)`Rs%MuKZ@Xb-zjZW)qv$f8J%!3wB6OAt7^bvrw~Y#LOO+rsv3Y^ zNKol9$G^mdHA^~XAV-V#Rc@im z1UNqq8xY!HJZAz5umDH|;{ym_W0eUw9M9txnC#q)o`AO&uc0GDYCbL zR6Mlh{2k5L-*i94{>RZMjZT5au;)sAJKK03L%|n2BmLw>k7h!7onwOV@xAH-f$8(j%Z% z?VPY`igQXM*X(sH& zbvNnLp0K=VQhUR%p-G?rf#38^UmX=KU8t)`WjcZYPxgvh44uMX+P089IzmA(-2?<^ zD_Ch#@H@bzg5c7#DY0Vu`JJ|chd!_hQ)!f}NkN$8a8}lWYQd~YkrE<`hIkTAQLP2D zCOxdS)|z`-k?$?rLBTSW??DfGb zO^V^_tP~NZdOURWc)mMme!;v}1+-d@FC9JK9u)hSyTh7jJJw`ZlFavKx7tI1lCX&F zk0cc>+mWor91aIbOr7YgneWg6KED1+I~nhue)wzK26d(z=xgzXG4@T3%BkhA)=AdG z^tZ?85&GQlaHHc>yK;Qf_o;Ee-BkF$Fd1OFI3#8drJKkalvEbA2Cb&3qH*LTm8*I( zHM*=$Bb(G_*R(&T(w@@jvcma-^#%iy`S#pUQ z66bBjb6QSshOw7nL{ZPpxYLt1X9vPgyG3nOGLv1NhwSp*|JuiY+V_mn`UhVJs1Naa zSE(rR{0v1gn1BT+HUlU7)HBMJYiGkQvNlAj|&KTSiy(zm(4oL2+QmX2WsuE-`BdZM7^>j1Dw5jGgJ zTIU@nTUs$kYTJe=veYux8Lq~X=^|jgun(^kY^&}JvuYn+Neo(34CNG=IGJ%{I`jIU zk=I|C`#HtvRAc(srl-F49l!SBt0_IT=8Nma0pm)13h8I0`k_fkNvrRkedXyX*$qAl zvMv%Up)$YM)*KUHr*t=gDwk-t3X?MZ>o&%3?=#t70K?$l%Fc^D*WYj7`2#U*I zR&>VR?ZW7MLQsM#6HJV~M}Qy2i_)(=J!OJuTtGAf{fUhKTB``LqNb-z(t;Ig+NHn* z4~2r%7zJ!cL^Br0!tXWifwU%z11<@CfwAlM9bJMdzaWid33I?~l;(m2rtNE5sKme% z@XSi`2FjMoO0{CN28b%lI!^`8P)f*)@^dK7_#_AGW$V?`*mnm2R7}2HcP1UmUHVMt zSHat3(bQ+p^{KJU$P}r22Ki7-_%QTM;(|seVIn zfq8UX5eh>!MhH^SJC57Ii=3K7trKo#&EG zQu?zu<5h0xEvOkkDG=#(9&{ZqquADqxlhTQ2>I&KPPtJVZ$ma!z($AIMrKm=U__6x zw`0UgbY+Hv5y3GOpZgv```*o+VjJ@M?e)_My zH*%8d!MW35{v1NwB~p=$b3-ICknQ;z=x6dBRyPome(W=8Of< z9Sb{646JWXGqH>zW4t?%h)s{)k<7OTz-ppqcts>T%a=aM6;QL;jHy>MYa>!hEf56R1#TJ(>3fLuK!HkpPxacr@OVm~*eO3ojS{AjXHS^s;%*`fQ z@;o5fTOECpS``JPTJ1^i7=eP2>Zm7Z{a@q{=Z+CVjZ-LzP^(s`@}h?Z?a{AAp+43f zBQw3jOz%JyOkwl!hy~HYlI<4v9#WE6^f<}skvK%I0u-~YBg>&Qs=z@gu zihFfft-wQB3rZo|n7P69P2w(wf9Tq41f`Je6Rt52L{ben2jns86%{AzlF)v*8n)^o zb>2!!A=@OkM|L8Jrdr69VB)e?<+wEW%1XqSE6KoibY7zlbxq3(Q{CAg zT-AA@Sa#C`-R3wdSBLg5USUaLaNLBbzoZ=`g^{#_RP3{MYt!6( zYfeeG%5IJQ-`OfZeH&K!^f1Jp>k+ly2}9=Ez}?jbrro01^4<&JIr^`HMxK(sWj zZgY5GkO7-AGj8bEZtM9~4lj~@U4Fxy!z+!bz)KBsN*Wefn&dlzIfoY$t9LNwVkaZx zmUDQS_p);_Ifn-?0-!J$Kf0rU7b6W^l@yD^dzkvX7@S-yOj7k&EO7EtjAKYSzrR2l0-z{R61bk*p9L zOK^SCmtclSYd3!F*ACmm-1dy1k}us(^WVl+U(ZRBI$+v;I zjW3yiHqXkpHzzjJYmd+!zpl@jMyFV_$($;BxSyOn=Rf|!Py39|{;08r)b*M@oUG;I z(nTYvIc^(LQTSj&l!6E|8o>yu@bg2rAY55P$6z>JBN!nSf)QYNb;X_**9fVwBHB<@ zzai>tVXYBTF=21HSR9(eg{$gtgjC4p?7`8(bA&=90cIr_Ar%h2v0hl@ML7nr60ESd zK=YF3jJ0mG!nBgiU)k>pwY>yOC6zEZ;Z(K8PcD@Um)wF)7(eBfO~75rsw95^=cleI zWN`I)=G$|`O%bz#$k)tDI(l_~0Oys4ogGrQHA)xlLiq*(mu*J}17G`W$SYc|Xtm4K4y z`9j*YWJ_PCmayv4=Yavui z`|p`uNhb_}YJb^I`{R6(8Yy+T)$w9obycnT;-?Fi?bu)P)M-aGmkT#)l6$PR%Th-2$OP0Pg|Pu>DI+!`(fO*FV|JE)l| zK1QipTZ7=mcdn*J(0e~IgKuq%itDZr^r*~h1JxF!R(0}XlGztCsJ8NExcIbCAKzSK zZj7M_+m|JS#2{B6{(&*PEK$@~pWe0tHPqKs;GAuz$0c@xMaxJaklb$b_1wd+$c zgVUC8Z4x_@{-59Yr{4c_ff-c)!}E>qsqacyI@S_e!qVN=$Q*19lmx4|C!(%{HQaBa|c1BR#hTgbpn4#%{7O1iW~4%v<@i4@+V0w5Wh$eBD&NhhO*wAM=9&n^LdLohkFtoX~Q$?0ApTj_gV7Q9=qB30;(~yiMVm zx6`hd{-5Jc9ROgMnOCR;87~w;H1l9Z;HEL&3pTS7WV{f9m_@0F#`MZYkapb#^MEv1 z#Ck=>3od9dFI3Y&k=b4h?YawE*Ase_aQVp3ROd*$?t;Jj)g0eqkCGn1?6n&B+XY06?@`h_gQelQN9h(4 zBy*3F0q2CY^7)4^i}HQ-<%gHAyzpd_SLN_FTOD0=6H%>)o+4zB`4?^8a0b)w7>F7HlKxBv=j5vgL-|;6P$Ue42x8K#P&O zC!`uSw?){d0uf+hgi4`&fB|Gg-ofC-iZsd|o?6O{BWV`wQK}AI1>3NUh@lykvocx> z(kT0iTX0iqZ5g$Ywq#rd+sA`i(Cr$um(7Yf*hXJ5I*?fj2Jb-~?jkU*g6##I6^-A) zTU{+VYHCXeT*BDPE=nuDtLKAtLu31kHU2*8YY7fh7@v56{9k+%pYr$o9N+YPqD0rs z<~qbYBkVL@Yt6+(Hj!^=0TAEyjIcSro#WdN4nng3=xhJxcmM1g3N~HCD{uGpVCz6~ z35iBmLOonXdO5x=?Yx2A7R>Q2 z=%tmv6d7^CzN-~;d<$P4nQ~fjfYXt;t^{*@yIbOz8jS96vRQDt-P2I;!J}%f(u2C) z_Q9j^hTAFT$&Xb)N)H}^t<3kdZ^?#SKHeUe*)wlX8Gws|$v-T1^u?;*JZAtdwhE#H zL<9ZKaK>s$f_UwryeP9iShQ)MHL)f|awW+WJVOT|A+Og=&o7QH#Sb_|tIbYbL0beh z4N2Gfn#ewId?VJxtdGulrYHCUwH_?B-T|GwBt#?~{lv%UvVM%PnY*^JdB(>GtNCNZ zkIiFr`Jg;T{CMNX=$!*qg}D>!G$?-4iPa+W6=|P}ynxEr$oLhZ^9fJ_D1OE1B4GFo zrI#vN@!ZxM;@@L`AnmDagW?MU^#LWuiv4#Nc&*a@z|&W)2y3eSe0+(hVt;8JNc(Ru z))lADn${riFM(FsUpf_1RfZ-Z98vwXyhw8&74fxqvTcDNMB4$!{&55v8EZC-qg;dB z$5s(W-vBpV*fxEs?zijuG}dy{{4}ca_OmZM|GcDOO1%B-bMJZhWgu7O|1G1APy{__ zACoH!_9b?>G^6-(oXh7ho4s?4t9E@p@A^D6)MeO}!HhYQAwNcspA zH*^JHw(TpUxN$*D{jvdS$0buUl^|7{3x*Sf-aPerV?}xlMG!+gQ3YW+X4mQhk$KYn1#GI)q#kd^l$8!UAA3xT$ zhK1U58|t$vKxEbVrLVjaQdjEl(B4-YgD?fPneErV#U`2}E{eW7)Bb&nQ8Z0l_8qj1 zSKGfSd3ab}6>~e;ldbQXxB8dx-<>AJRZIN%)rDu#*W(r?1F0YJoR*)tI@9hgN)}Q- z=6wfUl)EdH{M}D~+b7-&TZdvfavqLL)Gai`uQ1bv`5g?fG;pAOWwy=*k&V$r5N2Xx z*fr}FAt?DBn{c@YBR!HVU~k-GBy}Sw(Xk8e+2g=Nukoo7qz3atV@4>tMOe;RY?xXi zMTD+_bCmp!uZWg%K}2w}2x}@?Q1Ux2xCTp$+B%=Z9V<%q!UPXM1`5*g0O|oP=Y*i> zH9tv^&**S6bOP?$t5N)dtqIhI9vnF)e5ij(&0d%!;N41TW0;ZZHx~j;Kl*XO)5A}M z8jv-kr1Q+T2W$$gJHh@OFdeNudcHqK(L+nMvkFyOv${dbjt8#=p2->%xTrtg0C#WW!{Cl8WWrTRruyDFIV~(kNVpE^}I2R)IQ{dO02{y94&9M|y;gW{jBTY>Yp$(I7F1f|!O2uN=(=jQg!X<}CS<+Ovi!Il zvdlMVc$8(nL&Kvi^DP<}Wr@^%zDIiy=Lw8s58#KIAG=uG%!;N450Vn^>@g5Ry%?7q zHB@1aUN4#&F&t`807Z-~CictO`S5I&>z|Y<-_dTxUYL~4jj&C9pD59_S2ImbvUeXe zG&NJrm%U#j*0Ilged?e4&mR8U!Lp{vDK}q^qXKdZ0S+`in4UY+9y2H`ctk+C)uP9! zAAQ9^^cdBn3l5^ks2yF|60cR86D$maDt|XeEKDc< znp4IzB=Ca+68Igz?!*56GI-V$zTo9i12Y7}D{7F(7SWX;RY>lDW|bZnJGEOu$w_J} z1&W^26wc*agvd=6?aRf7nu)Ttl1@=kXM#6_Cs&C92EkSy2Kz= zF}@Dk&{lRoG`jW?{!?&q=-|U>q>CW>_SE!ZK%yl>^p%;JPxg zA6G+Eid!h95I5!i@7%}!G}Fd-X#%m;VT%>39JO4Dhhmd2Yaph`n}&Q?!9=anyA1y+ zeS7Ouye7B^j4b^v9&r)+g3vGj(I5IZ{>mtv8o{Nc9ib#xPpb4%)}5##@r~zP!A%FM zoOS1J!7Ma19njJpC6az`+!p9-(F}+lYR#Y=m9D^`ImrnQ6|y-nD_fl-LmU^x>?Eid zsKg^+H0_Fva9j|0UG_d>#qGN3eV(M0!l8du<6yCwaj@7t53EE3i@?ER;T8w`C~&a+ zn9DXU1&2y#~ZX}-Gj%0d%FZhgU@Qrbo9V?g~V4UZYSA;c@plBaAA;$2d zTjMvYMnFGR=Xv5|1YC1Q&Pk4Z94Vr~+ZIk!_yPbU1P{ZV49 za8oO~jJZT8xwx=qlFuodtT<*E)eNV;1voV(I2_)A0N>!$z6lI80MqF9fG%P9-`UJ= z$BdAk5z;LXz6;@EnrB8x6XRWwkAwpJ@^}2LfAMV}8C`(_Mee` z0B37bKLo{%^%b=%kl3juz+Uw^iW}>KtF0DYfR*D2@9JVC1>k~6cu3I&hycS3#GxzH z7zV(YBpB4S)|4d0NwYPPr!aUn=mJ_;U5y&k+y~rpw6e9od4(E70)m)627C@LNJr1N z2M!`9tP_{MGFpQqneWf;0JxYqs37R;Tv5J3Hl&E*18ODB&nc};c8I48{=qx6V<%|( z;U_tMn#5S7B*sYz4SMLhL@r^7FW z^c4dm0uX}Qt`L+c)K&yNp62mT-0$EoB-}K?-J0A5l1#%0JxOlFiWyiiIK3w03I<2W zRIq|0)8RNYFe0S=t05H``T>iMd3BTorlJFspu6Hf5IjWo&~YLurq#A=O1xNj~^zCSmaOC#p}QI||Gr7N$%N@Ta8$qDMBeJJ$eTiA!3a@4&% z&(zH_IrGCA6P2E5@_$i1nG;MmN-$~u%`xa~Fh{=Wbd)!t(X?(`T|S`tAcc>y>hckVPUvccBt1oAiCwICih-r*Lt>QEQwx^R#RQ2# zQ#}Z-b_)dcD`i7ml5vQ%xF8Eskyf(&sYshjL&(FXZ=Zl7?SK3^Z~TIviAERo-Q8U*>4p_& zNlA8VwQKV{IlC2UupRxzoR?5{(N~1m#4%OKm!T!HO?6b+6CH{SLDWp!TvSe;7`BEz zkxOZ$%dL(%yLDV_=vOj#BD~5ecVa5Y5==qk#P}Y>6 zNV*d9Po#`ac64x5E2bDM)i%J)E`gApe19-RjFoKuNINfU>LQe^ZV>pUqds77ynum|GAj+OVf|McLw&Toy^^TrUJ)^a^gECBZjSOU$-UG@3TRhzkL^TE+)@(n-pli&KbsM@IVzd8&D zHBlQA_B$n@CaMFIIt&k|WQ3Z?kfsQVR^ANj2FfylW}Ei~62e~B#vjTi51hsh^jLOa zD>=ps4`L50pJv4z;uQx1zz|un3U6~5Zp|=oAV4bE<}<1=JOCl6i*$&5vQrX(5T!P* zgVua^0uZue&vN!H&t&YwnvV^U5L0SKJ#!jd%fhRb_NG(YGMmj)%KwE8@B7qm*K@)X zjD3i^OMs=7mSopE41f)|gA9aKL^qN$)U;nZps?+v1Ku|D7w4O?w(Pa#^NZo1=9e(o z$c~JZ|4KwENt=iW_2==3@IE#XZ{C?*pITWl6*Ce;_62#L`1?QVyM8|Osg`J5pL$7l zdKDb>>qs843f?Vl%kS*P?J_>6`0;iyWWyLl_@RRUVb?8g=PEdK8E8+DjRK<>B2r01 z=)tfe^=VnL5Fji$>!%j1s^Ct5fZl};Ux1`B>|zQ5!ft_i0?mq=LO01EK-hq!rj@L! z;AM8tW(^kB`Ya{L^XoylXv2Fp3-&fJ|57fzB0wn9d(ipQnIv2hAYjUmPVa#M;LxIa z&3u1?RAGyrpH?#8pkpCb=t$l`o2yE`qFAn9o-Y%qn%XgXVG>9&kaadcU!|?HT%Sz* zZCtlE?5qI3KtaCMsZsc|-Lo%(wvnj@ey8aa1imr(&TgP=>5*S-JqWoHw;1O{Z;{Hy@Fy16c1Ni zJ8U?#T2?DCeM+}=g#W9}Y9W~Q{@{4@O7E}PH%X|{0cDfss?#&Ux)|&NO%7@%9UWbv zpVn+UV8M14An)SY!T1ui;(n`wfXto3gS29riW0}{u@JjFRV2t(XFrghCoy~Ij zF_E~icqpw|-5;>z>5sGlOshK2$=Y1iX)v9ILPb-Ze%a*X29&4j`o7_ALt0z+I<=0I zPlKOBXZL+FJ>KTrJQp{kpPr^&ImHeL#6RhAzP$;SaJT5EIrnyVxi?crBj&V?b7sW! zc@Wdzv;A-1`lcYuQ`SBA8H|GuFbtvG20iMM?L0JfPJgLm&0TP}UIW)&nmQLD zNTcL}R&gTNE7$;<6={=P5cJv1l#!Wr-#IjW1{VyjS0Mb z%=ZV)T6T1#6E&(#=ULsL)k=rI1B7iPbV)MbA)A_u^W1FEk=9&MzD4`Rk^mfV72wj; zTT5%^djv`ybgJ>%fvKz?kCS~H8h>>_0HN!rf-$PVu~T9jyM#93fW8A0j0H*diif!D7-1eMYs#n`2*sD$SD$% zz^qh3w`_-ZBl*&_DIvNCt$?T`O@Q`x{JKtsM~F(jiTIzo?BuGXy(c4Pw-d$Ap3&WT zM$>N5>=`}$lIJdbSWOv%)-$b!6L_Y~v{^3L`u=(U;Mf1&Uoj32o(HCgfwpP|^76F0 zwgpG8^WBLs8S};3B!-k!{pcl3 zMx}&IhE-lfKnE^a!eqpuhF-F-yi>#_@wJ7?nD^DW_Wm##iT#dbf0&G#&$KWZ=V`OM z{53{v-zwM{r{1JtjOBeF? zY2Cu?;?d$cR2#4WD|&31Y<$emWlo~#$eos9%wO*$2SuThKP1DD-< zlZ5iEI>X+IU0m$4w$FHK7VTZRPe#{2s2=31!+qH@2szXpQHNDGfh4CBG6g`qda^M* zv8sgF!8P(ZN@W^hjQ-F2dG>=w0g$y@?GPYLv`-~G6+?wOx{`y}}P=SPm?*p`lO;MU(-%7l#%N=txuq z3}VE$Yj^WQke1XBjoxm|J7h7e)N@0Smed7HL&I+AteGK5OIieV%rjP8km0Jnm!I0D^&~zlPwR=0&J!&!pv7p{rCfmmuvg*KC|u7vPTJ zvJSKAhE%ZNb!Wf~m*tC|oT_F}a^v!RFvDfP=IQVMcfRg~Hi;3vU*NKsq1+Z)AQ5Z zqU+7<*v6=N;ujM4*(KpcZ**Q0rZ9Dmo^KDPSm~O`y*6Oo$vRq*$8n8rbU9=6wHbqkLXVjFR76s zz1PSrrf;Y7a@orkwK&IxlrY+w_HLSM$gYWn?@BX9{8X3+t!MCupf~LN8_z6<-8 z#D#|qGQ5_W8NrR}+ovDOH%0AEk?E*rSH(R}^Q@YmP}Mvq?d~pVcRlkq zC+*IYwEM$-@WTqN0Af08S^2>YQNS9TGGQ(W0aD1C{bxwPRd$w=qmt@I^=1GPi0UnT8I zT9Y7`PAV?n9V}#hiS$rTGyF82U8CRpb!`~?y7ZZ2N z%%A*S0Y12byB#w>CDC;R@r2ebY<8!C4{Qrlq--o0$b37KW2t>ZQ0CJXHx&`?}x(qr0Tj2uO()f{#j&+P4TU zw&W}Ug~D;URPaF@n%dU|_evX2+{2QTkJXBjIxCVuCrIjy135I@^d(0FA8I;PTitY2 ze0R>irO zoEoqNeUS1`{Mw(w`^BXzxBp% zdl0B|?W%Fqxo!c_SJ;|CjHqRD`^wF%u@hoi6X!dEt$B#B_v#mqY2 zVVS-Ote@rsLr~1D2_7&JUdVukV#*4Z0k(=~C%fbqNof#1E!9*@54Bj)tl0iC^1 zBg?neniaPX{R2PtNB{U63YuI4Pq#D{hGK%S3>-l^4P)8$HH|D#PS_Na1l0Km7UHN} z9Kb?!=onLg{nQTXQ6`v-Vj+f5bvc~|44hJJ0SnQgSj_3P9iUpmv^9=}SkS&I7NRX5 z)>sG_H(bp$EQC(N0lnkM;6$iUD-3QrKV?&KUtaOnZ)gGY{pcyL)h0ly}Su|$5V0Utan&^3Ha$Ox?GnK^!Zl=c4uYH8np+mzuT z?S(jg%&ZzbcK)wVQ|Ir?x%LT`t4SDn*U$bhd%x0CegW1`9b$I`;78jv;ssNDfYxj; z%3Qo{8M&S$({p}d+&yrVfD*z}i8Mo!Ob_~n&1WLs2ssR~!bvhEjxR8jiBAyL1m!C% zd$p#(G;GI<-C+$C$!F~dI8(ExvS8R1q1zA*XOIL^r$bLF>bI;3t*dVj{M0%f{LI^B zLP3#S6F|iGtnhKi6`^Cc{1g|5C7KuIJP)f*=|89XE}#quvgLU$I-Im1!;Cd|_?Z+N zwOs&iTO&vnmgm=w@l5bhd7hvMtR$!TKbPe_yDaY+{$_6%{#HKqzh{v3_lzIYdxm}e zJ>$pxos{U-qll^o?=|1@ zyuZBlaeSG&l#ai*K|y;+2I!b$r=Q2i#q3@)W4e`eIWx18w-OrSq5VwEy8^lR3jT+lx(g{hQ|cWjpPU z^Ue2vv%lC>oaq~C#C&|wkmdKo4_4hyZbmvyf31STl_$kpZe?z&p$7{K!r0Unt|womY1gpKL7A#No-sp zH+gk>pi@@Qa`aMv`QyIh-~ZJ?R;RkW^->4%wb@G@#MdU{z+nFB28?9CpBhBNCer}H z0WfT`-k20SfLl$*`>6rgs#3C;;iHD4tr7dh`b5=sQhTYO*H_B4$YB}c7NagE#! zD~=va5x=y*U#?`UI7{wc`PXiHl8@&i{;!Kx$XB{{hJE!ju=;D+d@+vP_;0?l|J!`s zY*K2($KyNbKFP2@mR|G=m>S+G@yDzeKfIUh(O&=|yLzJF38%*y3T_2=kw*vXW9N#p zFN&-l=Q&H~QA3%0;!_GIrnpJ@DTVto^pw&qa+1FAko?*4f90`LpGcmcvYnq&oI!p{ zxq0TNbjU-RQ$%AfVQtbid?^N>4qh%euHmo^JB;wivWG*LwDNG)jfW%K;i15RgGZP= zJb0Xyhcn{gwJseT$_?~Xc(~%iWDlplet0RhhYOEs*d-J_n18VBAvGyBai@#J^wzh) z;VeoN9umO*QE=oYe(Qi=z&QoAY)Q{?$|s!9^oPNNP4;6RPcP}ip8MWU`KmzAYf{j? zBuf!W7YoH!cjhakNq7mOLy8&-vK{h*#|9V4hWD+|eEMOqbKF-@Re zTE9>TR#hWp1&Ih`eQ9M@I!W@0_cg%+hv1%)NFB77Q&o*(0yPo>?e&P|_cdbz^&SJl znk%oWMwYyVM#AcyqgVH*OpC@Dw~t0uHG=N3Y0+EGtEqo?vHX6XF)exns@_U+l9g6- z=@~>f)5(>DiB`{U9eKps_7)>uG94)DxQPF&$8lFOS!zs!(e?Xae2qsKyFI#bNe2-o zJ1OuSwHXam(7R(GdNqu+vrKIK)JHX+Zr+a#2eAkq9Gl=C5K`fK1Zf#j?2CY5Hri38f5f{X@STi4XXayPN zx*+(c6e|k$40CXN#ZjxM*2r)VG+)W;SS{^JgV@+BH-7$a^L1J{N2eZ}s>!^Y!Iz7R zoP$<~XR>(Gte658I6z>W3X2DeS*4)GL8|3*L;_!@=A&BQEguyvd`>~bqZ*zCC!m@L z5H*`u;w}AkiowGsAR!SGRZ-7Xd10V7?Fo$p?leBB3CmLhPh7rL*31rn(r4}U_$ zJ^k6?7<;+$w>^?-IXk>BV=qBdqrPnjR@vb~(*TN`w(Os?!ysv(q5*uOr@c65hj&Bm z#Z`6~C^^w_0IYR56;e74WPPP=3H&_be#gb6Y#QgbT-}FUWrqhFk*ls@OymU51r+QS zbgCMo#K9%*u}x4SL8+_c!6vRG$$WQq%Z+kGpt5V+r%5v39uSa&>Vikdi+(K(LxU|- zeP6UCldJ&pZex+|EJNlrjCp!MvG5AdDfYd=rIy;9w&F+ zbv~-EXuP#D>#W1RT}2|>Bb(6}vJB5UGs;}l`@oso20>LMvcVi{iEUO3>&A_9%#p|r zl{?8z?iT=|iGvV=bP)VvN+NH+^dx2oii6;ipm8$j;&E$+H%T%v>XH~Xswq^Y2D{|w zIZP~E^Q8DyUn{Li2f^3Gz&e{th86||U%BLIp_Lll$T;eDLMy86?X&kPiY#N;S^4C~ z-v4dBmQCHaFWIQa^LQ(SFL&K^X5Y&3x5nuAq!{O(;q&(l`(t>nCShA|#HMsG<+Itp zJF`FS7R_e=b_RKUc z$A;zwV#UhrZ&o{rq9JJ2!w{^^KBmB{C$Zdi2DVCGz;*;!Y02^~&a&s=k{2kF>jPOP zrGn2f2Gvg~^-z~Y*2czsVz7?Z8T~wGvu{JV%UO_AD0w4VLkwBr+DSpWMU5TE_{(zycS;-JRqA%p4w{QqxGdQ9fu`W5Lqp7~fI^H>J}g_nAZ_ z6Q4@lWFKxZSvsIVo4S)0l5(Mn4`ePpH8ke1X?Huhzv-ra5kU;YAD_MzL~varxX4 zpji6|#q2qhdsR{N)t4V$zVgDWFT8a5K>n^fJRkc$kIBTb?~5;7z85Q7vkkT$y!WN| zysE*GeCK^Q^;a)ne#txE9sAvQ0P=79i7$Tmtr0hA?%Lxf%VfWvJ>i1`RO))_K>tpz zr*0RV)QbSCZ-7d!r$%nGr!70zQ<2ae=*kv6wf08fhaBq4rXlyAe4s1a*hgFvEx*cr z07c6glxDfJQ z$EN%|?or$)^mTs?pTQpOP}P;1kG9C=#h1SFN=Qu&x~47P<_U>?`MQ7c#?@aP*VQX@ z>l1(iTz3n^$l4&VFT3q}82bWnhbYVy37%@Tk#)fVL;-^DLw=?+P^8w?E0Dn=@;si>UF0NKkMoPN?(YjAh_@dDHK-L)d=#yYQmrkLr*$7Fzf35YHwTx z%SD%KBkO9E-#GOIYc7r*UV$3>;uts+mQBs8T9GA5fWDSD#;3dv82~ z!Sr$?7!*zJ!Qcc%OW)gFl+e(Riyr?qpYSV}|70wArbI-<31Ea?TUp4-v#3>|pSI1L zr@<;&t7;Y2X9YdJS#;8nRq`w< z5SYKxIeNZ3QU8Dysf#b8I+qDGRiWV<>vjMWMIVRYSh7ubztx^7o=pC)@z0x0N)9#F z--iXO=k1E(%}z0&$mAooEmOpYSDYRKpsshwfN*WG3a6BAWYzI1Ex~Dj*$zPVjh$+o zZ|?Y|Q(XbtB~g}--;-C1(~(}j)M6_73#Py!i07oWpte zTF&9{yOP7HzM7K5IWF$0m@mEX#tVI^cN;brL&YuJVzGb-^ssKl@SmQVc2H+@X$ zkJ8xW>NFdMC$<3oDs*!;U@(bUML(jQoX6R3oO-b*BFmv$P%kdqp|xuAZT&RRc)jX0 z8RXC{s27nvYXg|%-Ju!GsTUXBfowT+Llb~eFJh0j2SZH0pX9bsFRsyS&<0O+=w|pu z@Ftt96Tf5?xXX?ew#L3ic33EuUm^MMKm@>OU zp9q!e`6RL_g43vMlBY5AehX70@-T^MXy{;7daAH0mP&8b4;WgMP@)LBzdt5d7VOrY zHB`A|xmo{DOW5~1$GB?O67XpBgD7J#(iy5`UmXPv6uEh#0>LO3$*oskx_seXaz%1! ztjfzJT?y7$Xyn!nlgbZK){kGC5zv&o(l1vQ?E7iCi(v`)!*XM(mr!LUuiDk11iCA4 z(FgopVNvTJ{@r){Uk@W0s{TV884Y$-XWx6Ut2#L(!_Csk9~o|zPA(%7kpn}UlgEg` zB1_U9q72hBU^zLAPYupj&XLjZcx5UpbIZsGW+c?7k5?}4c=@0)NYv>0g}N?%HJ`Fu zIPtoGdpTVn$0Lb5iX;5JZ~sNPXC5?mS{77e=i;qNZL^qX)ORYPKWu@*qZnWa1BMXx zwz8Boh)y61HQGH_lO1#))GX(yieb8hfO%ILS zBHG5+;}#_Y?dJK4Gwt49?qC*LKR$+Fzu*0aKloKAG)aM_sjY3%)_ScD89pQ@SfK=s za0{KMt#!dDJT4EN6=`c-5YsZPHyRWn?V;sx3y;W;T5t54v#;u! zCvB}CI(nm52jd#CuYjVhtv1>ci6x=_?9leH^bhQuK*Q20bO39NK`6)g~EiL66sDk8@}PrY6)U1T?o;wq=qW z+5ib{L`+DWtJaA;Zw_s?AeJ(OF-WGGbaW1FP@mQT1pv1-V+ZO<20)hRe1h!}Eb+~X zRne!^k&vh9VwQ8!2elUVxdOAi@eVD&oQbND>0nWgCE`J?BN5eNOWEXAc|K^GpdtWD z8dj<*X}w37ZO{`kReMxaeT7Kg59;(0W!q@zxoZBeIh|&cl0yiM8je0vV@a`k8hwCz zNDDyCb+SDA!0DI$hBXzQ;6MX+SO;L4o@pMPE(D_R-MU*NOb<0GJm{4(XQT5_R~4QZ zXaM|t`<_%4o-)t?&$l&i#}!o-9*lc+YO{^m@g$iZYYeRd@0~u@Yt9-^@cwC!wNyFe z?(?3@Q-ktEdaSXP9&2pXaHHnIu?1KxOzVw4);Np&SaVZf1V5qbvBpW@>vGa3Kh{ID z5?XY;pxqPlr~|~=6A~H7AtHCGX--m<%E%pg%u0~lj;}(si}x_N`ej^G-d~bHWq%!a zm5wjdq0;^ksGw4^9Z(?*HvoJ;_D3Qd-hJMmQF}T*cBqh3@^;7R$ZUkPoQy)q%h4F+ z<=R;Xn9g;lvkqk3RXSmr4wX(=#uKCy)^P>pf-$|Sn&yQf1SS;Z{rLms{X0Kc^>fmw zmz>Fc866gzc=#~wKyxOTCWUV?Ny|4eNg?SvOj5v{Ef>c4C~mqiH|qmoh*mmo#uHM`_|$*>EC0w}3?gh~MlkJdg&YD^rEXz<%<;gi z1a#{GJsaYo+gC<}T?7F%mJ|yHJ9Gs3))v9tnyoiz##)nqmWYv9k?*q$V#F+GbwVvI z1dm(^QfIi}cD>L>8Nfy8NmYWhTP_HU8F^bU_7J%i1;E6i>GOvmU@a;Lv{kbrEtv}z zY&TVT7g6S+akjGLDjJFj9RrjmAHk z?6l;A@^jxh@i$5gv%Jo=j5F3U?z38v(E*jgtcwDkETGQwr+p-^K+&96n2h(eS7c=Q zzO=pU@J11cDAK3^DXDR&}{&k^zD}?P)n}L4qhm)ss8OQX$@oLf*~5jv#^k9oh+X4tuyoVLLH9j3h5>9;|qI)_+I+5*=gt#-(f zcQPhb+Tw^f6_?GXJn~}KOI8%y=-D<&?9qvrQ$Yfl$&+CXBJQ>L;0j~ISln6 zRK6izHRPI+k~tKu1JODRy-^VAWk5cN_>mE%&25G(!mRH-jWB0!34hPSFEjp;YzmlS z{%7C!C2v2xv80$)>37`C!;vW@hVqLAG;8I`F$8sY3ZXAYeL-*uf2t9z+)edMF?Ivp z)V1HGy1J~Wx*C4zYIST6o!w0o@;HeUEABRMQ0sHd?&e{&wd^)B!$=5M7n9vhjI9Uh z7!(!)@Xm_a-8>$l1yyj(_U&rL%H7WP=t_+%K;~&^HNEk*`6-s!-3`(TfA6X|Brn|%9r$PUw}mb zk2I||<{zog5R#H-_BHq5e6cmXvYaH-vwdO25qP$jXaH`mNPsEYeqYvA_M|%sfcKnsGp1cM8 z>oh{{DNpzmna*4APInf%&O?WuMe@@rAK9T-IbN))X@fAncbb4k0yXo|Facd9P<37` zUQRqrn-#L2odhZp?kRzbiLq7dEXMU#30T>V1i19Z#wJGb;)zVlNq##0ei)`(E!P>g zi=-@Kj!58-4conG0vb8tJPL~m=<514(;L5^Y{vy7^NWOgTrd*a$P?%Dm(+0DU;O@( z0B>d&>*H1Uc=XpQoA`FK19|ZVHxo%{4kq5mCR5b7l|Zp9_-%JHrKs<3fxggLkK(Rn$BkyCFl30-%!UYSRE*R81z&wT! zE(jzb;VghAuQ#1@q?_e}g(|QY>PG;+OAbT*=w^lF0=^x8AQt_apS%JE-UR9wu8{{2 zDGOfw_wvDA6!m_JM^yYFcbB~AUzUG_*~;Ov0VUhfeoGnMaY#D9v;DRy6)tfMrI zO)!?6j^DQ@eH9>fA*kGaWRo)ArFIo1|620>2_Qo5qU_MmvuzU~20s>N z+Xm!C=nm{917}?Nb~mMJc9Wvq+(LABeBpM7N32Av#%Cg<^AH)m{1-mz)nD=9QE*sG z!OHP1vW0ijOSy-QS7-rBhviu~zQqK$Xv&eA@8N(^e&XYXpqN5m5yR7AX5fh<8qg|f z2#P6m!96~(gaP&t*hJ>9LQqVh31SQ}^POaj-4M2d#8(K4DfAUFwOtNJ zy5MSSh?(u)VJrF-u3D0^E(j>QSm6V>P}H-J6}8}Ck`~f1hjU=%rn(w27PcdLu$-h9 z6C1f^z8r}billlKToXCrc1=l~`+1^SSV(oj0%=sj={)o8*}`8C_|XyNp!PnBcj!ll z$HS@&fA*EI4p-+{-5{KWc|V}IZO=2`q0;nyK!8~Po})g9x)@EXlh}3|b9EoQa8i{` zJmjg_TyjJttLXZDwdXzuLZ$m^&R0wC6TJG-K0~GVRb3ljHH(E%za+7I#Z1-XgG(z&;6qEnJW3f`P!U5XdXO@cDwd9SKy$_?Ngye;&_E z-p3|i;KCzPB`SxILi#?ASiEb;MfPfrEZ-VbR-b?EbGzU6{)me-!1B0Ax5%8i23s37 z@^MK*C&V1~l^FvU!~g*VFHjAjVk5O&B}i@Kg2%;1dx8$=gwmKJR*clq629U_=feUr z)Deu-5dfJWE*Hqk5kqRVOdTsm>Ii~plv1!G`c5^{3PC;#evZ(`ED$0tTI2U^E+$e( zpq*K5SoNdey0fAfgR-}2wQ`3J*HD+bmpJdUa@F&hdz7HR}oR$gNzeWV&Ru0X z6qn5}#&NoE6)Y4BL7Hh_F(9=l3bp4bX4)kSq!u3#KGi2FX4)l7HWu?ZI!&Nrrd={1 zwSpGQYhKe#7YnGi^LoP?xrpvR+N<0b)2Ow*1stuLX#W@dO|z*!EfO0v)i$irp3cs& zMqqZ&F}u;0ABjskVb7e4y}MlOv|BXW_1l?w|D(@*<)40YAU8BI?%}tk$IPQJx{WI(xN!hlqktQ7aVFztjd!6EgL~l5d|NC#=v$~u)>n+^A(4>7bVkYf`i?Q zwCm-nhq@Of7i%kGXd)a02GvJ4M&|k@ptMA8lqE4fOCx%uj@#O)=3@PFmcYGgl@(-C z?V1_<4ahHk7#@9(oJJ)%YS-29I^eZIZ|Ij1h3j^|m2YBT8uk2N^R;a1a*~xPbVCJA5pf*xDHNDC(@#$OOG3(9AiA;S# zPPOP0mZypFH+5wB)*3O9`Oc^Rz#sdeh>$cR>JgHcF3_~BUE7%Nj)|+1%hk-RB{E%b zw}*z*8WajAjbK$aS?)NR3K`Gk3M~rtit_V&C1$bF8Lud)5nEE#fpXCV%cpk>j;$l!G+iGwYf^}63 zx)#3=Do|T*j!cr$YT(z6R4+6sG2qB~HkVUXwG4fOpAxM%3MWi!=BietJcX<(|3srY zw`eWZdritybQeWb_l@N{Rq6u)F=wey+?kU4oQd(yNQ`%%gL|(t-rgSZ5sf#8NYnlA zv(Md{c8gl0G^ak#llpwy&;DEYUx^S&3uGQ5m2%l;%~`v!9q1X=5Ge|4G#xIuU14Cc zm?O-PAFfc^M0oVKv;IB{3ZuOznkzua*pOJF1@U&;)Ty z{6JNO5_l2BWekz<>$ktT^m&%w638H}kAmC2y&%bFxn$IZ0f$v!tsi~V1yIYM=pR!+ zbalL?du7as{iTD7*S{NJq&O3V&&xN3tRN11PAsb)qrU*^*5Cg{Ru%KxbAue<9vEGj z@FAFzT*D<`iYfpsq6*~Lqd~3%D3Rd>0jK~&9WoV2!85GB_cZVp;9kl5GrG4`OF(0tW|Eg-X`V;azz79)$wlFVf4%@J7qo#~jl7;|^U7*jMOW}wy6bEI*eNaM49 z^7nu2gGeE2Wz18ERwsK3*?#8x$(qKh40BKRm8B3}@cd-&U{6@*~FrrGF0;XZN=obumsux{s2hnB`O|hT<=Im#+A1d*b!Y*Nc^gwDCP9{ z8zJXtlE!qFuBf^{=r57PCuY?!=UT6sZxH&&=tH}73HfXJHkDl+*ezByph?+buP3z6ErX_+gJ&2EI$^Xfd~uJSwhnAJP*5kBHRZx(=8pbsq*nGtSrN*@}C)9_TMiDu9$H!n0g|9x06imPBe? z7Gsux0p)yllbrWdMtv7Ew#vrNfa&IlIqa~!O@JE5jyIy z-664CuSoUif|%X|l0y+(uw}hEG}WUE9u{!zL~tYB@|7UfqYFZd9z{JKFb`3~QTqx% zkEq8Yxxvr~S#k$7N^@)l*Bc}h{E~&Oy-N#1_2_FJKw=M*1n{V8@#-{{A>7sk9F+q> z2{R>AetqQth!(#&-?gh*{;L8xp>2QZvZLu2{Y+}|ohP-+x{r1pa7#K>qb&*Py(3(l zwj_iv@m*L2?vm2n-Ed7|C@Fdo)Jckd+6rnL-w}v$r?8SXTm-D-q;L`EyU5`h!o>=Q zGctG*KUj`F_2-Fj4bfaK238I;uN>V|pDcgRK% zBU22lROi~OTeRgIC)`V91Q|8q-Slf8H72UY`?#?5n{Fa7RCF1iFv5pL<(>bl&5CV} z)=E{bzjIvAwT~4mOi14+Gb^6;GqaL<9*}aaz>8`%l39`BVNU8bXhW*XlhB4YH48V) zB61#a9>)MH!pA99Px;R03l<#^S82XT;#EjU<>l!Nm+(x;xO4-SClHH0Jj4}6EKigC*{B*P@x-uIGc0K>M&5D zo0TC;{3)m>-{Q$JR469kwwkz7hXD)4po31Bmet`7kX6YeLUDE_ne+3XatnM6B%wHa z0Ji$1TPzFU3#XAY=-mntaRf>TzA9@4<`g;l4*e(f6`iJxRX^T5M<*(=Rhz2KJwIn# z7D)BW-b(m1pqS@ovGX^JCAyCES|dp(tz@p4o6QM|^d{lcgynCjr@!wHz4Z0}V_@x- zp~w5m3SZ9-#O;!R-=lF=oJ{-5Yco#Qb3_76O4r~WR&O)}sR(>U&P>6IFs_*H3Pk|7 zH3X@rT@X5m6p5rf-jJuT5~QAXK}-6fHq$d6mp9Y}cc{o9t;1?UcRVijvWT44N-G4auyh`PH(llJjb7!5n!VR%{UGq2VjEX`|$# z0sf6XJB>e5zhAR)kAe%-fNH@i^1{!uMC^koX}upw zL}8K*&XST_v=26GR*@Il^Ko=auDS%t)!jh^rfIMPknQc7`Sw5#K8#!uP=l>xzCRcn zZe=gDdvvZn-yk6MbjCX{AC2U-Jr8v*0xw?zwB$4Na)0QKz4!}tWL_^Gknnvh;pPdp z_Fp92g!CiwS6U`Z`KvbnHKQ%yex!sO-{Itqpy-B~NGIbdECVuPzvf!k^g_zn@$S=Z z(Om1Aev3}QyMO;*`RKp&=13Z7^MNM~bPEvi0-Fx7THiE z#5%$@*DKP+a6uHXh$Y2};6H0GhU&=$F}l`(@rc^n6~)DHNmRH%eag!QD_4Crlg(@~ zqi$bmi}dr=bA`fkmECh-ue;+U2|x<$kgH;MHVK%{9i z!w&iQh~rV43)caj!bznsMSYdik^FwLq(D)kW%guCYLD=2N#Fa@dtOytJkJwaTwFc} z1$X%zyn=dE->WY_ynN+_S6_JP@_~Fo7t4qd{3CWOZ+S%((7*5VILL+W`#cX?_I>e% z%lG0G9=?=#Z7s1kizr!FP3GzZPEGZOUG~gd?o=^yeCB-R}2K)`QL9=35Qk`vs%=&bOG@SJo$ZL1HL8yl!;Rs(zPXMf6)M5M?z7Lm=i!$@#dgBsskO^#%eSE% zF(%*nFaPq>FSlWcL+)%@wlEPONA?oV{LJrX=ES51TW}OA-5j)yHiB7sVi19*(spQ{ z(xjXJk zqs9Qua;v|x^4x7!M0s#UpD!L4Qz=iIegnykV{npWR-T{$ZMW=N? zcsz22B9uQrdW=DLJt}bq-JKtFciqS(IgHbk-dtw9yE3C`pAoy%8jiWlc%CxjSNzT& z`0@WEjIVa}y7AR5xYNk|!CnEqgHAlH_1RYzxws$@HY{X8uxB6;jjs#tcN?X+-h@q|R>DmI)gz&ZK|LuVvF)5u;XSVux zXZ5GuqS@--PUY`SU;SfW{LEtvE*iuP(dv75LvHbvCOutvrgw!F7bKZA>Qa1ay(1t(5@ z4qF62QQg)7KTjnElgzY4k`z5|SA@Z@Isy?XT}xoN)JKj4oKYqzpV$ zAb*Jer~93X4br`=ycs?SSX%VtydNY^?GoSlDfqu}7JZ*6m4>!@<--33TBz-|lkT}x zGHgqZ#QJ7#6I#iW+T)}O7&S(=$5lmDC8td7b@DisqM}YZP5Xnb5{2?qZH%$ zFU9O$Jig^n(?%n=Xm&4d$Gv#h7ypir`Ibm3Xokm=3YPByuxJk^Ny+FVRo^7Rsd0)R zNOll3GxguiSXUYS1ycF6iEu7EbyMmjUlE+p>~De~h{oEQB$bT|S_*)ve~vn@6ZJWx zU-Iur{Tp`K)_{?v2J#ibszU@4*nO8suQw~^jDGa*qvO9l$2z0GSt_R-u)|I15(YkJ z^b5!NjzsIt!NtAs(}a09o^Kf;m@jtNL_Pk`ULAeZKn=xJWXl#Zl1{~wSVdLn{_(VDFwWXj_w=%j$fJbW#~VjjNs z-irc@slJ*5i#a<6*i_DJs*^WGR8zS{6{u;-Ejk6c^i_ZR?|j}Dj%Na#?*>cZ{k>nMh7r^-7|44X8^ZA zNWW?Vm<>w7Hn|}Io}Xs{X7^4U%ek82iDOl=W^m$I&ejZ19LwpN!HHwi##^rF1{25B zRS8Fko`O0l0U+0H^M{t6#jS;1s_r$7fKqerllGQ-|t)_k$|GqI5@5hos{s?8Oq#y8ifi5 zG_n84xUw!IVyclCv#>q6LM4n}vv@{e7S5Y!PG|1*noVOf^m0zUJRq><)t4?`co*6W z=B6=b?b!G5^CaX9P81>}Ut8P({>>l}?u1FThYH$$bw6bX-z7M<2tsUoJZBbFDmqd4ICwqc%;ujK54gZM_n6HJX`gO4&TiVL-J;pFPrpT{nD$5i)z^I7=eDN(28?Mdr7A#2 zBAHPuezP#`z;*)-04u{GE;3ZN5)9K`$lAy;Hq4j?0`mhv5J-tGCBUv$4Aaip+n7VE zf(NoBRDxmJF?xG_qz`}xGU@I_!~4YXoUZU2qRtR2V*3NH7?I!l+u2rnCrRm(HW!pa zji8uPmyA)t7;T~;LHmkLfW!s3T;n*t$JtcU5XI zmhH2d*{1UIb!B2du99Nd9>o95_%l|N7uafTX`?Mr@FA&>PsZ$BBR#De;nWy*Lhm0f zfxjjr%eO{@<-Q;NgMaTW|4S%5MWuOK&;h~$px!wRp?zfv&jm3+4^$&WF|SsGNbBg3 z27{RDu~?A`&sPM`3fRq{On^An&mi6I^$u_)+2cilKC{WQ#YZ!gIkGPrlm> zPEn8PW3Ta)YlY=6&8T~Lxjme8I%IA?`a4x&^LPaR68q-z|F$OTFBSLW% z^X!{#jgI&ZT@;`4!TCA9>H9>9VI4LH4`&P>fIv<_eIStW>!R@w0r2)6nBa{_0~nvv zHJm;1BPytqrJJhZrY+y6;ePY_ZSVix!GhZlXh7!!$Zwg;*kOXPR3EHdeSe89yL5K7K zCAQy~E{rABrSmnN*Ws{R8Ifa>NtUn#fl`5GD0*sFo8-2Q_b)oc|JQS!fS_f$PmkXJU$uucoKF* zz<9&q9Kh=@SwnG9HJNuRPF(ULG~~72xoOMy>6m}_ zKX~*hZwYr!7ix9Ppz5e}%#iA+bj%Tx_2fl|R%$iEwRFs&>c~0*_BkV!2XD63T2-;@u-a-=4KnhL=JG;gCLGK1Da<^e z%4DSt!|I!}OgI)w0>2`X813Gw>x5%LsZCAAwUlPKTaoosJrvz5qR&*D-U!k1xaiW> zuR}C9`*UaX=dO)Tou=+y2W`6jsqgs<+g6);`cKaqq;Bw=gg+U1{|+%xXj6n?Wy--0 z!K?(Hwg&vG(5A3Y+XJI$-|wn4snj5P1)tRV0a2a@F>@4J5(`pAEePe za!Joo#*#^!;^3N(0D=#puU1BqWbT6meWJ}+z_10)Gq~hr$1>@`NGqydTt%)>wdY#n z(MN52U*j82QHgqlzBz7sC?`6!ElWjCSvgLI(uv-{BVGR&8nW>o0YJxGlkEQSdCcx# z>+iu|ywhQ9AU3R~&!MT0F%p6wTHSk^-b*K}>AvD1qD%4ihO{H%P4799i%Qk1yc>uSCwG=t`OXzuUB%0sCv}VWS$a3 zm(b{7G5(S>oU0W>e?oADSuPsLE*7nS8~PJI87j*vsOidT#n7KP$F-zXNmRcz5?obG zG%uk)A&AkNqG*qsO%obd`jcp`B@>(CV^Sqo$4>f_aIV8rJ=|5-XKuYworir~f`GnV zGv6KS4#&|KhtBlP)JKl3EINz7<6F1eOZyp#0B?SvZSEW*kr+6VB8~DCZ-+JVmgP;1xC~t!4k)W zJ4%-AIaUQmOzvch8uTjW;Alh`Cn-LFpXF|kxK%G_C4=wsS&9!(Bt6e$m4@mUl8jW5 zJmLho<`MsEe{}HyToP5yodl#Pgl;~iR#OX11mGL|HP~Sfo$golVUW3y(yM*)0?KUY_@PxfjUmZ^u+WaIcn3P;;0 zQ}^lAS>?}@A@C}FYTT)Nq2^l7{n1`w_IgtL%XH$G_$q=>`P4+B=Jz0!cXHmAL4T%` zIRl-Hy%4uWCu7TxSl(+V_NFc0S0?%LKl$eG`iwE7gdmdxGx}{!Wt3zTwujCdZ;uJ9u&@*)op`PB zvcfwo;9HwfGG0`FoGdW4O%lW@**UZ+W~kOn)i!&$49aOhRyKz=9X;P5#47~3(}19~ z-L6^PA@AIVH_%9q8jzxN6CyUhsUOMDkAahKujrHo}sA)}OgheF>;159Ak1jV$2(l zS-B~N8O}FnKS^O$WnaNbR-Zq&!GG zmD*0v49ZIjy8Q@GP_b%%o+^+TMm0+Fiy@pd>(i_PnLX4x zECByC*cJM>|E$_w&yd=sB^p+1zPd~*ryYacSU zGWKt@Z`Wg=tLw;^o|54l9J_h#>iT1=P=E3r@B2^xzqUH$3e~E#!$or{R2Lkqh11I` zf<62zoLBfPc2I;j8x*f&X*za3a&LD^)mw?hRhQdOg z5X{Jf3riLPPtb$RNR=}{FCFLxEXP72^l8n6Jh(WlmIn!s0Q;~Z3rR9PxffgH-(Wq- z>vm|)bJWJi3ji0?X#7f}827xNM#ZZr|joc&8bbLMj+3q1nLP%)l@@ z*yf6wOjgX{5tUbpcF1s?ONa<};NzzqMp9C1&=ObS$&ob0d4Ik^`GDMx@MOKYU?g3@ zz+gS5FBv6F2-N#?JME8>XD%VG*B1iwEZ~qe^?yD-w_|@9av7VFeU1{MjIJn~AZ$)H z0vR^cYtxu)Y^EueH16wtik3L)VvUS^VS1zlPR)mK^ZT?}H7`8-!t>7yA4C}@_3U%+ zdH7}E@#X&|?t~)fV~ehko4h(xZRPbGSUtFhLlb-}Sa||lbUH9^w?nI|MkBFeP~^>H)O|RR5X_FZP$5m`eNi4M5bxoti<@z1-u9L(Joik&0?Z z2f#3%f(?OUudp$IqMUuaap>|&pI)V@!CPSOTBy{Dq`=k4q3go{QaZKDuseK4Tdv%cm?RdLd{sKwWcLr2luEr1W;jIJwT zWT>=OrLVoL4rKP=tXLW`DPVvFrejkoeLZMYDt$d@RT8d`K22eNJy<0wY4&VQgZ>qO z@b*Wq^>yKYRg$>sHat8`Mv1*|1us-$p}$R36vrZAr|43 zGD|0pg0qizGQ}|s6jS1=2s~~aN8x;TO&nE~xeyq^|5_|bP_F&kw9njq#eebrZ}{%f#_a-QM@J&O>I!`w z3N?ey_W)Hlf)Sno`Mn@K8b2kdcIc;9D~7ovpjtRdA;^ZIMliG~(CLRY?g>L)K$Hey z>@q=|f*TA{ZB`6jO1jTAyU-y>!m&m$R4HNXASCC-905FQ51q_ihKEb{c)sjWwWlh= zYA<~6RiD&F@c4U8WY(iLDC+;|Qm1&h2vr-PESH;{=gN-fUr@=n;lYjj1W1+#6F325rBM(BfFEooX-x)78a}xFy66<0B zFxGhS4gy_QC`v*;s7^CC?Q#_W%gc$)hPr?yB5Wfk>|Ld)%GsWftak;D(lFKfrsI`@FxjnB@KWq~!hO z$tn9I1gO#m02!awQiJn_)h_vLz;6KGNynGSAst_~)A`rwas7EbMf}0WWcD|{@i#vI zOOZtG$L9?84^YQB>;_zffE5z_c{muop?w8qNFC>blN${NfjoRpeZ|R`&cXfxKB>Oq zVE+JhoD1$ys#M$yaPOKLwy$v2qT^f=-ky$+K~0>htCsp1f%wa@JJDT8Y9gH=|HZ*U2}q4v|OkK_hy9E(ei(yTXWaq#Ar zGUL=(-CmlJVEWpg?zH9mJl#L~?ngiOmp`(!o2g6Idb$Smrl-3G+uGHh?tX)?l~w?S zM5Evi=dkj0H)y;P!L3ZvsC?(_=@I~`F7bM6gcPx&JSOHlAJ<@jqhAW*Amg|Q!R+ZC z)?l4fK{%ex#mJN5Zo8oGxgr#pCc9(B?CIhhF^mjBJvy0;?$ZTF{a4i%4<1$c#~POM zsK8QOxJS)}{*h0v*8Jb*YuWVGpxDaCSL*OxAxrk{Wknk4EBn8FM~J^sY6yC6*3y2q z3uD}ZGrQa~$Y8cl?+WcIt))vIHb^BiWWxQo`7%jT^qB5=aa^u=mms;?Fu1DMO!3;q z5l@;n#tCUlBbgrK3p~8!@xqdLNl$@+%h17o^l8r>ph)?-!)6A_VbiL)@&I7-jL#ib^XHBqo99kJ zQFhofJaoBNFcm$ z;SCU!R0XkuBg*^N-ozSX=5r7W3=@z;`S>&fdH>pdSPKX%9UsMrieV7~_5NZjiZ|2L zChDG%`}%8nOnM*RZirc-H?ozs!wo3!Z1b&e*(3#b?>@GQFu1q5>B8Ksnp5s?Z|KUISiE%H+CX8)YYvZSOby?@@!2QMXAar-wOH%9Vr2fGR15+V_ zmZJVZV$8!PBsN$!5hf1IAS(!Az~DhJ zfdqwMz=Op@iX4Qni9>$B_4wBMzN%AI``mL+-);)jb9UXm>Z__#(`1S&5EY-^GgfnU_~-6}7pLuZKCvTfHx04ux> zbZe}!g4NsNG@IWiTW4G@!2sH_9Vf2TzoaDC?dl+~A%~kxW`G~pNG9tHLyttStO=6H z7J!rlyRV6sA@UmG=y+p#lGXk3DQqAXusXE(*|T+KI)?rz)`W)WooBv7M~tYIf`vWU ze|y)SZ_yDgm-2M%0X)<eA)1EP7}vn$y+d!MTg)e^m@H zsC~%&F1oOr_P$|9s#^D|oJrklsJA9u$e~Z>R(fh0TAK+UC`I|ZLNwPPjv5LlW#2;# zn^>0tUuB&hb*$T_%B2K1pKxQHBzg_&$gVmNvQDy{_NSFd zo0XNgi(gB!s%nlyL2)aFjpn12bMH?1^pqn8G z`rwa$)BYg+!OWr){fT)_HHT9yY1B!)J@POe+jUas;7X%0b)&dD&PsS?~ zE7F#_UkA>t3xG^`5U-3e`IFtEy)ZhfdWM z(3D#cyVBlsYJmDWNs{W%*8~LuCe1_g0F11+CLMK`1nCgR!=Qm_yYDgJR>j}l@x`;xRPQH#PzmuRpOTy?`FT9lzKiNdQOx_- zO1R)fpdIkZNw;o!FZK6x~!w`QY}NovLf1>9=7aO|Q1}=BMu}7_(+>of zTA7=ymAQ7s-@MSFmj_*#-SUi=ge6&B+oe}A?vG23h zzR%sid>P9<{enbk*t+-f^Y45~=Yq?3-t=34^}^-bzwjNg-wXG#{q)=35j)E%-cd1M zVnB)~!`9yK{0p!9!S_y8o?1ds3WlP8E zL@QhB)6w<=^KP>(9T|746!4~85c86_jz$+jMr|SMJTqL3on=trjMa90(w2&GbL6#H zsNoO%SN^94v1dhfsiBI~uyFB7rH9Iqbb0RvvaK`fQ{xys*}gr6m+QdlVozVq_Owd( ziPkKd&)a0FEK8L|x$l*R&7!%ZmU`^_SKs>eX?P>p9Ff8F!D_mNZWs)I5L!r-?$`_j z`^qZlUC`U{idaMXyWTVs-omM-}$*lyb$6WBV z!O$`)6R=|1ytG)6ddvlZmXOp9g>oGj1)3G9$BH1x-z0@}JRlud37)5PR1sK%B1U)X zb|0^h*Vq$QnsHUT5jS1KqX zy8R^ej;`VC?ULld7Y<*{(L^y>^{ZUh?w66^8sn{Ez>$|BY|rlP>xSdnl)iOkISB ze_cU<@~$KZ5V(6aI2Cojmr9Vbr9VzVEU}52=<_v)J)7rhjwRZ^y=Z^l zEo!yzlFPlBT<%Z5@#{bJ15*~vN}OTA)~6n$NM*rF<`ovKwKv&KUeN!Ah?1 zfSM}>#NFQH5$EtKJXLtW5MT|YLoiz~BoZxC2vb*Eu!^=4P5f3qg=&3+xqZb+Q>XSP zwY7_Bs!W}3++aa-DQBKr^W+B9|AzS-`b4RIK%Od{prbR@pvLDZ<)zMGq^z`AG;&FJ!7G9%GUAKidesWj2Y0~(C@>{ejEOT=s2wZPJuiajPEte)7p+D)1jTcANtAqZ zjJ1@VTcnyKS+n4h9bho|B~RVPTnCq&w6-)&VzSByx3u!Np*r2hK+P}82)CDcq=%ctM<@`a}ypL_aUk(0D^WWoFg z@{!E3&dFLrYA(<>?-nhgrskY)I-InkByAqHE4kEUzz#Hh50vFF)**Ik<4YoCo{IUWa-M=NIl~7vP3`kh~;6 z>$0AT7gxgm$)~v(nbSP-;3sk5#1S;o6w!?To zG>Dk;O>^;|x z+Dkv->a=#039~o;`LF&%)3kOVQh@403+ezhha^3mA49YEh%V6Z0nNn{>q62%CFAut zXh_i3k^Smuu~G<9$G9M72AhThYn|%C5TuF;LBNcnw!~oZHie)hM(iBHatu{T{kA&v znnmSVFu^_AX(d!5S&`a+SW%KACWxm)XG>FIwt`{<{3H>*>XK-kL9IbP$ywU1#2=wO zQafiTnX4KRWViSqQ9pxIja4z-GOgW+7F%fT&Wp&jkvfuhqO)C#{Z6B``;>qFr$6bR zOd(8roj?YJY47}*4tJ=ZYg?%0S+OmhP}HF}Nj@V?!B%(BY4;fqst_ifc8?VS-l$fH zLstkBMy*R%6Y@X3Y4o>ap($Rg5#`*-XGYc zQh{3)!i2d3Y|;X-hAA@Iq!mkwpIZ*Dbr?8HbR@RdEl;pZrU8{}iv-e|e7O6PI}9?Z z&U2QARmHbTrJ0Y2RL(SPZj`^$VN}_hY>#(UYkzansI6KMY$`d7!hMkZr`E${7U@>O zr)J_)VK}=BwDtVuJt-sA8h)w5_m8OS zFVPGTt6Y#@;aBqA0&T6(TmS&BF5n&Rdw4DPO#Iq^@Y2_RUNZUMT8&y`^6c;5%F-y# zsD~v-v_eacXvMzrq>>AsP{1pKu+K1lm7th>7wka)BeoAFT?yr4MNtGUh=h*jiZ&85 zR4aEI`uc$E^LND}8wC5;EB^O+=7ahLA>4Zl1W<@diCWz4~ z^bJt5wq4njg}4|s`7XI*i#H`V$9;X0XBl0wb0DixdpBZuRq&czH4*>Br`GhwH}PqX zOf8KpksDgqVg47$%o5YwU`%7}hrxp;u#`WqY_LW~O23^?JY&0u0UGReSZX)srzdVc zgQO~G;uf{Y*HWx_GsTK;_&e|T`LBr#lEPe`L2}S*c57m{MpeAPjF={>v74;{dt9N1 z8_>g|rO-2z?QH zM<|QJlkswX2Sa-V`}`*#qX3S2{NN z;-)$h$t)!gA-&g#%+t5?Jp_BhC8LCWi0q10buJk->^-upYlTX+9xMtm$11ATa!Fm% znNWkK4}))8zHa_Uh0Z1M%%@S#tywuXDbX*iD@koA#HFzAJcayd3hV4yepE_t-tt3A z@8f>q2Y&Q3!X4F|fGa)E5I|3;^N2)`QNu+pA*T{%&o7EZPq#U>84p2yz-z~;Z>i=O zPH~l-(B7GHj;jrD6y|ThKCG2BagJ1aE;u@tNKhX?G=^)4JBoadu}H^?RiekIFS81X zg+fO^7}RK$=oz0%luL=nrxLNCsa(%ta}b9ez4}o5O`0j+odbpY#zlot2Wnyko^2-a|LCRFSOnz^X-C zgkTQu(G;?WZ%9E9z&C;^3_vEpOL=yj9GnYu)QR+qiAo+U*+%U-yvNhRash-LAE4_!vAj|hCPTjF z^pjaGNqlTP8pZN|p}~eeQF2VHT{#U1k11?!^)O{9Hvl#twlsh0++3yB+Yd`WY2S zz$KC4frn#|O^@{|p;Z?!_IM?EbX3J@(Qmq<^gLhSG1f9>Jg!Z#+nG-(hWvP{=U#qF zG3jUQDaF_Rl=9=)Q;P4aru8EJ3pcPJoIeFzu8kdGG(Z3y3rIx z221D|r9z8dWi1LF&Ot{M;YjGpxs|m*gED^xD{HGlkBK<~q~NV)24d!6BNAo z!607H9i1u^m!m_3@^Umj>G$hR3BoXfih}qNPYJ@b9ZUsEC){y1PdZ^8#}k#!vK<$U z@jQ$ON|zRf3SpQ}-k(2Ex?q{-6Z_)_%fFv65(helnMv3=>Q;Jlgi`*DbA+HLJ)K52 z=p9-m$_T`}SZpT^1jc7fiL^SuPn(q^bK{eS`V02UA+*>;m?Qa-c%76{lzqLhgu z$qIKRq2!&>T9q+k$*WtmMmQ&VqS7*68o0Ri(({-1-zL{1msT$6%I5MF&K*99T)^zR zLeJ5`>pQ()ER#VE$(0ix`f0hem8tNDRdUb%F|OLxm_2n@UfHYut`ORN$J_tlSG@U* z$yT7eY@CGbmBWM9+7`I)+t%wzt_;cUY(D39W8(zEMM$0uWBIGy_sT1WM`msmri3=< zn7wk;)w8`B-pLlz1RBBQ#=v{oq3BZty&o#hF?lg?#^J-M;MyRY5Ujj%{3sv;qz|>* zZF)YGm?Te-0RUk9x&Wm_1cjt9@x9*rO>#&J9V)jpu zvb(Bn+?H;uWRlTIU76(8=_6!N*>G`kk_~U19(5AK2ROUf6&bgvaAfgDH(gSC|OJZ_b< zrnV+-QHxhCB|SG&((_UGe)&f~&?b(ZrB_okVCh{QIi8Tw9PKFMIQz;fXI;>yHgmK* zh9xzEG+#w<2VxQ}u?76n2-14FAjU^xz$619^sclkM&cONy&&loK;^XU2Q$y zLq#q|yo(boXuBdckRQ4O$qEk5GFK*3>J{l-xFBFlw%;%~M>N+6ig)3XNF6a5g(8XL z3cM`!lBp@Y{N%iDz^9euY^$vXF^X!$N){}GA5>yn!EyR;g9cl^@&>}P|AYOrgXl4FG=IaCuy7_z7J= zJf_JG`+h8@sr~h}CS(sQPsoP9p51lKICE7B#=Q#p1J%q-wQ zrI8BX8;0a|`GgxP3B)evHTvOvGyY3&zrsHe%+$dWw*$Y_3fG7oYDf_QHX^COAZI8J z;zCP6K%PZ}0Er4}2?*Flhu2F0(>j>!LyZbczIMkCeed`FPw)DSDf@`26~w+!1hCom zNHr?^I5tu~+sDy~@|Ar&t(m%Hg1v>aw5ZB$I6@Vh0R-0nHgB5k2#^pVF^XMhbuZ&33~*bF65?TQt^hFUspA3174UqS)T zx2I|>Q$gJbk#R(VJ)2A0t4r0@NmXsqgP~bD&7y-V56S4!YQ)SUQe-eSBK5yZXZlm@ zdpjp9_h>AsJ^B-Bnn7%yw0B!-n#U~#GghTh9-#``>xWF-w^Yp70M@eaXyMG&#W8cp zac{i)eBLcuQdRQ_0#Ktfdob>w_?0ht_xD7qO5K_kxsAwlw>1uZ^MA~e+SU_M2m`}5 zuMlQ{4Kqikfdy|tOgw_zvZNN~43)){=tm%H%#9a(3Gf!&k+_K7U z_D}r+lV(xb^vKx%4#~v7QED9KUBc4G!I;`xey%Z{fg(%#Y2Na!A&^Gx-}8o_dG~ZU zum%Gy^X;h5*CvK=j2XAv7ShPz&6YI!9z}CimRNgiieR@zH5*whPtur>{NhZ)4ligd zz{ugi9zMIM9JE)nKCoIbhXW|#L!`os*{y8OZd^9Qntqb74gbMt{Ur-4UU`;*;w;{~O=LC;!g>#y9avPklJn7|4QweX&Xk3Fk%5 zg3+R;f;eQ2K!TC7U`U%){N4~~cgR_!9!CavAI`}_v0|>8s(CI)2F|zQHwQ^i^u|{dGeF&cXy1f&HIR}D}$o};h^_!ymJ?4o(l5LayKIPori6JGR z0Oy*A6^5ZSSFZZk3;9o^@fS(@75P4%hc2pVZ(<^~q)iQsn_m7^fn1p<14;j>|B@t|qg*oRTJ~!rR!EWzr(6=1;*3n;6C+M+e{<2(E@`BVlthVl zb@WN?8+!2;xc)^IK8|{K;eUA*^S>;?*X@mnHkC6GAGi445`5iE$;6ZY$H)HjUzsxM z!RrQ&pVk%;BXzS8ylx!rT$zn)8ui5Mwgv`Tn?VL&erR;_8n4?;8Flbz8m}A3JT(Hb zgIVz9hqh`B7)wwgwStvV4_-Gg{r1d%ZPYOnTTJy4AZc^mk`(u&k2?za?u>oO8-MUi@?4*G(5nmiaiyKeqLc1DR3enhs#}Xfl6Qq2%VEwfd;a*t97^DuT%S zZLFda%=qn!IWu~|#AQu7VwNe)WR-MeDDNwFXni)p9ZOIu!JHZ8U=kQ!q%lz^+U8nw zX7sS{$dU@d6-Wh}6`MlIoeo+>Qv;!nbnNr&ZkXDeEtItQISf<& z-DbD8oaC;TlcXiJq}~%?AH^g(_WB+A>9L%=JZv{8&IjOpI-FK&r6Mtylb8F|3Uugz zd;!ecjE4}cl9$Nx;n2+CNpC`xH%(cNC-&WTD^wjg*IwymmAnM;22ufBQHqhO6?5{k zL&^`9RFZ-{nq#q~{`)|Vy&I5ROAS`FWHqLzv@xK6qSxB5MdhAoJZ=zR>(LG5k6)-t zUSep4+Htg6^oA%^$x93o)GBdwU)hn%TK;%`^Eq| z^>y^@rR$fNr-n4%D7B+cx&Vx~(iEb<;0kp5iZT6cyY2}NE9x~gumFCsVpi0tqJ3@C z8A32C>N2SeBqt2ZDrH$I>Vpj`+hfL1%K@1c^{LD$+ra=eD^`j+=9EEK)*SAW3Q!fz z5Y(vOG$os=d*)ZP>mn%92z@%Qek1f&d~)UJf8(2>PmR7CKo^&a_E&L5`;s-hy{w_B zyahjeMexJ*um93deoF8pE6CP1TA!SE5Xf8)Nz3>ldYwVg$F2oTq-&A18b3U$`#*&r zMjyns>os*`{P48FyibXv5Tvw-WX2ECK1MEQ_+p?cZUr-bh`6njT1bol?*WY&KMW?r z?e;XO2y39V$)QO*Mh7bS=zonm{I67>v7u2=(=FiEVL?+)%Bya$GwxKC|^ zj6^-YW?_ClZP85Eu9@-Ok_QDMiec^f=#%aNji?w`HRN*&J5|!@kRNze=K};qjhQy* z;6Xt%?H@eqaZe#m;1YdtZvFkQ>~wkk2ub%JhDry5q=hN@2APtdlqMGpjFD#@WXRYC zbMn&n@fu`nF~zkw5wD07@o&HQx)XMW3hW4rp5n>KNNBn6+)UMUF`IcgM060Ht=Y zrqJ_bTzp(g-Uw6`&6>Od>dO6EdO%)ZfspP(7rgIC%XEq*$%201@) znlwkxcjvU?>4^qk^)5(4HvcgZ^)l;+^AvW2X3czmERo17azrF^C9VJ@ zrmEKehaG++eRXmC&A9^H>_ksn4I=sn=i99Hr||>9R}fKSu#UCzK(4 zGqg2JQ(TKoXlsgAG-ETC7iJWD;U<=EJ11xi{^_s&!r%UXJ~WI0YTov5b^MMMaQnBe zLHgUB)DK*v7EVAK`wD7N)Qt(E0)dbhCXrxtuh!Oupcn%a1P+K~5Czcz-3p4b@f8c# z%63a*0CXx=6l35k!s*w>J9Kj^G!}xQYD^FX1JE#3iL0$mA_+k;1}2EHVcMZ=K5cbq zalKvez+PvTH>@~FOrH?>UF(@Yq{uZja{fe5gkrZQKk|2~aT9yvwh0S%VG4P{H zIS27b+$Kd2{d#7q&U+8MzTnWe*Q+@O2~kJfh0>YnO}k+J5sBUo$<}7yBK^x8*!h zWz<_UJ=qu0q`Fxj+V>e2qr77mC%m0fG<56^i~y@E65Z%m1Qn!{fZz~$N2&gRcnM^{ zT9MQd<#-9UJHp+fQ2lk08Ky3|N3f_+JCf|ZqbJ3KvC{s!?7=Hgo#(ul0Aq>m(Z~Ga z@~L_WAYzX`M9L>n1)2p+am5h2rG`uglIWLn>yvNxL#ZNwaO;G-5pTWzsAkk-?@u#cX_JeF6p~Fcd=E30pxSjg>BQ98u(V8 z@^ai=bsCj}R>ty{al0`Uk*-}aFh$UNJg$(Ny*fR+Ijd(mdVin0fA)2MEXX|#1*gKs zjnOUGg2SG6Wy23fl3-t%_veBLa61*m#0EuZLy(V35d?dk#G2iDWASSU@|kc!>VGk5 z9eCo@UkX9q7Z=308b2c6%Y-BJP6+ajx!`fLB3!|_*+dz#;H06TJ{B)Ros}lvDBlzj ze(?Xo^C&X@RgrIG^aw*u7iw(a2-$}m7v@#3S~(6xi4;5f^W%T-+&x|7w;9MVMkyt2 zAf9b{n~^JCXY~sXxk~#=F1eP^Z+~y{5-Ol7?I8|S-oMr!n0i`qzQHpChh0A3M&0(u zq^`5}=}Gab{E?KXoX;ec7ZZz!D(Q29^YyK`h&$DLUysHK zO;HN|SMlmsl+ao9T2ZB)?!@v#QDyggKl)q$WF&O7M8_dUE7*ZLkzvX}spCg~ABItAB zKpooS=Msd$&#{czMgu@y4_Om=#h7gj4_5@%4u+pc9xp$3skQ)yXAo?Xq|fA%-59m8 zM3pW%%57ZL!jF?1=i6f}(Qy3FCpRwYj2~3>tt}31sz61-ss79WpZ$xw(HZ5_|O~_2w-ogcx+~Pdt&_|RCe@n~bOWWRo(rO~U416d9>c-QK9iQXyWnB9(eyV;?T)Qr z<=1UEEf`){2e3euU>rL8br^iCELngTdcJ4&>zGd$PZ1Ym*`-*K`q$49#daKRyng~H zL~}9o9YDUI^5UviF%8uG>$%z|>xZ&bqaJQbCYI%jqh26P%_#+iuo7^SvRl;z<@CF9 z6o~{x6+{YRmfg5Ih@{)K&&Lxg-+VlY$0CStY5H2~V&uxtapRaU$zCko$_m5~PcEPT zfa4cItkhE^)<6&u-=e3t)S=&A9l9x)31iS|>xI4QX4tE~=`(-n{ofoBha$+mJeDSE z5S6Y0t5+y-oyR&N2`;AbbVP$^BS?K^2sO%`khRx57RFRIg0y%=5LEZDPf(1fJ!mm& zAe|b`1yLq|_<@zu>m{?>Oqz1n0{g7Hh=6S5$T61G?W?1f3T~3w9vb-EZe&9^) zFC-C%AN{}+m7^;iSG{JwJ;=wfVjXK@QcLgX`Tig$NbCxds2+qzlGdDb3)Bhlq=9G9 zEIhev!W7zDjV3&Mmi>hIHU}tTty|Ntiy$Pi4;cv3Ga7=A!tlqZcIEhH=o6)r!vH*& z?5_X}nheI)*leSS0*X@c~71$hMsDj-A zWajmXSydy|$nqu#?vI>$Rh?s{s*gL80z(i?>aA+as=8yh4$l!~Xsc`G@`nZ!tAe|1>=7OHqEcy5}DALCp9OL zN>Vd2Xe`u>#BHHwt{Iuk(*r#3N4U@t!w+@^nd&XKNxyHBnM@*ljp? z3K^x%+FBJO|C3Wss18KYDFRF6!daqTRSMDE`Jrt#B`SrG{?dM>STU!b5ZocC!=W*i z##%I8aMFqu!=X~XitkLt*yfa43Zo2DFW`p_&C)Z_LUV46~WR4-mSnXBdE8`saio$ za3S4dZyNcau_8z;4IVFof(x18==dpdlwA<%bU4ab5diQ&55HB3n%$0b6kNyz4;=S(18vKhHIax};MA4F;=b~n-cl7H1V2UyV8A|TZ%2?lV$rAe&0cy5n%$~%gjbwF)yikGZfqKnZx?LlO zeoBCPU%&Hi8tY8V@q!BEM1cKEzu`r{7_Lu}?;gwzT2$KR50=w>(0Sn$Iuavh(Zk}q z_$Tf%Uc0n(B;H_0BIahK_aB1?(SwmHOWRF!L@M5k;3@*Nh6n z?FMZu^#w_Fn@f&{kkZajB(IJk;W_0S)Zx*P8`R02YL1@o(0;d84-ZA`><6vxkR+M? z=%^d-B<+Y!AM*w6EBbBu9^nDjh8(od^nQ8i2lX}KOQX2~4-%SDjS4B&lzvc`1n!J# z6N$(rWEN|as{hb5I=sFTw8S-N8`y|90AKj#s;U3x9N>RAlAU*Td6 zeKNPpBk%Sv9D}wK8l#FKsxr`-3--Ib10S}OZqy0LCBI15Bli!X z=mAe)-k%Rv-k;l%u0(Sue3f+kbGt!tzPXT;-Jn%B>G!>sD|Pf}u^(?uF@7h`^Ejg06&)#1j{Sp-dsTLIc2~p338HOV1%)_@vUMt`Qxi%Ble^Rl8kXZKB_2^(t#|(fpr^-Bx*<94GRcwpWQPh485D3d!RhXf~4pCm#r7eq3UF$*JUG+9XH&?n)Xcv+$ls6VSVYO4YuK;=v&F1_LyC$KzRt#O#6z_uZsYwU6E$m z1xZ;d*zO(_> zVjkf#X3rhbGg_*WwGvMxSsNGt^oWJ45ASEfp2|~HLXBR<`MItC4J1u_UWF zx#bPP^;zawe7IyDB`|UG2!xl}7=JqNVhg`!2{rIr!jzwHJZ-%R&D@JE7UOw)#&g~+ zYU8uTc;1Zh{P2(Zh7bRd=qQVbE2D-8@yKMu7jOd$m9eWF<09vREVSf=4;WOeHc?mf zbhK^39u-IzjNi?Q5#u6Gg$aZ4#G!XWcv!)E5#s{lSZ_cvPE&`_R5Rgm=!kKlVpc~q z9dJdZud7y!7?&3V$_{~@SF0XY8Zj+d#a{ZpWchj7uCw=3SyK8^co6!Y4^8 zK>vXX^)4*^zJ?0{R_2?7ACfUU3v&*}7@yV*1Oi9bid6|hED@h11A>56JMgZ^n)@Dg zQ3dH*8wml>jkzLe&3uE3FVdkmy}tHqxfp8dqwh?&0|3?SnypU%?V+Ob)<4lDhdmDY zZjkqO$g7Ee<1xmw%T1)Mk#qJv_9j}fNL&K5}M&Vp$r5s-Wj`FY&;AW;!O-1EH)d(zMKE*?E{`5iz1O&|F$ zra~fMcuazUnF!H_>R@-jRIA$-J7xj1F?QOn?ad9r91u7G)tiDcEAUaxcW&ZI_j+6lJPSm+FcZDH znTeL@Zi(*hKljW9!~XTf@#`a z=k8y=jFq2$L83Hl-FrnwPW_jk^tb<$9}GW0dqzBiX&ofNdq35cSBhZ}@ElPRB1Lvi zX-z}pD+cd9+7#efRD$#aTu`TQz_UPI536P>LHYqM$TDY%?oe#p>wl*o;DUwsK4xp$ zS4hXw4{*WYy&vctq#sZO$NK!l4{*tmMnA-CjP6`Ah`d4Ms(DHKilr#@16zo3D-xOdrPF-ZVN*mV#RbS=Q1f;228POUJ@WjkD4Vggej=dTQNuW=&pyeK`at=QCf%^@okRm(K(~DtvR2hJ#-z}gA|jM zM*D4NP;so-MD}1#R!L&P_0`moeFv08VrvlZwD^NqbpOrw0MQN7K=)F+X1+UM=3&p4 z#Or+wAm1KNO|d+$4=eL4y0({~cDf1jY4($S&jEe_13i3IeG7~0ZA1BU^dR2RV_U|$ zlUo1*BLZzPxgcXT5`Jc4bY8~6U@6si;pn>7M(**@KsOz`1gEFqq?? zg4U>SoS1eO!Ti*;yFw?r2Ulkk%%@NR>P5zFA^)`9%GCK1?jriFqJES$OKS5tIqhyu zX95>9Iqi;Zz%Z$k)9yft3VRM+c*1ni=3=U-9|=;XQ6R}oY%5ts{l_&)xtTZX*fCw9 z9aYqSSYtY>ta;dg89Yl~+ny)0ZAnre?^T9--CWeKM*i?(DRx%VKAa}KmJwf~{$hk- zv>(H|<#};p&rHui3TQWGA_c99VOz(Wzi<5!Ul;08ZB;*n+K^5dIZxz9=Y>#Z(!0Cf z%D`Y-PcSfo>cNVKjw24MoM#n6>EyuSi80Bc0+qv&(=%DqO2tyIScOnqRF+claL8jD z##ABH793?Fxb7Ff)*)1<)X1n8H6oh0>N~^E z4&HhY&$Nyv-+oV?6h{;3r5=HMPFP{?mHLlES)=T_g5BNR!ULJOvSU`euHhB37N!x* z2`d2R<`f<>FPj@QjdZCA2L0-Egp;+Wo0*nFzdFVv zC-d5!*Wiw6#=r$)e>U7#m8%ouj(hWsF@CwKT$Opg`Go81$H-p1;OY1nA6yX(=)~U` zn;_fO50-yFxKjyXB@t5I#J8AD49-VrHB8*Zw_A*e_02851(iJ5Emr)tznPc9Smm@? zS^hHEw-mTUVwUk-sh}x>6BB@oTv@PRZlg6im#nhU|JTZ$J7r%###OsYfsXIW!ks(M zJ^ij+r1SK<+9I8DI!yr&_w&PHk#@K8RaN%G6O}x5tor=r^DjMrdH-#4MRI8@Mv_aq zGNZjh(Gh+Fxq#Vsg|60|yD}_SPI&02-q#*L3y^8J%VgMhbxHQ+CqpHrr zt+%AoS5=4hkW-xSPm*thuVm|5POiVm?O&87xZXhQ=j_jhPtoHSlv)lG*8Q>()#>w|Ak+8Q{bZ7+~R2?-2(SY ziIhQerm?qug^+|w%LOstjLcYUGTM^-8ct9MQfav$CPlNpLvlAZTrLEuv|JFx)6Ct# znL|fJyCRjA3!?hP2z0>O)(X-cbwP00*dU_Q&O+)qG?i8nTpbiZ#lUE)!RlhDv|Ny( zlqQ4F+0qJ1WEqkjunVS*P}QOUK^$A2OP4HsK&X-BEZ6Gb^2oX*Mvb!q25atxF|L-( zcL&r#dO=4*sMVU2QJ`wKC@U?|xqeY$2~kg~U$zA!5y8*aho)Q~xRz}og@^>tjss=o z%bFgiRkFSfW!tv%%Rsmk$w+NP(mm)h107C80tn#G>%W)TMQMM8Qq_!F>7Y*s)Ql*6x<#+wjf8$3}?Y%IUx)10a%^ixmfyUsrl-4@?%G9X~0(jI}okz6o zX^&Y53KC?T(;XV6p?AUj4+X5wVh9QlWP+HJNfiKfy53k4B?NhI{Lq+DXIc0i#(Iit z7lHz1+KQ!T8HMnj7T|@TK$(8%4aV8g2R!XNY9Al~AxL%Vhemcu4}IG0tfVgl6{xc% zk>}B>It(7QX6+;?K%Ge1LS zXRFh24;@x3t|Sum@wraYtIoQ*;!d^YK9ppmann%?PTPam3b=N*N{yrO0{XRgXm!we zcZ)uKiapw|ygs$JWR%%J?XDdsZc*#vFN`TS z!k zJWCKTFkxEkx(%%!9Wb3!l%w;d#je|mH!)sXEJg__!t7mb0>j3XeYuKP>gC|l9-ZJT~%KS{wkBPgN!Uy_vDSWuy!LD=B7}E!5s%oM!-~GGZ`vdWVlleH^5R{ zp)n}UwWxp;j5W@Y(HJ%hn;_zcMlho>dlbkax&j`u1R?bjGf5Ho?ESvjr*;jz};_=OVJK8c*c$RS4He41i)@Y{jd`ma~l`Rex* zXp9QIQf)hO^ahRbvNQX;L=UeKG-in&Zm)mWbkLb5X^9?gCVKddFZtb{_>WWcAOKQ} z3dZ<9Si}~?%eWI9ZmlUl9?{U!0BIQ&jPZXvp9dQ7SVje7{2#C{W#$UOj0z_B5begz zp))EN_)-n*bq)F^4U+ zmt=sCEW)WGi5$j&OLwcOVvyJOf)iFa_(^u4ax}?xZ_rDXRKCdsuxKk!TIfcT1Qyle z8i#)yU86fe&Dn=YSI9qH4x=}D!GT442fa#0k1?G0^@ttQZ;(JAffoQqBjAme%BJtQZ;(M!O72MC*w2%pqc$+19;tO`JRG`AQ$&ik{dp2_gC$oR9oyWkz{1*GcG7r|EaMc>XQNG!aXG1s zhSwuSJZLZ6t^i%tHzxr%knF_&W@Dv~_DE}1w+E!x$U39K0o*ga^UU`r#wj8r&^vm* zL70Axq&Pq;aK5k^sd|XSZL*VjqKs2KAU)nb98yy5k}*yZm)<*ieUHjGMLa0Y(WTDL z*NkzB2tL{eNs3flGR7%lZb@&=`~t-|#WjAg>gW&Uud4w%2RPF`cCU?zihTzkMLjk1 zx76t*2fxP<({y;S?5LUoh8B=2B9QF>_O&An3krAJDBM+SvpLGYQ6X(bi(LuE>kdKW=8=VCREiN^jbQiyNC-LmJ1Av(g~{OpK+yAg z@L77r)8V6Wx+Z40$i)!hYYrVg8qRU+C3hqSY6QdnLl7od=o;X6(T}XII{9cgNwkJ& zMM8&K?^ZG=*N$s+Lu+5_8)UaPpF4bNe&ooj-KyMcSPB=NDe>&0>7xbWE#%r zsY-4$uQ};cs231L(Yn2UWK{V#75mOvN3P|HOq|EX{&(TVe@wXX?V&!`2bhZp&Rc#c zKK}cE?Bl-te-A`Z+iN@n;_YN0wqf%Z>38}>G~#dBL=6ma(q0b|h%1QZ4Lddc4n`_+ zPCQt3R^z>K9HRtH$(U|~0%=B7u_AS^pCiV&>u^QTG4RlpAa$<`LWh&h3oEWL1G*8U z?sY-5)L3ua2?fQCAa$<`qQ65UKLCO(EvODHy4NKE7=rl%UL+*Rm60STby~s8$_LeI z5gqXh)ehF^@nCLAfv%M5l69F!3-kr*$P-V+S+2eOFSHn7{@ixXW{oNe5F8-qHCo;q zJo{Cjc&{Bqo~1|xG$WmuNU6dm?fi~bHrY;b2HbfxcbD!C#Q*2f=-_-&g&6x|CK8N% zggq18C4!*D>FCen6PCaPO5<%0B^uN5>!L%!!yX7D#ymgHvGpF6j+?jqkdFJkzyFzk z_5H7(!T=9I;tbmB0X^Zt5V4cttiS*V5WDa%i3N(Q14RShtOiBi^5*a_3B+x5ay&cA zT9haJO9ZZ)4RaJ&5&Xw3bQAt1noa@K!3TyQ2FTXP&3rwhN+FYqI5SPrK>XnU#p`?? z)c@cW?sPa^RBd2*fbeR>izfOzYnCSA07WsbiNrpJVrl!-B!QWaCkRDSEZk0Svh=kj z#UO29d4E0!`FzFp#qn{zl_rIvJV&vK-HX)({0Y4xMWcpyKx?DLj<12iDflI!1JBnh zw%)mVWx-Dt{N#7WT%nKn?%)379|#|QxCf%7g-!#+!PHUSED;RDdoTk_EN@>S8Akh7 z1j`hl0X|@AEf*|PfQI;hY2REhrT~G@67~%sMjV=Y%mw+iVi>Tj8fSzcefT1X-g8aC zgVaNTmJp;aD}vh_(8At@3A;Yz z(0}FM`j+4S4+1dO$e;x+kgh$SGuXx}duUXH7SKA{^^FL?Y9~Wb+%$th(1d{yf_TXQ z6>tSw=qPVAIv}P{^%N_L<7O*jRJHQM@3$B*S^)=IwrRVOUQ9uY!>Y3y)L5|sEfgka zg#zbgXD9-V*YxXr$kdxiI}Mukfn_DUEoMy zWYm~xe+NiSLL`CIq;JV6SZ&VGyVTYGzD(%dYpZp8lO~oQQtMAWwf>w>n{2Wx)v5c9 zjlDGVyQ$i z4bpqy(XdXpqqPyoIr8@VihID{=Et6(-|H1~9uPPVic;yGBKg^#Bh|XEc*HOZ!OKr* z1Z-CnweFIn8WMGht_5<$rK_2(1RIZZUV(jRva-8lNc>xCJliscHrXOzR0JOwuUoU| z;L1ZXy8dvrspHT7cfp~b0;F?uIP_g2&A?HwSy6K~%g}-d-8LdLb&FanuvFCCOhwI) zeE+ZgTQ9Vh)B_|n0DwN!UuH4$4jdKq!R@Qcl0vXsF{{frb!C%HLNF{TJaO>)Te21~ zO0!~EQhGfy+3Mh^QqU>hZYJO5RSQ2G0i5?uD5n8Q&)JvNX3z8aGE8lGcq# zqe(sSRV}rzcacNCR+`sdf(ie=7d|Y;_qL7iQqCI0Uw6P6pIG86D)>39Vdo5d{Fi_8 zd;Zqzqvc3pPH(a_&=aK{Ai1SYolM7!&2i)#T~w#wWr}AZVXz(s^cbLLVo_yv?*Z&=vD4Va%`QJa zm`^h9w%h*>nPmUY{|?E-zfmf6j=NFyzj#7&=IX-8a!}ZSVqxu?jr%0EMYeen!+S7L zPl1UfnevJkr#OO}>*&a`jJpu!J-vP@K3-97gLbE?{fPZn)w~!8Fq~NvYujxA$#OgG z54hXpu=gRo9e)xr#L>_ zuc|uAsd+m%-^^0IMGsX~ZiKNn)%DAET>ow*LvqUXV;s+}x_$^$`^$FPU*-#?^FLke zsyfP@5ZA^1lkM1Fy3=A)A1|+Wx~sisWfR}-Hfg}m_F{*!N}u<$!?(DVKJTZ$iR&m! z?Wj4+W`*pRVJ>A5jQ1zurvScRbb1kVrqe6rX0J}PX3pwaj;s?u^y{Daf3G85t4eXi zAp#&+sYva?HeJexaV>?KplM@8c+#a!eM$$yYITVAjnZu#A|2Este@7lV+Vs>OhzPV zX;2w%O*SEzYzXQv_m+x8uMN(zvLV?a0-Vno0WdZzA_KKxc8E@(^--&|VxvzjSUW_> zcBriZs?)PdeBAw)?AAwS$i>M5aZ&wCW-E_rxk!|X@Stjcn^bCs=D zv01cO>TI3Y*c<*&d~$!u|He1*$-ncz@y*aDN~P*`127PZ3y`rw)aAk04#io|X}L3Fc`C!1%eQDab>}Dlwa@%-rfb&|Kuh9LsGEQ) zX-RC0QwUIILL?V-Vm1D#y0ZwPhsNO4u199T-`fRkb`=0xG+VZ~YesU*@Bo0M`&N*; z(^ov=Bo%kvdN+-IHIMsCUQyK+w^F;#*Iy6!s76egMj3!am)iBpnUv_P)}z^(u3c*} zn#IL>)00c>x(p61W~MMn75(p!O#Bv6|f}cEZf2X>leU=pufc!a&+ajTD9znpU|aCZt&^1 zKXb9NIWz^v85l$(1Bh>UT#YuTOx&Wy+|9p5=i~x&<^<0FK5a<{o7tm6r>4W3g#nn6p}u;b%BF ztqOBSqf>#x{OAu8o?dO0#n9>3F{INiBmb)1bsVfDYIGNC9Iy=?bR4ELKB>S^`K&H~ zgJY3BW*sop3mV3pPJ;gcmsL3o*-o~ZZ*tn?`&vvjIt0>-4)_bdZlbUQviJ~lJf|v1 z7DGPYN}Sx@dp2gk+@Cdf&J@yO$X|Z`oiFKqxWyu$KlO3{>_dNYs^|JxYIit^w23mS zxK&eCz}R7kTEQH*TJnoUK6|60izqY4t!R3=dXilgx318uN3)BZRxj_CB7CkSCqv<|o)49O zie-96INND{4ucz?Zc3(Jv7dG-Ao0?y@<^IhQraoKz_7d0%JP~?JALLie%ufLRH!%Y z>GK8x>+pM`Lj#_~DHtVV?9}#^H4wNU`r#O-fbDIw>68c|1jV~4{Bh(pg6d#xzP{%n zNcH9`?ok;g3SqwklbXaSAxQOB1i_nWf?Mk}2tleh7et|>hn)(GZpg=WZQaV{diOQEvMBNQn(vz#`~Q{3isDO=4;;f8L22~aP(J)_9USH z8+82QZI%}kT~laJ@xc(b^#r^UrG_}7z}bpMi=a4gx`hn<4pcBiIWVlC6%+@~1Y@Gt zW@S1d4lNFxuegPJp*}i7DWU=w$|D5Dfipo2>avF4{n{8ZLr@$zKlFyJ!_>myy3=xk z5EKW_1yS52hd!ZPyFIiza3*;`aj^J=Q0&%q6-#RAu}Pj#-6cN|DrS3^qeV$3iJu(k z7=FqlQaGwL)0$$P{pjHD)Sn0@OEs}ePU=@xtCmU84J#|4E>(4S&M>j0s~^MeE6@H8 zz&0&$o$x*+6r;ze*>dUtnK&my(boDRxqnvcv3g`tb;Z)(b6^)DDYsr8!J+~84e-D_MU8tmQ%*66-c7TOSpZ1g_eB&bvjeiCOBcZl>Pq=l;we{=tulut$>!Zl-mM{W05(ggpp)$fO24WM3Ju6#U3rd{v|t|m!9V|X1-wmvk? zbP)t+g`&*cE$5w87eh1cf*3Tw#uC`S{f3xQB}g;vg20|QlYoYjO@+0fm}!?p@*DAn z81$X-``K6Caakn0Es!x?lhk?D!BYa7b5RZqkPz>kKNqD~Shbl=eYD!+vxAvzpZQsr z8J-0eg=V=aiWO2T+0vG^3|R_$bsP9G^V`BI;3)aatQ#2!?uu3M%75 zcSjo_5`v)~(c{%|+%Z?wR<){-C)6Wg?d_U#4?teC#blJ`978=qutU$j3ib$`w%l_1P*s z%Exs`KE+t~F859gde3R{(k>4U&t5w@8SxeuOr^N4T>URCTY9!Hkma>`v50#Q;&bMf#v1V#SQ~6O^>_4cE3cID0j{O`B(jy2CMi> zgCn;v0{`g-Uz#y)gO+MQr~@+I$fq^ojqJs*$Pm!0U-h;_pxps#ywF3Td!3n$=&eVu zedQWVj8VRDZ5JRBepWAW$yTipUb1R_de^*^U1nT{{ zo%R=Z#Su)9KT_UiNx z=d7OP=tI8mz3=&(Zfk;gzTOMkOOl& z_=x$62l#QK>!Ab?_81T>gTumvZ^GfqS=|~1t?M(AO!Bb>dFoXZ;$ZQsD-Ub69sz(pMC-tZQo=o;* zO-e5OPZ3EppZKyNuo&}^7XByteA%gK_>Y7SDs241|J3-Xx4{1-UeF#+kMcj+COoKQ zt>HnzdjwgOyG-g##-_NQ?tR^TP0>NU{+ZwRA5M-dBOrz-7x4(@o-4d>$`md|GArBR=&XK-$S#fMqTK11d zXNx6+!j;{TYDbH~^iU`Gv4K@+rR?b-1+Y*bagy2S!}sSrWEv^dqt;~#lb)2qRUXtw z{$Imx3+xfr>$avCMEmC$y)g&6=D9<0@`C)_EZRHhRWh+3CC2bBjxkv0Kzbpjp5TWP z7&eTQz=aWcViPHf2o|e=?bXQP^vxgi8E^kbA5xMETH4(f)5o~7%@!@V1OUATTos-d ziv!>$vd>}cAaH901!1uI^O)McU6bRYT2Y{wQg!cB+u7?zy)8iOl@EFYvif${9?+~cJgerodHyMF1Sa*m?)?H7RY0BF{1E_B$^V$E9Y#l zc1clqE*W#%F|J23SNn<>HZ8DA#@zNDO1Rpi(;T@ZG$++9qKEF(yN#Mm^V@@vK=LVj zSpD{f3oh1W*w4bb-C#bh|-pB{7%^t%V$ zc+$FOI6TO1gKsnq0l>;r;#p!^A-LkcCj<|mJyc^reh4zps!1jNY=#_JBU?d^GOH{f zP@b*=83VQh{cRkdWP23@m*RRsrz+c_={G_onDz(eUDX6bpn@!AJMB-;CT$A9UA$!c zT2eMa6q2*k1poHu|E|L2#lx;Q=h_%sEpWk#B`Xleh9_{TFT1_^vU#_tP5v+SWj9k_ z_UC@<2mkaRnJNR1zG&?RsbZ2G+#xSd3SUH6Ymk042wN50m3HS1201r^Ik-dZl(svg zXuCH#ItO}GVnfbZBE!lGOb6C&#G)JbE?)OE*n-l1pbi7O7 z;FOy|yBcOzUE$&cNc5J%wQCOXGZbPmrHQ}d>35|eHBY}Q&99j=q~^vcM&{k3#gxux zfjNgO>~H*$KlqhD7Ny$S`Q@;I^??BdkQPk9PneGl!v}ZTTN@20wI0Yl$lwL6JRVtV zSPP0N^|nf6s1TR3=T+vyRx64rHNoyc`~f&=hd#`9MKPr=*mW$lqVya$YgRYtxX~Hw$KBR|5dW2~g!KeDj@QA1^?g0p!I%LB(Biq8omjp#jw}%R>@WD` z_kYh6xeAgn>~A5=%Q9uYy$)qGc<>ktON9q(V=Gtmfu^xy#)FO1pEa}F-k}8$atKqZ zc%Wgxd0_Miv0_FWQ8Wq$6sTeF0QAt?3^tNU1{xEBYXox5Rr9g1_3?LvuBF0*NBCMM zm7A)Hq2_=6#_CjcW0<%x^oD#=(ILV{`G(BOA$Peqo|UXG`MFtCHs{F1ex}4cD(YjC zOOyn)GY-byMwDdF`-GP1HMGuEV)K?CQexlxIe+hU-x%JhF67>+zoqDu(^*a6O6svM z<+Mp8wGMl$Ahb1*ipfe0I8R}SGDIi@uTo;x2NHr=iNT#hxj)k0DAw=sIb|ib@3uMw z2?;nOsErjXB?jseDPG(ce>ViP62n*}bOnf7^x-KXSSc~c*<@{G-qh<$lJX$BPDV?D zrhtZ6r*cvYRQZ?_sz)~`I<1-S4v1E?PY1WLfAoBNju`GD`WvY#;}7;VCzUN#k}AO& zfK*TA>7Ln*w14r2n4v;~(1-C!cI)*4unRyJbdp@z!~Ut?ZxHJZeHzxj8KaUBQOP3| zmomGMd@^&Qrb#7{_!ZVNit(i{rQRX?Ts;?5GBtUBK00}Sd6Wt$^w2IS=w+%wi9nUA zW`c=9jlw>YAS?NK!iALH3eEj6Go-Bp`oK9)A@hARC zAoAL+hKlh#FgvoYUJx%XiyX$ouVrXhtV76k8fsWSN2M9EYZ&E`mT2)Z5$u#cu?u zs9kWsIrk!RUg^JZtMIt%ry+flwHx-9hcP*2vN2IemLKV8>--K0sq z6KhcaUZqL%%0n{oZ|*5Mj~ zy*<+Bs@f$9e|t&zdAF#IE0!eu%_QMJ?_d9vpZ@e4efB!{qjI@B&Xj@{?G17z*m*u9uxbgfkY7dx`WV$xWe zM8Eef+gulN)2|E$vW6d_lmpy*upcj<%M0^!81MMxtCo_yd$}mjPsee5oX$0od{lwb zrL~)Kae$Yo2`{wZkELGFKL?s4x~d>5Kn|vPc+wMoffTDwy*X}=R)=YaV-0G0#4il_ zKxH&~@U>zyNv3BUopvlJg%@~2-ES}LlpgYnBX~&!fWRc79@(lyjDGFKv&56x%i&3x zqDz8|RntyLKlPG$lE`pLRN@#R?Gej2zmhN+xFi#d(%Xs#iC!8{m<)=f(|=%`0ofa? z*H*j{mpp2l2Rqo?H7B78dBi{skY5~Zwl5B-1uXG}FRivUKi{@YX%i)(!Gnzd;%%|2 zQ!H>(FAkRS7l$9?b@bEm7YC;^{^E>v>Pbu;00t{RM=M3O9y9H*uo>h^+l9^G=GhEP z6D({7Uvw{5E(hxYla>G5@A~Pl{gx@5Kblu|0Fp%OI_%pfD@PIn8Au*Y2xOceiAB;L zNLJIBq2kaP=O4`+(9qg$Oog#AL(-qU%t6NaN8$U7^N*wVQqyke{b^689++-Sp|ELr zaW!@G%4wq|FoTi;&d$mRR5<@=Mj+pw@r*!qe;y(upq`w;QBE1;R#v}s`#Mr!5`JTH zNr$olYX8nfrF5@HmlZ$&Q7Tu3b3JS%DkA`zCy-tWDKbdk^ve@*IVY$2&>ZSQ;~OW; zEW}!1GcpNMSTb+<7CWJ?{;@Cl zil?3ns9)_{8}}nA$$TfO@%DRb3v@%My4tqVObhUwwP8exi$La%218j9gfgukU&Z}s zb(1Q$4u$QlbDp9iju>OY5v6M=sc(bt6cou&QGv!Wpu=d>;$l)vgt;3>MiB7!!T#Q^ zm_ni_5W};*l7efaY>O4EioyMgjhYOz4l5*5>rkVr7(}#xP?}t%5$YdkGSpzapem*h z%g1-;khbb-;7F4Xj4(VVv46Ne-B%Z?Z5K+_x`1ngz7% zr^BtmKO|SA7eL7Xd?M{Uu&)Ti=DUNNh{9A#qCG;PU6-7+4m2+U%da){pT<5$rr#(P;@2I{_%9xwDRG7pXHHsUyj`l%lRCe@Cw-|wo(_K zeCPb4^;fS*gPv)gIQc<3g2n7Vng+cu|JT0j$xjcnuW`GZeT(9eJoJKPq}dVkqL19Z zf@R6zTfmNMR3eK348e@OVI&KSyx4WIHcJ_T8G4H$xm$4eH!Egn6dmq1Bo{4ZYI|eF z42?$D1sHQQfDge8jYiW2N`~7NGc=065gU>V9_98N#q8SwSoNos-}nIEgARfpd(!Y0 zV_1C2R`|S|3dUcD)WHPB{LoZ5OM-)CeVBu;6kS@bwQg_A6(2MNy3U#t?f3K?UWQl z5R}PYslrW70+Gq2in%>KPWk8HnojM9BAsw6SU~D9F=>y0u*0VEe@?5PVcEx) zLE<^FjjGyyk%R^z1Gr++)Pta+?&vThD-!Kr#xjN~;sH<`g0q$8==V7?=h%QosV)>8 zH$cIWtU!9jq4uS=)7yGx=;g<|Ve$>%{>Go1=3Uxu={U~dT>@Gmx)<#R)?Oa<1a%cf z;$3RX3B(n(euL3A3voqVaIDRuuA&6=QX?iFm;RTQhE+8ppM*|f;`B`e;g!wKg{gzSX>Ykx|~=J?TV z(lL3L&_^MPH?3LS9%WAp!t}Z^$YF_kftB7mGZd=zvw)9L;dd@@G#&fZ8iL&!#H#$4UqV!YfAoR@Py0cS# z;a!@Q^PoTftxtaN=cU=_8W^+~!z(y=WOSa0=nX>z*pR2%bzp*9#uyH3(Ef=cTy3e? zuf!O@c1vzr=xanD&{>tBD0VwE>XVhk7GXD-nnY#kS4@%@a3T{(8lTx6hvRxD{701fNhgFQQsct{!!3 z4FZfU^L4<>Ku?GFABw`hvUH{k1_ax!QT$p9(y=Onhb=2}fz@u{UN(aK$%`P=gBAs2 zP&vH1>d;h=E(l)=j3adaRzOG^L8?a=JaCq>Tnv5cMlh zme;(tdKA^=qnUj$v#ZCXI~^vdMqox~Bfb{lIok53Wc1XJao};-cu|j!gL<@QIdI-V z_wL&2ao+MnXz*`6@ueU2d{ldApyt&c9#0-RG_DCOus!u+RLM|zY(CZsk>k$MR)`#S zcB>sHv$Jub-wKhV&e2wg3Kke^g~+hL0h(Ld$d6#qQ+(Ou*qT(~fbKRuM@0RK@rIy! zkM{du9u$8_Xk1{Y=4a|x^fSeK^k4GCu|(AN-Nx!_ea%UwM@>`IQc`BoRYnQbBd>O{ zBTta|U(|*y?G;OVg{aq3?l@d4`8&SO(iF;sQEIKm(q3^h?G=COb3WxKo({{aNi(;+ zoM%g zg{pm5iaSWJiS8>MC}rR0SZi7QFl?n_OZl#}om5}lbRFi`eC+@D(SLV-9VSN0D8Lrb zzC^j611R(RP}I{3N|ft@XeSVZcic*uP?dj>DAxqb{5}{yOyMEK6^G6_l9=Dup*w^ZPIa7^O_rp{pDTG9v=m5n8sSvYtL;*PJ7Xs?s9{_*F~J zvbAdYA^|MsDniyEMNz@25LR{<(ZV~H4Q?32`1HsPt-m1tcWG&OOj;Tq+dRha{({f` zwl9dXO+)IGB|rLhLMMW7A?XZYq-?Z#ALx*G%!d*bvE1Xp6)z#MrDxGu~b6Nf@w zpfQWu8Wt}2ia~h3JFc}PG6W-!fkSt6)wv?j{8ljX7>EY8Yn~$l5F`~8R*MxQj{!mS zfoY_&b$Y1~j64RjfTqHrmu)sg2&Oy+ed@BVk)wXzO5IWi$9*?T`vvUhx?A%$sM`a)7h&L7_RxcmS%XFqBk z8xtfiCX4aisQ70mNZ4})0WwcU$vX1@?4b-iW3sOn7M&gEm z$Y&TWCQpv8eZ^%;%;SCEJ6exL!!rfURnJICQyIspHbk4FYx#|+7;>k=s=G#7?zE=? zWbZju4fV}Qx{C>(gbw}rn!nz6PVT^QYziPgjB;hZ$@`Jn)uKDzzTYQyfbibhZ9-Y{ zK@=^d?7?gUalaiyd6Ly*Aw+WU^4BegnuP8$1`(+E!s^iLQK;#{vz4kWexmBSqtyFh`@`Ngi7|!kc_Fw9o`v!G#>&g-jjYjo?_#NN=;^!8%AIaV? zY04mP^j!K33fqe%_(C6>~P** z%&07ZTft0Os9+2Z|1VI{VE=;gKRNg#IyupbN)BoI{WuW=w8_Kc3U#a8t=6uP5}9#} zF#DI2DPqDWdrtAcf6K&vS%`6BYYU5e_le8 z%>PnO2-5;9YnjSZD(@4}Y6uRR{MoPY%Ya=VDnrwEO^wYV3*ki#zJE4Ull@lF2w%7N zOKDP};5)WdG3CE}MWeZ3XVFUq<Vn5trAHRTSzabgh^MWaUt? z6&*QZl~wVNz0l&G4t?B@8)`yik`1)3ZObQp%#{I8`RljUMb2}H2bw)xE7_U#9_Gx! zQ69aa-n%`WH-e#pmA^~4ZV<~0 zzD{n&67x#mfJ~U+1sHOdev8g`nFYnm*dunqj){PB6qjnzv;_T;M@?9{rO4PokA7)t zJxR#u`(vfhAQ0})(fPflfZqW|g#R3frOMokcoAG;3`A=0sU5VwiMQ!rn~G!@Q?)S` zmw(5?hHZ*ix3|du2D?~d8%{PT8$e#WH0_3T2m8p{O-bCmFUG6$Nz_Jtv&Q$YEh-We zx`vSatd5*t6<2Z1*_X1_vbOBc=h)IYC8TSCai5y_8M42fy&92jsvz6UfBOS>vv&A^ zxVWpWR3Z&OKd?*OB-ur>&if~sI0)D{0Hx*2Gjt=XlNA>z!-sbHpvptXK5?U{^DnG+ z6!V7v_pr&esCca5{@=gg{eqzj7Aq(%%kFZuzGr{wR%oLX^}UH9WTcoP{RJVcOcsL% zYOEZ$U)K*m^z0|q&L9puk%+7xB^=$5;+s!nO2Wo%;bxqR=|2Ya^r8dAm~eayUJ~6ZXCL5@SoXE$5+;%v{UK; zWDLsK-3{OsB$0H>!iK10dW_J%6|ItS;qG#Gli7dNtsRh$N)ZXLxeqFtb(hF0c7(?M$J7GOOnu zv~hN4cr7J!7W3NA-z^B^rxKY;H!4NYs3^X%He`7Tp=33UwdQ@d)Y3)%^%Z4_LL?i; zE&dS0u2!Yco5lE+21s}UUKTp>GKof8w9J}KA|wFU$$i`n37MKN`#HV-lhP&KY0&~@ z)2|BAFb$Y1l{(rON8d34LhsOGfv8TfivXS+6aRPk`jB~Kcv&U&{yAtaD-Lzydp+~X zLuvx2CP%viD~a=h7VHiNIv4d?gaEHC(}iPiB!BoItBGq89h}$ z;^Hczjuw^BIFWUpGA5y`Do%IvwrCk3vzjCpdJP1y=iJ6ToH_H}rR>IDG-<(e5hS`z zneeVEuMJNg-u&NvpAa}?Y{9m167 z{SqTFv1B|a4zt&0*y~6mb3S!;U)NlISTeUTkG6WcRHHWx@lDpP1lgxrJ2bYiZQKTc z1@1gSbE7128i}f!xNTWXL12Thw`dY3yFFS5f9?pW8pg9dvYzk}m89h3pPbH6)1^U^ zt~x%C=wW7oEJoTI{DOcA;oXscN*n|AvWd*nK)^RPlpWJPCJ(JaZpyn75Rqg*OR~C@ zSil;#rWb|Xdrsw>4(~gH0>1CyjapjxLvy%;e3svUHLwAxcgdU?S~22LW1VK=FI4NV z#1{4YL8;>S(K(=F$y9z$a0qVlz5K$TF7X6HeOTvV+aN{0Q(4j^Ux0~~u|yxTqoyP& zg;hejepJ3yJ1-lemhf2sHO5@;t7sthYy0ef(=^b*OS2QA;;J z#b6jaK4YlY;=z#OV^@G;4Is3JN+zvRCHwYD9fP(w+x92F}#`m(EPQqBtJL0+TE z!Q)l#bjdJ;b|J(f@k-Iz)JXbBQ3}*F>wJ~$eX2xH-)S+A5Lx@DYxH)1siNEK+Zf>N zZa+L#1Zg~*H$38322B(w>OiHU=D;5KXLfW5!^X94dUP`QUjF!n3KFDjwXb?v zCv__vdcu&9mQ`_{cQaoX*Oj{DotA)p`2eNUUkXM)MS;I7BfbPHx?}}n>l|mIsHy&R z4e2}xY2L{eWa|*3cK@?_nj%E48M7fF1NvJnOP!os@;!dHP~2`hqLflPD`!#QRi$={l? zdC5+fZ(mlS`5o7W`P}cwEkq{DRIuk5o=C~S;oAj4{W{=9<9rtxNYsA?#%5YM>&tk2 zW&SaT@RT=N3S`ok!C@||#6X*A>gf=%Z=Rp{28>}mA|y~szyp7TRbkB)!(qnE&-e&c z*MA4+37q?6v|Asb%fsvNVb zEeOB+T%G_s`FF#mD}@8GUruftS{cwjFFUKsPUBk)}~>3WX^Z}Q-GI>Ph@d5 zuMjqMM(UTnRSj-Z3@mjd%8V|-5+aYtXpq+RPh7Ep+isz6&vu&2-7<{Lg&}a{pIBRd zq70%CmR?Yy8!8v053%Go)eP~NR?tPrKq&V0As1aTS`}_f08;Ou-<%P($#=_5o4oNYX z(+Z-e`EcvgxI5c$*h(~B5IW)sJb+KH^~9sV^@|5cvNQftTeI0iz0gZg$50vZp0n=w zUC72An=OGMW!}rQk>R6+cxhA#B)?c@_8%zWN&Pj-=9=G`TTr^666ic!TYP@EIER%w z(dz$grXJEe3mYdPAPENn^gHw1lrLd2;bj!X(?*2tMh2MnKaUC50CG{|;G*G@JXH?N z#+s>n>~{TwywuP1>UdssZ!yPOspDAZ3D)9O?n*kTN9(<2H(akrbg+v4M-wak(BD|UvQ{U*vu|F3opqHCBgSeA2E zlVcwVzl4+ZtnHF@+CZA~hWT&k5>#|%#vIF>bkZrO!MG%|dWWW*U>b*MpSj%F@^OZP6!}cSnm3$aE4~}Wt)uOjdPL0#IXfMWat1v{%u>T_ z`3Jf0QEio@R7fDwzcgbdL1D8X zRZnWrc;@onqJO(_&wUiYIy&CNhtvPLfKs7Y`u%#P^IRD~DMnilCQA1by}h{w=tDM* zxO10mvxqTvVBvR3T5zzd8ys_4zu2RhlV&(F6_8SSa}3IHFyu_%sj(3@LWiaGX5X7E zRG`JP>{FuViZsIhs8i9E?43VD($jun)dov0XnRD<4QQG|Ouf|ObE$j?YMCXR5ohb=$q8Llw zwsTj%Tg_%>-zb?uRd;Gb&yR?k^9o9c%^au)P%dTH>Ik}>kiQ*oymd%DBn38q5eV7& z`cH6IcrW1mKS7|N9cdSkZqpSmQlTpQQVz+3h13E@3r8ko;bkvr-i zw3M1JhpeM}&O2xybl#@%FXXwx2Tt__(mQCBxGaOi7xS~Y3+$$PiQXKCu-HU1Z<2l?@r>e?hC%u?d z9r1Y>_bgqIqpr=Q444vXXVqG%)^~CkhJZIKpPwY4zNXO zZ?eglC00+%73u<~Tizi2S$H*fQxiV8+~*&S=Fk344Wqm0FCx_DD|YCYn2;mLGU(Vv zXsFa8Z2|K?6bp(p?Eg_T?M=#UT^!kDuZ4Ov`f7#+B@mWBUtP9}Y zLkd`8^s1(dF!X6ety-3Oo6*Ai%~dP3L5VrQ6uANgeyv5ZOW5LA%*3QR_&5oTU)_Q! zIAu7#Wud%17si(sDrURV@y><#DTN~H`&G$S*SpT5RgJB3ys*YW#0d0%k2Y~UBcaJ& zkB`nBc}n*&{q)6Gg&PJ@hPyI$OS*dBW19h~Z!Z6ZFD6)xcDXc0!@T!`ZdHBXa0Tk+ z?@sGxhTKBnnE6;^Dwaoe1SNTzv9s-%Mh6S7qRabnnPA;yuw z;V<3cFJ~4tc}RXuXYS$-hR946w;PkE$de(RoTLG^kYwjjb-%hl;cO7BZyn(HvxU4Q zVNXiJW_S)4|7wVPg!jIyLR6MdZ$-%a&pB?w{lga~jVx6X+?+RPHXjvLg?cwZs3u*|R;;+OFMnmn6(Ja%RvK&E3sZmhbszChPZa-`i*9Vt`MnxF?5KP{L5Hp zsFcHY@XNj@H6a&W>G5CD1hPSrxB^W+HM912WK9$ML&M?wMz{HG8U?&T!d5)*CQ`Zv z=>xO(hK~mIhvM3LQpVB3mbfAHO8p9VwCLu9~zfQqqed7etNVIf?X4DA1LYFah>MC+=HMNDqgFA6B;(f`s zZetB=`n#2(rD+jyVf=4VbRhQF=a{jUHHncFVs^r8_%Trky_eGJP*~Fgkt*=<4?_IS zXSe53CfH9zBnYC9NAO4{=>&hGq66hl1h`2$Pf#xOF#lwpl-pvdD156B^(?7RqhXb= z*1gdVKSEx%!LZtdf%wl^la``qjkok7*E~S55;~W_dkrvOs?UMPs|O zJV1tx>CuP?w|TU@iZZd|@~?Fpx3M&Cd~M31+?*N|sY|7jr*r)pNEa~jP_GBBl;1`E zxFg!9@++Z8!V$zDB|&P)mbMRMS@(Kj{;0&P0-d@mzZjyN_&cMEby2 zdr{>s@2sgIfZkN-&Ri}>Sm+#SS5DFFHbul&Y@K(Zl9KQ}xZ3QxPT0OF3R9CG*RR=l zM5nUfk!ntY@DZIgm()C@JcIv@`b~+ayxI&UXF!wVmJr&U*LZyKDSg*?+@Y!HOJ?|+ zL}PdEBlr#J;%AY^whp}nBY3w4n1>g^ij&YYeP?Kb7@)&B>c+&S&EBBqH})G3jF*SQ z?8sjkgNs#G8Q4whSnCBhvpQKy;TAyO*eRxpbxE<=#fYEc*N_HMrO*0O!VoveSZgQ> zbq7NF1uE7D1(L>BET;z+w5m%EHFZWIkMu3HUo~fT4QqW1)?$7rq<8Fr{$MGRCXm)%|cACjZ_opjpvUq!&v~%I%b*8Ul%gmp@y_2G!op+pVk)-3lNA#l!o=%MxLgA;s>{;g|{fjRBJADX--FXil!S$VNr5>mz{V84Z9HLXq8>I zjkeD%cE+gy=tcPcUt;>QBaqY8I$kx^QtAC*fBm_OkSAbXWPw~gb(YBiM&vpN@i^lj zcbTP9A%woKKfp|T7D``n_LG6Q(y{Mzu8m_&rrpdo->?boKK|Au@@l1fF44i>Q^+Kj zxsRidUrk*ZCy)vpCf5~U{H`Y)l{ZgY7RxJn8m0*GV^wEra`S5tkh@8VY{G~5a89_v z1cv|DNwQ>7Z^ckfohYSk<&Nl+jmAENiq(Ih41EAgFP(Qp8H=(WPmo9EPD;_goiisTKVpQNe&s7A+6e4mK5};gcUNwiTD+f76O#mxS8v` z)>k94V=RcOAV1<8en5`m{ny;$FOgv~Px*6n_DIjrLE0@Nb*TgmBh2u{<~PX(J0z}Hh#8WvGx*9~DO5252F?^6&}rn|aLrBZH>bH}>E;3Wqj z2Ry8qr%xE4KBryxa_m39bu65Fzc$k)&J7&7&es;Wug$bjgO`(J6>_;M%>uG7Rvd%Ds2;?cBW6j}J?$%p1u#Tn> zUUe@zqn3wMnJevS?M5P$(Zgc622GqLG~d6OVxH|0K;`Bk>YtE36Vl-~laR(^liEj3 zm0u;Wz&Q_z;-(}%3yGZ?2<_Y7|6N;kR{0$_YZX?(CZyJXHigmt=c2R3tFe1!Ws{Tk z?bwLV@bU$VvUm_p{Acb(C&t^ocYL8_!`0YUleI5SBz13j;V~am#`|4Z;gbsCW}0^_ zB-L(`cLnduxt3>9%3rFzL+=Rd7v~7;Ri}&0XK(E`NJS^40DY~c%$JA?&z4~gR4YK& zd$%gEKPR`e)qP)l&Mu5`9*2ahrG;SMj;|V!8|G+GCsKY!>bMYD&i!#lgIj-RrN6`}MAo8sw3?61HEU3MB+Yc`k- z9%k4dt2H1lX;mo2y^E&zN7bH0rJ}zs|C!%^S;^@v)80d*MB;onpH+5Fu0BE2Qu&J9 zH}lI-KZpAH7hrd84-osfkrFXxNT}ttTF$=iwtdFezE#4;HC1d?t)bFTqpo<*a)JR3 ztT-Fgm^M||NNPu(N1-ws588N9L^LgL(3Nzql#60J5IzNmEiZiCsXr<#i z21N{TX{)u_>>p9D5|-K#%(TfRxV};SjR!k`a8qdC5#_gx#Jw8Q>1@ix4#(hJW4&w4 za4Wy?Jmz(JE$wG!Fuvc!QFihu9MSkV$9$qkUSU@~D;}EmUeNaIN9(=j?0$1bT1dQh^|;}XayHW(M7_4A z#)90V3!M0Rua}6)LE5fX0Fpy8RR79zDA7_{*7D{2AnL zdaM~}yOxzujR-kP^+w-WW{m0woUWQgW0*3sKvYb0+``nKC&+nISHJy+?m zt;SUBxus*lZB7QIf8M$5Lf4u3lE^jTmi9ICae-^X&MKk*@DW_l;pq0hJ6>y@(YfwQ zXPnu&>UxK81HNIG=7w+8l}@6yuSxc9AGdLZ?)mtgaKqgRy5}YL(y*|$t=+i&e(Rj) zICZRVy?iCKd>&!B#=Np`BeC5=?b!j}AfE%Aoo=QXQGiYoVR$zD0`i_Kd(u|IHz4>Ho}sLj@97Owgw znuW=4p=d~NkCsPx%E%y0H2Dn(XL)&Ise55|r@fc+zF>8sAhZgkOcnHqW3Q*(`V0Wt*3xsi}r1BB*Y3oJiAC zwZY`NEu}YSKArMtCY2<);TNSdvr6*=&A0PokDQ0+W#L0_hI9w4+LzGZ6`leMc38Em zFI6x1=DjQTi7fdPZ$(Gdhgp&l?#(l-TB|$Ccml3nr*tB&?<%+g@z44g1y1rz4C?Qc z^luxMFad41Y-CS`Z4aIHKTG~<^lkD%cTAUA)4qVJn#Z2!>2hb5WLS?|#c|Rd-P&9? z>VFY(-VAvi$4%L8=yu+k%Zltr-g{SWv=}-t+8*mXu|Ueq=$PGWA>Bx|majKP2eIAR z48|eZW-isiPwcd{jXkBykTR$xA~h6CQ8vgq;d3_24G9T-N3sy<(^LaZM*8semn za~K_WXv9y+9pg8i9V03)^UXpyAaCA7hipTCvwzq>DNszAet;9-rl&;64=8V>FRrsN z$gcZ-7w4oJNxC9yDxmORN|aT%>5GaHmsoB(_eJeS2}(wtPQNb=MCG0s;?uCUry}Aw zyO6#~+uSBiKj0YBPISuI|G`z?k(v>E({>8&7O`~$0P|i4A;owz_R~R$mO>M90C8CW zcQHgs*Vco&eg1Wrv zRJSxyd;vQ*(AGuT?fS6wM!3na^G%IhpotPOADnhUgb2lT5C6(Iz<2QgZ3fZ3R4c6@ z^GUVNR?g-8Q@3POwq(`4rj8wnDQMgG#z%^n`?8t)4ow}^;d7?9aMHgjX_@AH>NR?C z%SH5D>qvb;i@;vYu55kKuj3-4c&CU={fTp`Jr(U8=Zz3qp(8@g7ncU#-Wo~iY8sR| z$^=yy12qsSPFBXZ>NQJ_5O^OSIST-N!{@QvBtz$XEgB<3S2u+z=SKd2kDR5Qz({!k zYHfi7i#OU<*#nz{$5wO!_cNS3?Q;Azrql}|_ZH*nIgri7!}lP;V4D}7TneG4cUEs% z`w&5xK2Qee;Lh?eV`wFJWr_zQ$eju?`Ktcut!wH#fXQ2>rEn|Ev;sD z!eq_4reNDtxK+2K?tCJd-_WR$7 ztliaf+h;|)+42=Tw}LI%nym*p-BjC^3t+&)?RtTM%a2M26Jd$MYxf352{=RvYDA)G zB&*c~0RwzkB6Q<0*zuFs5ixJORU~sHL~MfQ&8oVsDhIcf&O|2en0A6p5ee$?6IyoK zwW2e|Kw#TSX6@*-Z_jjyAmt?@nk1#GU&D&x+O)RIj}4^tU~vhuFmrQpG=Ml9k{x>- zrjv%bFXb5C6?)+`WYF}qC*oo-C>qe5#{=$i_92^bhXc#3JHiTI@ul;jd<9KI1WiF! z){9YCs|2gSk3I@cP?^^H9HicE0xWhRSOk|oYs=tzU3XYcT*#&fW?a||qWzyH;n?|x z6#p`sb1FHMVI1hIFyuRfwyrS|*ybR&HZ7>#04fqe=j48_7x};4Dq^O4!dBhnqmOYu zlTsYwgeaI?!o#Gmxq_6#4o}jJ8>sY_XB^PSSCa&w_pR%H1}LX&*ImdSh`3uxNo1Ba_TkVE9baSpLOAVoQi*L(gFg2Dkg z3?M6i;1PeTp>S}M!;2?tlK*kHNj_!Wg@Vb)laoAq%!||hck*6j>;aen0rJfI`jdn@ z>w|oc37#z^zEhFxTJrHzf$aFe$zh1>c%$#Urw=&6|EtB+zp+sM8#9@{!x`;UHB(Hz zdh(f6h#H(3R{h~Iq}D2Yg^DfF=Aoa6LC9itMSLuYt^yQia6WN(;m31-DA`(Wi=HH# zLr8Y1#%+(nrTJ{rU{hGq2jfya| zLWdGzA-6=KigptLEq=YZ`I3aGs=DiM(|ldiU7!5;!2Ebx?$#)V$J4* z9H1ov$TYH1=?u66k$9rv%kf-s$Tqmk}=(8@o$ zLY^8>v)RpMx`-5Nc01>PpCbnl)lbDc8t1sKUNc#c!ZLaOQ)^6Ug7k{fW5r=u7v>ql7hg)VQE>R(xDredi zf-g=bb%`oIQt`U!e4X|VuOkh9ID>>e-pMYz&ZXd)j!Mdg;^Php89X`p|AsLNnxtu$ zySuWWskR5BPKrK;ve!LoY1OnN!KIlRJ+QZxuEx8cZZdC=o^|k>V1prY<PhyvTK(*wb9@I&*8giNa4b{V>pj%SckEsg8&gl(0A+g~Q2xqzc%L zL4zHkMEppGQdZXETjba-YH(z%eYzar^x9gt>YF=i7Xl6yMIwR$)EnurV_(P@X2s+K z%h*TLDF#fwp~kTwm9=RHS~hi|>(2Eg@z4x+Ykjf?kJNqEg6S>wPORFLXurJ~yQ#fe z3p|Q7;|pM&rp-Z9zQeuCRM~BD-AzMv!GEfoDAtQC4zp~#1MVyXu+NJo9(rf90hLe5 z2lRW3$XwwkhByvhyc9GQtDSG4OUIuwwwa6H3jn&Ad8cxcK^R;2f<-Py?gc}2`i_ZW z80ap75F>Y5(xTh%Vi0#--7Z_!b`M>Rzc&(A#@ou-O<;YyXH8;veK%A) z>2p~1bd@VBbJvn9g9*Qo1@Fsw^8hTzApoUuD3?)ulu&S(v236k^X|Axq;-Ydt{ZNJ z5w`4LJ5196PFcMF|snAfmH>Yh#7D@`VC+$HHg(6k|53e&ydRR zr;IJf)MBaG#(Kyalk_`09HS|(_Lf@Lpw!w{U6ebI+5yLl`ijllUgt8W z)~-GSU%PBMlQcUK1`dDUB3b%L+xFLi<>`;iA=@X@d#zy8S4j%m$W`ZGro)j!NgaC+ z(J=9u;&>+y*>xs!OSw2RYOzH6GzFG%HoY5CjXL$LzAVcb~l6D_~5)! zgdAD-NnPJfU6VD^HF$(X5P&U?C3dWPS@i}bqduv+e|$|D9xh)t0c>c3|BvWYAM7-rGXuV5h)o-Ls^8*|usA+Zf9zdqQ`h+xgzoE79 zb2IW@>m zB|cb{loL%b0HMn1)rR$?Y{{Iuvi+ORe{cy!A&#z0+M^euLI$D6M4X*>+h2LNo^NRi z*z8esp;%Et^qisu+0zj*eqUwmI^=-P=VAZ~kM%3-e<9QU#3qsISY5-7*W_uRuq$3m zgGB%j8jd8=bY<|T4hR3)G#wiBd$PTeM532Aqd+93jv$P$AkaV*>)^9FjuCWm8+vL0 zV3s*X(gu-oy;uc7UpLdQ*d@^gZZgM8+uNoL>HLsOJM%ip;yXB7nvC?`Mb3Z)>-+IG zr8!|#RZg6&c7`**)PjQ+JzZ6I^>2Cz9qYcz zVbaxxsip9LB}-kEG`|~Uwn;3q6>Z6gyDoE;GlIFZYw6dklR~uBTZjUbaRsQ6z(NCj zTvhlFXK^;a^>u_%{!apcS~ODJOGxwBCn<}_9qcAj!;4Mxo9XD-{gu;Fz!oMoBG~jT z2!IxVevYG~>(0gqfivOcJ21d|seOcNn^TfGa44r`%bUEk8d!Q!{XaOaWq^GEDI4N{ zw(iASIJ_|ePnps5@Pf5+9Ws5bl+B2g4*liywxMy#^Qbzjl!kk*)`&_PDoAA5P z($cU?Ck6pJK|2N^D6HYp*6ps%n!Sl?oKYMMdNcMCT%+5&vA!;rktV6p3>hJWkS;x} zm0HZBA}x;_(GE%X#aKk4OVmthL2LBMZ3`YAR$ZS|sXd%_T6(1+1fN!^c?<}o5|Z!J zHpZP-DvMZBl_}TUtrl8R7rdAh(yOZtV*$h!0OAsRtr)UYmd^KDn%6NHveLKn_8W5o zn*Ux&s0)fjeP{6b%w<6Piu4XI7kQh@&z6^b3Auwx8TBrpXvCyN-=FDawWe@^LDONT zAYIAEgB4#;bbFgSTz}n1$uE~5QsU$}9>1f5Uo0~`dMhz!E=|`OX>n$jVj@pvU7Whs zohURvK10}{z4j4*_I4_nbJnCd(?@HnIFFT@?U$_l4aWgLFCwjK=m(d<~% zZ0rCcjkK*ArDHewRwxp}ga-@mpM9hTQ%#NRq1)!}r(M2w{8Gbdq-ez7R=( z>0x4X@fDZAO9Pux1TN*LQieKb7S}^JAWzFjveexK3-)5nG{dybD9ZQ7XRuOuc6lYo zp3!Xtv1UrPHlO=W=MB>o>7)GZZ5no95Cy|uj5vW58= z6I^R8w236Xfwki2*#6{Y=KG<35_k0{#moVi1Tf_|1BP7_$Qu^3V)Yu-c_kf~;L z=d~F&8_fWz6Rv3W$=dw*(nqA=b|lL+pTxrnDgs9HU;ytF0e`s0q=h88}=E%oU=!TF0O05~n%y)zv zuXxa5;wC7Ats5;_&J{AC@?ZlP&ILWIJI(k}-sy8^Uni1V3uRy1r!0@ROe3qies|zB z$PA}&m~^sVQ3F$oV3CE`$i9e}VL&KdPYUe&5S|B{@dTI(a*a5C;;s9CJwumkufr{y zR-?XwJ1SHmvZr56?69m&OJSPIp4noK^U(}X?uaw7iqTLA;kFZ>*cEdg(eRo8-aRXD4NkyV6m!8g$~Bt1;%JGVh72WTq+SuBw}s6zZN3 z`tflhYqxIKt(*|tmOB6W4DYZ5 zE&;K@=_SpO*`Y=^0p<0ol20u<&z;>%l~cWSEdn4vZMrR@iep@@5g-)D);vuoWRyu) zO$0RU|LB@)j9zH{4vglt5q1An96|zG!n@|t+GW2>nfe1bM-bLu`D*b-ja;$OR~5_E z*;uFIQX*t4fOLtM-7}=ZW3Hv^ilaaNXNc@l?sxym%geso+pCeQj!C%tnt*&o6B-&y zscfjiNdcw;eAKcvslbt>XhF4~8zQxv*SMk>IC-e1veGT@5V7*e+IZ=Y zNOx?4A;#W6?^!;o&lV}_ubWXQVd5w*C^B%=rnj`U&d_vJ zCEVQVY0*blIlYQve1V=0o5OD;frGh+5aGG7vu zokEs+p{O&?Lj(y(ecp!Hox^?0kk}nDy#%qZ8w#~d-yScc*FwT%)GP%f+z+!6_X`Ta zP}<30BSrzbPxo88Jpa^CT`5}@s&C{tLNR1tG6GZ(YR>NS%C88Qz9lDWdD{qg-$rD# z7O54a`35c++XcCXjY9T*o<7j{RNG%c8oW2JOXQKjwAqRqMBn|hsdT)>==3aG=Te)v zz*ev})-vrkfg6~>#zEN@tZe|JDJGG{duu^V+{`XRZQ;g_>;~BQ+-d zLFq}VaYlM51pNo!psmJxQMKf~?P1HA^%Y&dJIp@$#_Wfo2Ti_lOwuzkwyw=_v?{e>T&_`~fEjU{BLu-bSRPT4cOxEwP{n(l_z> zg5FDH&Y1F>a;6{QHLin$0LChc-M91<^V|d`CIb`KGG5iasNs)`((?P6k_~>4Lnnr% zOa(c|A`A6NsA=_iV9HP8K3R%I)As@WUMuNHXrpC9NOG5}^;|$QpOD>48M~3WCVlP! ze;_gmwvfwh(yMM9|MUUt_}686Agq>xKEn?^#11~cTUG@>CO$6^t)pw1$7F0?dx zrjn*12ePpBTZB;D9G+#NP;9fzdv5^o2Ww5Fz<5`Z^T}FyVVDuTt0mZiu34dP{KB2q zkq~bfk~$40a9^DW5OG z=0h%KrgcUXz1C3v+D)jv=Ppv~8ox={f{LCSG^GkTxJ5;B;7YN!z(7Fc;-% zm7k9hl+Vk>b3}sxxwtyAUXlA+Ie!*v++E+fUS`=7N-%hIoiSF?O^@>QSc|{;m>BU@Fl|jU z$Q8VrA(>063jPwMkb}^=n8$m3TczbAhsz=C8l|x1U0*Baxq9(6@p{ZXlEm4`&i}POqM+;KI87)9@sPX=nmUns$+&Vhaij z?B-Zulw^l*L+2NvNC{VES{=W$w0M*N3CaM*d~yu(&KY1x?8aq;)fSS(zGrCc#?ogn zVWZ34Jru}7u0`=Dzo!R$ouzZp^8MD&T1^hu$oRkv zvov@PC0~f`mMuT;F_48TD``TtmOZ3JX*uO5KHLYwq)E5z7_Ep6qF-A`0TYqnwp4fP zmqV((o9I})aaQMSaanU#Md?p%Gh?fpwmMfoPp#^5pm%rwOW}5cM6K^<@2-JU${Yj$ z`Aj|*WtN2@bQ1hFEc>4=qfo}tN3Z!eR-_LtUq%u@w+ zl&C0}abo-r+@+W+cK5JQ{SR+#W)zcReZc^0f@+R;_+N~t5!1(mLqvqh1+muWEdT$^ zz@CTl14rino|hK!l&|rXPy2bmk}dxKG4&N-P3`~x_g(`K1!*M}1f)f}6;Koqq#LAj zbmx_tfPi!l0bwBB4H6@yhvew)hSB^#gZukG-{;}M?XbMcuFEpR5zq8&?PZ1= zcAae?zsA+TzhOtG2F(bzhd1e*Bw$n9o;x1wsm z%PgMA;BJ<qn~g1Wz_jBB`)CU>cv;NQm42 z$l75O9C&_DQhB6%_7d^bA11Qw>5Ob~rhGDO*lY21Rn``ej$c{mPUWz}k{Z8k2&hauBk_9^g{}H51<6(;f= zGRi;7`U8##I(8OvmiP7kQ6oz8Idjhj8wjhyKM#JtsqtEk2{}H;&l7rm)b;=sBj>L~ zK3d}f6a6&r_#Cs`{ol#eJ903HUzz316_quLC0`65OSL)vxiDK-fb+Al@v~Av_Wd{U zp)^OeuvpdPSW?Q^uwHe8vzE^F5Sy$_5_l$vVo_4wrTKq0zBmu9gm2I{+s+|L?}s}s z5e?VTO?S;+6X{Muc36H{8sRmcroFCaX#d6JwdmFEO$ZOS<EI zQ=x_7W%lqwpGJGsh>0w8GNW8T9{_ty;8dtyTzV8p`lG{(c^Com6+AD5RI0i9Eg3Jy zoma)qhN*(8Olwwo0A~zOJ@FEQ>5*cJ9&aPh_F_bRvRHVYhC!G01+v1eq;R>CmmV9B z{<)k?T~&<^_Cfo_#~s?Y5F6GrZw-;8wF0x!d+lC`G=Fv5rBGOH5+SljS2%`~9P^g2 zM;~a!I)Bf3wh6>>6*GlBQc332v}-B)9n|B1UWvUUBJg+cm2godBD>$#WpTF3r+D5p zVC4Aw)Yk!Rv#_(~TlC9pdSz2(vtQDM{+&DE2IyPlfY11pO3C!LM>HjRu$FYZDz#p{ zZ#=J!j~`r8$*~32^Jw%`F;$4|QcZ~nABOfH7l z(QFkgN&E`~uP1YiYZ}Fo8&?J8Zyvn0QB8Kww+QDiXuV%u{AHE>?2n-=qc2z19k{H0 z2w|7ncsO3rhqK-$BzO=jXU$=)6PS8#UCAC*8>|)g{))+Y{bi~u_lT?E%`b*Vzc{u$_;TuxLH^pD~E0xevL; zJ@ZU#T8DG#nG$EaY>)$2qr7s*jwAkF2JYFT2UhK^+iV#(L2k>*2RDqma}266Vt`?0 zn4%^~Gms0lE11d+6Z68zP+{*&^psGX3dtA|Lx|p%X3HCrUGit&jdB?w!;g49y5wY- zFlAc@leFQ%M`~gjX*&3Ge3AWjq!+V8Q;t!gym8%r{SwYtv5a&oPpI5!Hvbb3OZ?hL zgxEb#jaWgE<7wJd)m$mQgd(%;n8nh)U%)I3%7nod$ z&lH$^rL9v&?QSMdVPB$SQ#vf6VlC7x`O(GF1KiEoY{n*;2JTy0^^o!HM;tCm1%8Hm`y2 z{6Y@kD}i&0Y+)k3DU)iJhcc%Hx})Y&B)mEZ#C|G@(L2cZAx~fsuxP1y+ruP`94Jsz z>PL1a?N1}~Wu|W_Qf_6T|K*o!;4das^5$-g5~(4+Svh?UE+L?_o1)3be@lxA^Q=_5 ztDtY0FwHq^`iNOe(w+EXQzeFnVG^#=AtvaGm~RU~G7$P_ZYGB7-6YmNxgzV28?@Xb zsqg2!cn>r>g==I<_)tEb5RwF-ied-MBHGStEUnD(^BKFp)N$B)SMScFg$7*dE*Ho1 z`{8|*V!XtqZegj?s3yRuYJ_r>90%Es#KvN0IOtV)C`oe>+{1?^KkZ>9xU1D@g3gLw z`bH;=9llmjP*68n&FEM$Qy!R19$0zx=bMrQ25kg#4}mINF)WvxYA#!;FQR+ul00D! zn-QQAK2hG8WEcB(^BYF5KYHj5{x62|ACh9PR%u6EGZ6@zp$|;1npCE+pUXEXH0oHj zFLi3Y&!N?2?e*3dBWA#k@G8pMWIkOV1&S#jDj_kaNT=3r8~5MiK}@}%i{~85fakN{ zrRWh^R%lgb=QohHYx`3GS>w!V&J}&GN_NLo76(s;Vb=&%++p+H5`;4!zQ*`Im|e7v z7`}g2W&lakYo$k|+EJOcXwAVlqGX@!O+HJ92Nd=Tw>Y!kIyDq6 zJyyVXX(r7Lxq(TgTSQ{8wyr@`_cmjSSX~#=I!Re$OByZKAUyAagGe)ELu^XO?>?bP z%>w{n#X!X?9nWtdC1Opm0v($>>G5+|dgJ$;|5o#E?Vx~1a*?_e(GTE3Q8FsQ4x!mm zEl>Tqaz)}6#rOfoutP)nfn1J_d`{ctU!GP4O3s*jcnKx-Ay`uLbTFYWoSB#-(5ft$ z4Tm_iiZ%GKwKE|Il!EE9<~p~vWCQfPlv?85H}43oKPq!1qj3wAFl&nj*MHNm_RTy) z*HOpyrmPzX(Me12Hbk_13%jXEbBg`D=Mw|wiRyeF{s(ebP1cGmn6DD_>iDq|nV@%D zbieHFW{I@vBx5Qm&%Sqe&?2{Dvz0%_N#E{VUQX;kS@Vg4TNmor>8oQ| z;h#`g8kpbq#&3dCEBTiaB`o&73%@YiM2=&sBxnm7X@ASrl`+MTPE@7UlmC|$dM17| zr+cm?R80TGqzREtFjb|Ir`8#WW;B^^fCJHi7MSycq8oaTsIa{uz>S|q+|4Y0{rpn{Er~c?zylPs6 zf~%MrC{N@P3OE@4+GXOBH#K;08@fmgt%ZjB7;jMr-b&4?Wx;b%ql}eHBHPA!qqS5! zveKdGts-T4M)-&Ml$*K7;0>nf=JaRA5B!*EZa$k98SeJUl6lO=h3Xz2eVnDs#X@gI zT_oGp#QOT{{NT*?X=4wr-Lv@~WT%Fbl?{XF=F8GD-fu1ZTQ*$E7Od^UJMKarf{X(8 z$_|=>*Hz8S6Sj>g&)P_~4S)#6&}6*c$(&y{W-)ie$4IeEL$=0Y%f(S}>WQ4S*rVv! zuL8|-2a7tDMG_mqbizQuXpNRBF5MP+Nr?j{OmB|uP3u(#)Hp67$AP{QoS|<_lrJa7 zOA#N~D|bF7&prjB%nvmvutBU)O?w7&zJP!hPW=h?gN@6gsP_6~`hIiG|71w7J#j!#f$~BZGPBkg)qp!(S z#+IoP4JGb_zrluUrQW=EkId9FHOfSGm#8{ZYfHHkkK6~WZh^EL9v?Xuw5vm?Xv6!a zra(aNiWokk*S+Y7?4c!rJ{)Hy40`T;th;WS94^|z9{4;g9`y0_51uQqu9(_WsoAzg zcl;Y4?5*Cj^-mjzC&cA%K;z-a$*6ABC@;qE5h|Qd2_EfeOdZ`>KP%)XR2W6fI#%{N zS(U$-Eakt5#F6X50Xnu&R6$P(w{F9bpsXaOl~BsMRajmcHA}#!Yc>xg92fy{zhlSt z1m_pD$oOx?YdH+J&j*&Za)?5g%*5|T7RbBS*^b?3hlR{rwD)>Sl+9u@#Rn&I-RJL5 zMkW5m%8NIx0ty)?epkI2b8rx>M zZE0zS(T3rN#PCpw1;>CnQfZo>fc%eTAy=`&y}RJK#s zv;a{L+t_G{9h@Y&UR7``N|oe7-I`$+j3TL)5lFapDU=|LqK)fER4gPc=}&fb?L1B{ z?)$wW{ki>KH`_VNt%uw^p0NK!U{R6Jkk0YkfGh`LMR}76FD73Zqdm zza?{9N#;!4#}&pVMen7k6{eUdjteL;K(t_Fo!7qyQ?|+Vx2k<2uu0$^K%}77;IocH zRkxE*f;;=OMRriyVS)t19{vx>g52M2%Jf&Q^Y8br&|bxRHQF!o01N%aLd+DJj$!$s zTg5*!ZfcNKYC!+Y&ySSXr`c~kb;UtvJ604$dpsj6)Np)r3T|8uA^1-%aif{I;U*o} zxzjFA^5!0D+THz$p40!c&t!vY?$hNU5gK|uxEfpU+fEg>K>}H@#U{uf_PX1X;#l7( zs>iKNDgM0Ij)vu%6Gwlw6e7P;mk z{hXQ6G2qx&6PD$14};)EQ%-TVvunj9DdWna4{DZ7^4CYXLU;S|W%%Yo__jXvp((si(9Q&T>n)`LsGf_6*gth`_`t$vrXZmoWp zo*$I*%D>Mg@;*I4Z)%tN@|+%uSVmJ*H71l3sNBGrU3_^8?qxn?mex5K81`$9Lodr@ zgp#fL_;$xC+Ma3p?VnP|k{LsxcLduGc~n2JIXpY?6c_e;EB%N&aj%%FN76x6h1P6l z++t_F_^n$}lZ8QA*fuxI{ncu=nTx^Sl^QnCJGMx_Fq&M;OI;aeAl`IgRvl^H)GKVe z8$&kOG+rR`l$kKIdg;2p2X7~gnEPUmNl~9OOH-vQh3`Y-tlb`k`;*|HEjy+^nP8bm#(19nb*K7vO?c8tNd_Up(6)_>5`ao8! zt|A^s%q_0Re}06jH=zh{HOJ|(JfE2>gIK^9>3g<7##fe+xq1>l_E`c$Nw)}G3f0q@!k$>fqM*#Op>NYo-nG+YsQ(b*0qx&A`*!3 zD#y79|3lzapEb7xOPT>a^!J7HQywc(m4YLXu#PPIo+KEFF{iv76dG8>p-Lqkosyie zI$%(Ji*vo)Oc@2d+mu<_TjB|?d&V$E9lbp!ImFYlMm_=?RF)aObF4>C?jQbyjg|4g z%@gK=`_9!I}KZDfW!m9@xu%d+S)XH}|Oq0Yu!P=97w=`b>xWX=moyA*I&lV6E4OTH-9mRX=z zQDrfxafWi`l&RZZ3j;F;*?2Ui>hnvfdV__gP%s(aVo-$@1+=7<<*CBi1bFrfEtoOp z0r{>}hpr&Aq9~v0ILJ0YHi4hO7}p~nPH)3A=BLDUgB0ia2niXcZr7TK|7ST^EcC7w zvRvQkL0eW#CtU1&ADS9VI78KwT%%tC^vQN1LsJ@s^P5w_7>G=QUacHVuu3z?rSD?e z`)nI>oPNid^NB@Gvz$3|kK}W3RV5r{>)h{v=IQ6^W15(>{wqFeuLl*Ae|R0!MS3gW z+G4iOekOY!sIGM2Ln`t{@}348NxT6m0g_wbyp&Msk6qH&`Y#HE5niWZ!3PxPBkBIDv2`V!Mf*2;!^tLK@B3%RCi$hg@^ zE%(cww<%2z=;^PU{nh-#;0-sU5ZridV$&l)?R;8MXQ3|9l(0}GcvG)KAy2x2uWd=i zbSn)g`Sod!?IJ$(>;>SHQ85Kz!r60y^A!>yvIVgpdHm6N{NBnhDsm3Bakr-}-YIBz zV9^pt!abF{89Ao%zA&W$ZOtpQMbpQO!mRU{$S08|FOqHam<%Jqd&#cpS(Lf3Fq~6M z27EvXyp4rVS<5Gg$&B4#7IgWeflyQ3PFv72Jor=404@+4% z+~RKt)+*CWE8RG(eZMw(zHvp4$78RLeoE`E)Aoso$UwvI9POyqf!gFt`eT~0->>aQ z_)#{wTltMUaZpkyT#KrD&g*$fsj` zIb{bYhWVhxZ!t!V85BR53;XpJ@+&xRj?lz=5r=f}b&Gs3kfQ+ZNS{{FKAAp!qvY4{ z7mz&&snrF&9q6%WZky6Z4xb(?j741)+r4}bcMD(b#A=QkWV9)TnT)y6pa`=tOw;p& zBHTQ<#tT4>E8GCNP1-JRNf|2~Cj&Q&?*N=iV&#b_+_gM&U?)YIA^peH(_z%JEg)OU zysFy;4;y#zYmqb?qSG+eE~v0xoieIupq7}{dxg+)K4Bqg%x#p_O8-tN<`~byP80a^ z2|CyKNh|i?6F@?ip}7TyR{ahS$ghe6@}{5nZTre#^Kkk&pHC9f&gTQDkq(iTw^WeO z=Tm57EjmR^w8Rrwy{DxwAN2-79??%}?~fH57MllQ{C-~Q7R*K97T&<16AVUZJFYlc zA5Czr4>aFJFQ5=hu>T+hIuB<268H_t=D9yt&<(p(md0qXSQT)B8^L-KU*uBkUp3-2b@`pqQTS(g zsM1*12&y3B=E%0nRaizJCL7kiy*aWc+g;KPf6-ie&Ut)&y-5L(wisNa*^6b3m{gzG zvA6X%J5{pAsk$h2(OBU@ceBg09j{~g(|b%c=H8(nTd8>4uJFrLuTlw(3PjdzO=aeu zudJ&Hm420u3t#VEZJ2wcO$>dwYAOYhpgH8jA%Rwtv^$D@i6!~)1#ONB_RF2?&@G#*ytz8)^6BI4mj z^4@vRav1s~d1cA;QRq#F{`1V@z2n?T1$kU~isb_t5^$I(UmC~pT@2!_&ZU zR^ShtI!{UAk%_dS`GHY1gCFL}R|cErIPiNU@5|8tBvr!;r!Ay7V=!%3Go6k|d4fm9 z5!9Jj2{3hlY*Q1cF|rBQwsp;#M$w6}l~70mvxH1xtqIMPY53{0%7S76HmZhoTg_8; zDA(H&2={oi#ITxzzP~S*aGlf0&Z<+zr_IL~g5uK(MBr+U&x zeTV}SY=zk_1}`{nyn{)3F-UB8S~DZH8+sGvzK9WRk0*O4<@mQ>GD!(S*+OiyUsvB_ITGt*YsWG0wGL$RV%UC)OpoI?^9vdk)w$-DAVB zQmupr2F%eYxiU~cA)ZP)H@&Wii~NmJ1kYxzL10o?k0a;I+Fy*|c?viPK!J%aD{772 zqqeXOGPY>~)SUim-nvxd*f+i{*jYmT4I?(+wKn#L<JGY|RR-eE|a@q4t*1`IkU z>#rpUI_}0vL?Uht9s97c$}TN|X57$DRT)(b>G`oK)-X;mm+);k@`%P5M85=6b<1&C zTRb-a(7!Gu$+fShKU-o$HK<{#h1ue@P&$a#_t{Ch59U6#6LW5wj>qo2JhFvTZCQb1 zG38bkk1k#yCq&eX{U#YY@DlYVI7k4rpDaLs+>t2N+K0Ay`=9eLYsC!h;`Z%|6P*xG z&I}3_Ir*sV=07hyduHRwe_CDlvg27vY|BQ|m)fN{`XA9Ba*2GQ>zZ=^DLYE;UKI;I zEo`h_B*xSU&{@yw+Tky6{<^X-X1Galdpgj$*qQFWdcoJC4>hfS9A%Lhv3yBt{f$gixNkgqgCZ^fP{fBw9G8t1sq+M*V{@FKtfb1XP zE2fvdMwC}vS9r2kzq_cR$`vt%VnD&#-u=mpxs)|U#G9gpB7=^TnMbu;`TQlOr>9rj7KjK;>Yq4KWno7S>DG@1QZ|7tQnX+6Xv|RPG2C@cHDyGC z$Q`I+I=bG_dgQQR?8r;-5?+y<*cKOF*8b1UnvWc6*fuV&Ojbhh=ku4sXF6c-3sv6~ zb`yAvM^FO(M-rH7JR2K7eArjQuc+j{c~iPv*M^0Dkq*i*9%zn{z-Ccr`SIl3DR+Qn z5I;O4tSC3YeDAx6LpFDdgzKY0y|D7!fLS7rF)k=Z^9+^NHQeC>QNCF-Q>O4(VRxd* z`5Rq)?dQrO6T|r0km9C=^dnMope1-r4Ppg3nM}5wo(+x@!ks?uqhFT=DnnFyo;(uC z%I*)H>w`@UkeWu~RvQCMg!JC?QkItI(=h^>&@Gp~S^;lT zT;zKyg!n@t@2Xl}GhbwL@Z?}_9(UhlY5n9LVWHLe@W5~cU7EnwJ)j;u;T%$?mgR}T zXbC61{*d{x!d>@IByE?7F+60BP(p3HlIotKt!vloBX7&`oKlDmvz!a4{FToTzLq7) zT5-EybyLU9fL{*CxU^~wH;*KcTa|qR>N#Y}iI&_h#A5LTU6P0PyWR7t^V&iT_B z9BabHwxwq;(S;lS$sJOgl1im8ZM`goMa;I)Qm_f}V_p-^&5oCw?5A#5qKq9JoWc$_ zOQ^N>b$G82Pf9GpP%=t@`dhBhcbPz zyVi*{iVduVdFFdN3+dV6r2*n^dqclV?SwpJDG_g%ETx^+adyi8%*Is~$MzBlnCrc=uoHQK) zOQ>WX_sIFewtbJh*)xO1&yoA=xt_mj=*WSyl;YEg0-AL;k4^J3PozH~G{qbxds5@# zfs)mAU2s6No{ELa<*}+^a87^hb{Z45^K)F!UH3&!`sU}0g+PhPt~n#`bsmp6 zj_MUr4=>@3nttmjcpZ1Iq&VPCxK@t9EGpN*80gUXy(Y(LJfyy6<W(=g{O5jT9Cm$tn3jBL)Pq?P%kj>;n7I4VY!+B1#0)jfK%6E% zt!9&+3VL@N(6K{`KJ5&(pA1&p|JgI7DQP&$X8ro8o7!bMz$!kxaZpaY z)noGGfix$sK>EV%)>7>~f6KMd9FwCHWlOz$ZdSN(Q8Ln&Z}D^Ojmegjt=2qF@pC@E zVXFsc8j>ab$S|9}cmnP<&K1D8Z(W9>)EMCzAGCiz$hXNW<+MGrw4bP<)xR+l&No*D z=VyiU8||5X=v>I{vA@iFZ}-*XGLK0Nu^Si9!_;eM(~=Zuk75|Vh@tu^y5$xdra5hD z$`}RB8oeyPxqi1gic?aQF}g>L{d~1(Qp1$ z7qYF{-V6rHz-{jS$;X59saL_iAqb#(wzr{~r7|>o1NVsmKtTOx zg(nz1Sq-DPVae46MeN)gK5Y6@k_`#lTntD8f`@nZm5{`2Dk;WlrDZ z#2Bys;P5fw?ZvDecxInS&k}sMpoeBYkDeGKI^h&CO6!qt+32FZUR^-NL=#s0lvy+* z&{$(S-Tr}&0-F-!~u-fZ>7eIYwW&L2azv>(qMe0}sy5>R%?<5wA3TM7D@QtgF z%F9gg#E<>T3{xipDk*OluqW0T2W3qrN8hFX)Fo{G`@Ave{zU;lY^5c;$9A7`*Ja*ubjcX?M3zFnEMNU*GQj@( zN)ihHxZaZd(N{MJ*>{=x^ybKt8zG19VVk{B0 zI=ir&QmMA1g+pmFvM71)mH8}r05Y8pH}j6+z06hoAB(*y#$wEq``&%_y1aOOlS9r= ze2<*0!~_%8Y}MH(yMeO%;^H(a?>jo|71LHNzRBwoIleK1?BkNN4Q#;mDgaV;T)!%` zc6RPopTSoQ!#E|5geT!zHB3}MBF9nV1kVu(p`hw3(cl{;$TpIE5dI4Bps%dHAaC+E zYF!aixX0$2R2~;~1sASvjX8<2niQvBXU13;vF>Qn2X0q?|9`RCRYQ57oc!q$4)Dxl z#?QRh#p^#ZRgtrT!86wnDkurMEv+^OFhwC831jjaWhbulha(s-^%-f>`)dA_47{>k z!Unr+f3lxd=DPcH1k5LJ;C`4aeYU8ZyW?9)u2l)u2Ga}dp7%7O;q)6z|tacRw|BnPS3G6M@*1!SJ%M*t`qrVPAaUImy;R0KJ%QGrjy=!ddgD;p}} zX?#wzQ$8wR>xa5(T61m7U)CX)2UOqd&PBl@n$44=GOX&co!FZhg)R z@-_QE)s=TsH$MXNq@J@{O!2MAa4LPZa7?`qio3RlooFmo!?fssq|@xQh=eN1Ae^YR zXP^vpbAd{j4{fP%pN4!3;umSGr=L^DoZ{cy)>Vtqc}?i{!NGr;K)EPk-V`vn(f?X- z*;L5!`%Q7yCbZSb)Bc2}$h1dzb3uONbNs87;C<#^IC0S{{FWo?r>Xp+W`uGk58 zXoB7Z|F00lEF$qCQNt7X&e2>C`3kXp&h?B{+#!opB^7I1K6N^!ABV&>0E}dVdrmRSU*c zNLx9%9r5KRlM~X;K|`Rt2AG^=e5k|usMJS{UNbt9SHogNvb+)!BNcAH<(8r$=qK|3bvHRj05}y3Xp6>+943XQn6o zo;E^LX*Y7}OU{1wJGaBBEk`&o6nIPg&owGC&Gfkx!pHh$28Y~K!kX>eDPi@)z@!9h zkU$8N*WsT^lF5U914eOS zNE=65-gQ#dH@Z#w8*hY#pkzx0?(gLMzQZ0=B9qaDvf;b$)kh$b? zoZi;G^=cUneMDSkksSvyWzZYDDu+EcJ)tMbWj5-iNdza&_<6SxDZvqRnJk|dUOX#8k)}&hZzo*Qx-B(oMY{L=+L5}pXpoLObA|6nXCs@ znmq8=LL2a^CX>VhDx_h1{>|LDqejosDbh=~Xr6XV-vOegX1;*E_&V$(xd5$b+b-b9 zLn+gQy9tIwn5T-gigRn_aBbAoM8A>rt|rufh!kL;P_k_sg~3-^24|H4{o-Aj$tRAi*mpC-|W3q!@{jTb1oe z4F10L;aa^UUa6B`WyHb4EgVl*wcYEOD*rOq);G{eS}(9`ipsFh${)YC9E>glmoxFH zal3q4s{J|fb(#E7us{thj`#GE=x(rt8G`9x$DP6Pbd*Lb_K)M}-aR5gce~BGQ@{TI zBehR3Q!p_0(PQzbNS!bstsG|}5m!qT3r5)QTvlBKh%8a5um ziKTw4)CI)<5_wjJeu_xaHq4rAkIv<9XKGsYHk4yg+`_ROW?c+SO*St#s_D6%OCJNc zX<#jBTr{gP6>Z%G5;)9cq}YP3E@_QTcpk!#+C=y74Xx7(C&+tlH`a3cfp@m|3xXxS ztnvPOP)VT&jSZXjXx0UUItIYgEiPx%ThQzNz+d$iGs~+5Im_+@>0z`UG`I_t#TeCl z%6*OrG3t>t6O#A#yUAhNQh0t;R^IWc>!6{{#r$fRQInbV&NW6{wEFJ6BZp1Hq`Mkw zn~&OV@R<@PT2v!mVNwyKDlD({!sc~_qSHv zwt9Kwh?hPHj$K%0CSV6ZXN!;goO@Yywd>};V{n}B3)zX={Li=uLry;JW@XAxn$YQ$ zte@;MhHFsJ+(25#InWJv?+P@<8wwgs!_&{zo2dTMqun>IV`_i~K8kwowmi(@rcgXT z>HIHG@Y}IFgA0F>Hvh8R*_d*!Ect!WGy|JmD)jC{Y&$Lp4Hvz)Bkcx!ZWLe0t-RT8 zB$j&A$mHLuRi?K2^X+?YYKHBFUS>kgW?=(`h|eR^eL6!%C$u%+lc-!ju=D?67?Qr$ zW3%)GzdHsz+KoTRTD8U@8O&I#hJ^LtRRlkOG%H8lQI&-Vh^yc4lP@|k5_Bm35L!|V ztu&&&SL?ptY0+Tz$QoTv6^2WCX#oLvQ6`D$5w&N84pDav2?se)ZCX473Rm`qU)0e- zaxVBfwrSFs85qyf9s6OtsofN1`5H%IsWAQh&J{P8jUZD+Iaw=0>fy$Ge$xuATt=&) z;-;M8P+GwY&2`B*uj+lpsj@yi3LEry-=+HX(yALVw9F!x!o9&Rj}fz&J4ds4*$@eC;tny*0QeO z%Z!q+&TNMl^xml?8#`9cT{^AQ7e;Frmz<4+IF~;7`Q&Qc{qda-r(+7M=i_rvwj+K%nB4>8OHVVuwOVn}jf8PTLSu6mqa0?H@q^E5Y>)n6yPly2f0dn zllZa+%(7Nf`dYx^7hwH55uI2^3O=}Kpzs2J-As>pDOaQGdSQJ zIR+ly7a16~jr>5N8Pa(kr5BtJ=6U+Ors)w&sPhea(vayld4Q)0E23@}K_oQak)p+J za7+!ddNTUki;VE|?|&t~{jo23N|1g3-EDm_U=7A`hbcPxS_9`Ws}j?p_9L77;t4UuK^cbi7)z&5;>tS5D-GsCxx3scbqQDR z%nQ(T+9Ge9bRz1NAJpg@6?u-}R0qQmf1;Ln*E|A%C$ea19Q5b1C!n%lXzj_1`*=QE z_ntEEn$3#s4Jd>KEAsmMbcLMdS2ki@HbR%JDl;pN^DxDbiTo_| zW?bJ9F*SC|mFs#M@wixWCci&BpQXU@^Q7`;gIhZ$7-T8AYaQ^^rlAH zA1seFYD~yoUnD$}Khi$@Y@tw9pZkr4SJX-}DQJ9$=#6M@6-nax!8`M`sJ96In9GLp zP^JGU(f^;dvBaTL7baV?+nP+hk~2DA?wh0zo>!AIA;|wth zvlY))+(}upLWjgT;&GYk6FI3-wyvF#&A?b{ZI|`Y04BbP3LmoGaN4E_dlGnf!OOk^ ztur?d~Tx8U^dVs9}quHEI*T}mL5a`Pfz<~ zZ%NMAhKSCV*I=1mq7qJg2~>@x;5NGB$lY&(6*aNKtE8bXh^{#@@gE+s!fsT!axxx_ zg{6WQJ{1W&T}qn|i!om5BF9nlXdotXacN8j>DVt9Y^mEtR8XaajvosCHam+cZ0 zs9Vwx-Nz)d=9aO&*3R-Q`yIg;BJp8*O@7pV&y;6sY_B`Jb}J7)rb&CMbh?cA8+XZU zuvR`DBoKIq;b9z{PBNM$Vq;O6+Pu6E)nz{TfIWHpXZD(^1 zFApH#dx54Ol>MaM0N=QlD-~V&N46tKr1pod)525qK|y`RqO_v{lhV!-hT_IUNu zJ7G!hHRmF~?^M{do;hLirgx~$OF0vHn+{)%|1dM40|SGeFxEiM$s9NvU)9hH%b!#@ z0B+KqlZt74^n~V1Ud)u&mm%0LyW{4DHsgXFg%MX$vMY!ycg_Z1MsZA%p}4-@>0KY>Jno{iAWsV{S^ zbokEvJT4~>=;GDS7yaJZVy6K?nVx6Whet@Xb1{zJoFisF=dp^OkiFsfQxIMiom@9Q5=}axt1XQ; z?F_o`iwdb#p-taGdwY$9(!9&YvPIpu zLalljE#30+&n((So{8T`%Bp&-P=5u(*~*4qVKzLCy41-#TQjvk;BOk*#8AnJ!<(}H zB4MRYdWZyU@PAuOL7J2_6?4Ow*vuN>Y_V_&?~12<_2)0NSPQqlB{{mfsJLtLUpz+f z0J%Eg$y8iALWSHVwi;#dt6#?%bmUhfpZ$69=NYuOL__zu^9>?LF)iW?@Qb(}c3H*7 zc?(R+#Q-fDt1$MmW7A!Fm$@i9mW|dyBGqt+cm~z7>C9DUy4KT4XWO~NY~Fjdzb>Z%=+$V4ATd5tII zfvAXnAtNLv(x<8zjEZLAXWaz1m1_yPO(eQN_d8hY36N!WI^Q`8&PNR)?~L`GrP8z# z1%980w+(u@NGR+ZbwoeNZx6q}Cr4 zkywqgcxlMmf%v+sFvduftfgsM(YEJDTJB!oSt8={${{NWfH8o1`rlM-R(SIB{Ic5@ zb?>DE>-^P=u(K0a2V?^q$HP1OaSnE~h1H28sr4R2l%L-Lv-?D}Pm;KuRs=1EL`2W{KP%0QR5n(}l0KmE8%j{_umH>w+D!K-W~yXV#YL5P(-l5$8K*`${) z5V%M3{U1Q7|#r!{j}6%`3Kl z6p9=>q;VyKQFG#$ZMoHEsU0SF5^0k(G;P&S8wE%;ZSTmlO>#^xy}6gEZ?5M0$=tPK+OuxC|A zhXV&*?DwY66&;98X?)!>?sIZc{T22DHp9D!ZT%ARjy7+zq)zG#74HmaBRas)R+$=Z zEni4;lvaMlT)`iMUEWDFj1p*%)v?7z+;_#y1kt-*B6w ztz-?4GA2GsbR zJ+1^MxIuZqii}>T;!da)wz>GYwH(v7ktrNsAN@c70M1}#EG%nbVu0R{{5ys-tHEGyP{(c8m~W6R)@z0G@L~A1 zq|9j%w)#v#G&e7u6JRV2oAk}m-E3A9%a_g_`J`V+D&v9De-m%JSx~^GJ1wAU`Psc+ zf(SOXq;`YenkWsO%rdr&fOb+f@f*km62daeNncqVf$$lNYu0H>GeY!ubup^_RaA9P zPd(a)>WS-j4R-`XLlD~&VGL3 znB~=RU;W;+x{EYr4-2ndCDkekMN$+|dUlw?>e^bA(i=5{o+$|!iD%BC8N-2md zN8DTX?aFsm*|dwf^5@`%Spn_;3?Rvv99_K}bd!`Yks-dHjDb&*E#O?r-hr6ONX-^k z(l_Z$w7TlSErl4U^M2s?=Ld@DI!hX7cJ!Uf@9` z7&xHUJ`3D4OPzm5t-r7-6@XN2mU9l3XP}%Ypt@5U| z)QzqfR|cmufHw_9N&2!-rnC=cX&+W-MoJ6QOABrn(n)q98-fQ6&Giuf4An^zy`_P; zTqJAsgjdW7{nyAs?8&^7CNSCyLvj52awfHp3A87#Y?IPo@^CaE(2m8Ni#?Bq=!YB` z7TSgG7UzHUkzX&%@7B>7Q*HBNNQ20dl;q1gwgh@XVE0o6U1_w6SgZ}DZ7G!Wkqm7m zX&Ekqs&8$-=9qmPS8ZDthCz&p+v9-^zEbAtM};=!7l$4dF?QrC1_#RH6H2b1R*#ST zLAlYWanqF<`E230CuEjB)!R+}w(SnLh18&gCAGKPHqq|t2i`PI$c z6dLSaZxP`Hqv9hio?%l_qQz9Ns)+E#=v-vo*hGyWW@R~CmJ%&cJ(D!)9Y>qtkHp7y zLltj(-#K%Akq$F<5tg_8%7oy|x`*odb@pV_WM(7InQKS)|Iu_E@KE=E{CO&sgk)7# zrRzATL$cRN8E10{XRoaP_s;Wwy}Wv!y9jr`-{<@J zyw|5^-C|mA;QdkC^wNDHyFDL>YFn)Naeqopa*>BPiwKJcNK`MyxfI(*n<2#_Tb)PE z{7~)VzU*T@kCqW8C&i$uQVHkl3kG)nHTpjPUZ^HK$kbVrKColrbWEr_OiT`g3_5z* zOc6m9&e|5f)~>I(q5nR2;yt;1iRI)t-R`48pTz1X4ZoW5%Pi|tggKsk6n41{M}danD#acuc{yrT5YlEB zZBcE3>nbT)Re>rVwz=QNSHWknPi;z=bfWcLEf8am;Q~lJgYrS_`hx=lC1p{kH>W|=W})v~!ynVSJN|I&nXSw`u8wzo-sil&MUT5#+`V=Z z@4Kyd-pP6X{l-8O5k#u6jJFJdZtkx~cHa_1<3xp3(uu*`iWhHp!C3T3F(zAX%RuAU z+(xG_E5+5d{q#}o-Q@xMqi3MyC9#*cshX4PruW>{-$QO)LN0NOBw`zOdo*-q|GJEo zN0s2uaTvnFdfziZR?dAe2D}g4sF-Eec+MLyGHV8J%c$vy6o~8M+EZ}-7oV_-q;c;D zFUA=O@Hpo7pt)Zm8DNMc?6n#g2w-y z-kN_U_U1m@c_%T`SCvg<;PdQBno|8BYk4e}o?wWVB^X(#+c&su^Q86>ttexsQqFb` zqc%IwYxeTuaLRCa-Oj>_-QEWNnhZy`BO+W-PXnJ+uaBWfOw48d9J{@njBGV!`9p(q+0_-Pl)}fHP1v@xKT1Cmzc}0c zpjyw+yrovZ3x5ndAm7n94;*38`>1Ah&|zTBS|x5#k&y25*VdpslJ)0{@qRo6L#9wC z{GjQ5>a5|YCADc+&%Chl@)C63p&7jy)>@sBKj(Z=BP~BcNPP7(&6;jz*3Tf1p@wkb zbwn;H`Po{9pzS(^?`SQIG;V455|SOX>U}FG0;Nwa$bV9Shh0w}L4vN4#35!VB>9(L z&@qDfj0Q7{IqbcqmTMWXX5QKgrV*f$z$S{v^F4%AQShF!#R9!)SUZpYr^uTTt=(cy zx0#UE2^uEXZ8d)-V%J^7IQi;>BuOshi}DuF=O2C26*RySCUNC*=sr6z*d;v5QWwU8<9BH3&a>a?k7Q z-}~|^X$iSCK*lhc88NIoQ~gwCWp*|DfOTIIx18d3P~vurri$6e`g5Xp#P8Dhu*^EbNQEG> ze6rLc`GgL4{FNuPT9o}?jP8}sf=qdwkK|~R*E8K&&OY&IiZmlM1dy7RmwOoy4&7~` zE>7#NPOsKpvj|P(&om3E)CMtovjf^z*sj%CXA5~_E0{B3>iLdyI%@#Qpu!==mby@g z?*j4zZ-sHsrKap}^jX4wW-CEVUoA01bY~nX9|vpG^kng|^KshVGuL>S8+u>fr@|E= zv~|FY*rdI6E1IDSmjq!9E=J5=y_7S3@MS5JR8dZ}aKC4#uBINQ0>P^blfh5YCR_avFA7R$tIofhJ)m z_@(RQkXYkDDV&7R<^KYNz#QjKdA{{%tYJz5D&76Q?jiRj;T(R}&CUALGyj|G^-{r> zFP|2CEGp6*yAZgM3j5DrE~JDvn~VGE@v2z0xrFSEyRMmUKZoQhmFg*pPazA2$ zRu5gs^OdY-__AXu2@I3Qm@hbVmijKHVftyJLW2N*Kf~xB?&A3mOzDeD-fwGt?SbW8 z?^L3!l4&g;cCvej^^}D#k8MgPb}y3rjguPCIDD1XT<=;elAAJ1)6g|MH`ZPEwK=r9 zYyXnm&g=Rh-t+I7>VW+i+C>dT5EV}y2(sT3|j3~|^?E@3!kb?h;e;kjm z=Dp19>1rbhR!~S6XO07lQ8gLYJ&U&Hd}J9^^0Sbd7fB8YWmIv{uYbefjlf*ZH&Bzg zwLlpSN*2@_SWY_LbpwT!Ffx|8d@5J>H^#}x7#g+*Dete?h(Hp5fb|{M$@95yoTP8) z-o1IJ^_f=>f53=9#}C))w?aU8tU=GTpu#xZ@&hqsVjYFyTbrs*8yu>!xtn)xK|>hM zZSO?(A9UZAV8%}-sRN;_rSyhkLAqBKYjqB3r7--HvybJii%tM21L&Ik9BcdsUh?4W zk)g4^ag1df{51&q=}zv+e^z4b`cxEag;}9_q|Iok8ZCO~ECHU%6_-9w`+~#X^sRGz zR8`jYe2wq@yCOC7>+b(xug3D_gZVt6Rbbu6rd(j16UCi(sXcWo?&Wslt9`{uO?-FO zd*c54yJBv72{z&E1Sx*~RkwvYw;ZLQWZHf>wcK4`mWrwqUNkSUjg`fpINgJ;YcB7I z?!%`>0Nir{y!v3M4RExHYJx|;JM>}opU--Hd>YOd&KlYXwkdvD*{GDndk_Lu8aAi z5+0QW-1;mYYIWV+t@M`%;*XKS58nT{MK}0)Q7eU-Odgyz*-+31Vbue00p zN&kXjaZ`S-Uut|XwQfYUb<<^;voy>sJLb_5tUPAA3@VOV%1)>{aX9f**`B}d@u%Lp z$nknkRLxPJdZEzzYf<8lbj;8HHHx)ZYu%CYd~?O%;+rw7{!F=-rd7}7uHexp))^k5 z?TPj7iuSBEs*Y^hoK!u2>ujCH$>IouQy0U*RiN$P@QGt@MzxjwME65m5$!D53Hi8sthNJtqpa!!v_?zj|{L=WbbF`NbLx5Y${z2^=oo~V zPK3Juva;6w5;RvjR}mkxtFMc7WBQ-clT^BY@UxuO-8+@}6-2p4Jr?%=5W4;w zV`-4N+ZphamBNa81H3sjq_6Q&K}yOkb$;n9LvkC+@6cKbc%2jerW_)CSmi4XO~fzu zmZJv3-*7E5qlik{Iu!)4+u~C9p5*Wc-km(U2bDgIYwA_|;yA$tl@=YOg@kfA8vM*% zj94qmaik%|v|?YXIO@(^wI6gjNR(Km;y4gHBVGAqx@Go)=rDbr0=|9Izc5E+I?IFm z#wAuV^M1+wqMhEA=|U!L&)F&c!W$WXi}DNg+gPSfS7UtT<*X|a8>s|X8gO=FTp&gl z;gOhqWEDT_W%{Rz$&0ktZ>9Cv#>ybZU^(mE>B}>D7Zrkaz$zci#$f%=`G}Y9C$xf! z$K1?_U?CoLYI{&sEeZ?x6-w;EG>ZLC1A1U^oE3O)PVN#g=K!O+N817>XRT|S=-bS`UC zKKgz$nBu`@8Pu%D680ODL=&OYXN^>9o+i5aBIqVErJOVvt;NM@2W5e%>gb7{$;5u~ z`g>o_*TLDL@ASuB(sF0TK=m-?*oB+{RUL%u6^ULZ&qqf#G71S(2Hy{52XJLxwkoA!pnNh!k&%@UP#thtA>C zDea;B0T*qAC$LibmX%K*5Egv)@kne(-XY1! zsH%+3;wD1{e8RRG2Ex5vlEc|OnG5t|^P)e#9E|ENSr2-3ca7NIipzYd!nyIxi6)Q7 zKv~6QtORSjbk&|u-ZARc+R*4gT0&pgr2n$*Qs#%guv5Ne+gloaJz?3f%ACHRs$)Fl zzo})c4HmLdAsc@o&-2;sp)9C2M6khCR8A*-v3F1g++5k{SgLm26iTBm22PA~z4=e2 zHOp3hw%xYJ+X4VT1^%%XmQI~(3K5PLO_sewRN-(C8F5&upIB08z^=&u<|W35$0swAuFY&z7rjv>@O56>9*#n; zzu=WQr!0wBVfj;fERjTeZ(Kx2v^&Tzrje ztApHiOMie;x@1R*G10lF>;1e{6aF%(fb~sPLn7!xIDwxm&iY#_4Hwio(kuNOf1cb3 zzBSacaWn(o2=I=!4q-BM%f5UIDEFs0%61TsU~mZ9%C0!`0)sAA85I6}cY&4HKez6VyBfE)kj{!&TcCMx3<7z^_MCyD;)n@1 zabKk~(lRMJF7j88sdul0n;!P7tE^SOLWN4la~UZi3l@3n@psoi*Qp|JH7f+`ICQwwi+wjaZD$fHJ?KpcYe>wI;)kD}#ZRbFFkl~i1aG=$+w z`Buw%@>z@32u@A%C#|KyEjNx>^SjaETpXsj0#prrnnev8`f9)bm{xYTO%(-id=dHN zmU>5k@lS`ej>`&v=Oj&(`Mh0}?_Dtdg{}<1s#~8G_WH`6ms6i>c0l}4i5S4*qal1q z{$3Z@@3GgS?k`7F&NC{%Hz-&;EVx{1Ya*jHc7}bdBQ`RSOqzT$h0D!nU{8G}#-p3L zSlz?hx4q8Td=c$w{QhDu9uWsHcx9VcGxV^{Yvz3&d*2FlW07jHz_!fQw?_seSQk}Y z#fDB?WU7T~R!v}2T(WK`#(JuYLsl=BP|p56Y;B$Dy;yIs42zyL7#bhy_6N6ie%nCF$Sn_c^;knlu29YXYe1>`n)K9r^27B~sT9pJs`f-U(7Ao7 z(A4?PqoQ(uvk)a?+FXuuUTmltb{{QQgYN&L5>}zaKI>qy_1iQ01?BfvvG=JRLroD@S;*X zJ{jxpRCtUQ1G~jkrJ!r1Eo5_0*865_YH~(+QwNTI!NG3lLq5Z&(1dt^l(ELIb+mhR zN3-#-B@mxHNTLFgIx+>;I&Fg$*SM@LXMWBDYM{@;NILtITXattThgq#tGf2IDROx6 z_M3`dX;UH&%6Mphnku(B+IOjOTD3(=j1p7EZ704nV{1@w4J`MNZqB)MhR9dsAllb# zYEN#99Iw!FMEO8WC`;cEF(qX=;7UX=`XIY@$@Aewn=&i_++LEujKJg_C`L#8$nH3P zvC+Yu8H3RUa&2(uaN4e$?+?Cgv;BR?l@0~(l_gYHn3a(*2lpSXfYkrrPhKky7PI%y zB(QQ+ND#}Ss}uaAzui8sfJq25B!Xfv`sh$g0Wp88`bhH* zpR|`^XO`}w@}cor7VLP!k4oaMsrA`p!LIGZjCySewD?i*ZGgmx+XsQwDJ#(|)d!vS z@2HTYcPszB=Hp=jmpID`=E%qgZ6S5IB5q7)`SO=HmD_D(y_fdw-QQ-}uGUgpPf(;BMXwQ`JR?)lO#o~gsGy;=G^T$a(Wz1Og9y=sf2nOf zLmnz53WGAuCj+#^j8KhAqQze(HqLF_u&ZF_uM5)2({tr$(iZ=Efn&pZQ8od)x%1`1 z_e4jRUehJ(a9%98#riHhPAH$~%9;nlD_!{1T_{I^G3aFU7eizQoY?*)!=kjo(9U>M zJGKHkO`zo_^*fs+o;TioDY6SHum$ruua?vtFSgHetTh8NgG7VQQlMBa`6>PPzg3JT zKA&|e+LL4+RvzHw0~JUfOy zEPTb!vLDztl$HBG`lmV8C|x4Feo!G(nrzigvaE0MZBHDx@rx|WCLUGmxv?nnJnvS2 z_0I*P8>h`QqV}Df+7({}V7?3G>}N3E(fjRdg4i45G;DTijT@;}NHPl$DU0;y0Sjnsy@*^erPRCI(9wk-!P)^M9svv_xo||_vRrv zmz0&z^(|?{?5!bS4zP+FV;xQ0k8=x}_F4%+(+)~xee@~!`O1lku?8_-r=-O-$`SV2 z`rSVevou$U+Ed9as20WUK_}}fiKOx1zp3KU(3Hj7yVp{v?+bn?cYWi}wr(mCqq!{^ zh8I4JB(ffZ|9nHsk0-JM)j>*E75_s^hf@>~P)3f+#oYSwU=Wx6VD0ZcI*&_q_Agf? zfT#haS5BVQV%=3=V=&zO3x{$3KNiVyP2?(G$P(!G{MLk$MV{XUe1BLf!4!-C5T7Me z_QHM3@kl?fSg$h&nbDlVS6q2a+)qA)qj!uK3eijY_L6liHI@eLZIJ;+{`~hH4E*_X z2kQ1%6_~=1c88rX$ay`mG#-oY(Dx9^pr}zmTRd-$vF5*Ds@&obuA<;8#Jm*->x~F< zBW_~1!?f)@Sg)R^h{-LY44V19@itg(%cD_+mi(ooCxG}s+cirYC)I|TI4b$!P~EML zF^Qb6HaFTuLQRG$)8n_L7BRW%0_gW>2e(%p~3eZdn=ValNjXEhaS=62UId3}YiViNv3!rmf)jI8rg`OCO@YJfimR=1?MU~DnW#CWJC2k}YiHBbPC?Vs4c?GQU|r$!HcmDTeIi9!y7 zAWCBUl~{8C)OezrWoVcyxLqZh?S#4z12OWwGvjXzilx5lMuQGo6$7y>=%%SX&D`S> zdrySCbx*`3jlb2TCugZDeV9xYjucU}NQma5ci&n)Sm!Hf6c0yM>sQi4@#v)`K)xe(d zFIIEkvBzIxR^4I`+1yk?Jel++72Z=UYu@?g#V7qVMHh7PDpYfv|&uTNxO2 zz5k$^g&-AaY;8G+0JGdb4ehJ7;svZsr_n?N$%%}h%B+UC7-~U8IVWhmLeKGfoac~3 z&-0p?<6)3mEEIZ;Oy!z8PTqm>tW>`HI{DdeQ&GrlxTT{f8+bz@LJ)b4nT@MwS}ow+ z1u#q?2r#+@EwKEiK~%Oq`Uuz@PaMZ2;1hIfE*Tonhot^yXMGiCU7gOmEI)1_?P<$< z53%+zWNPoGH2&dTSJd05xFgp{ONmyl@?#3z)Ew(x7bGir5aBUPOs%x!c|;4r{wFFu z2aO4Ty89&dP5nbmmQzXh33U*I8D0+LonT=`1T0PrD_QNMQamjctzGcB_JrD(3qRHc zvjNM_vp4}HUurK!Ego#nS=O%|pC@L}O#e7}`)Hwgr!N(co%cwvD9?#Mo~hgR`u{)D zJV?ZKC1}7trEr&Vne_Z1NHDP&B^4PyTRIiP~nY#3@;hf0nCYeppu^OwS#XU`@ z4EQDC{Ua4IwPTG8kBmGb+ATNAeB)(RK=YUF)9gj;(Dqy?_zbioSD{o`tfh2+`ht8;?2gQX2ll=oBGx)W__KjiWCyQPWDX~ z+PLqSA5kZMRqN7taN4exwhdHH_{y4){CQP#T2T3vhK!2P8s@h)p#6!ID;1kA0K5>0 zdOVsChEI=o`a@Ap8Mhgq7MEcDcv0UelZQw?ZnpD$^AdokQ$P9lAAg-)-Wd5kW_4(2 zH&gee87^ou7NieOYT&g%shgI(XsPH$6V;t32>-OC*L*PZ)lfUL;Jn?@4<53+y{I?2 zuydb#?)X}JS+3yUx0G=u6XDh6?xLAKmpFAu##z~MGf4s}+qDAbg&z3A&J8<;B=EI~ z8*N|S7SJTrT>(GDO`EBWj?dwgzZ%cU)7d;_Y}hw_!3HapmIFGSM|FRs4sS6fsE=W- zXUbF2pCu~`jq3y#?Dka0Od>b5rX?%4#Kw)5TBWsqcnM=!&pg0BD{Fp|!d-N5tIo?yaB}g`b&rsPoxCUc!f0y z{CpuuP#B4Sxor_}kk1}`ag-ZdP_|5|pP`7B2=;P?|NZNnd`T5QfrYTmk83&g8#C$l zb$dCq(VNkc zHOnsu7#!Nw3R2yXmTNH`JencV{`XIuR8ejX98J>zw&2z|usVzwQJ%(mcw8cE;;3`Y z>2~XHfIXg=Elp3Kyn;7GqlgEc^lv^r_@#Z%*!@QtF*js}V!5BcPh-8c#y*k_7 zU`4MLcM(L$iQ~gI?9xbPkUgR2X&cSo=Np_W5%dB&wK9&Ci0K11_0?Z@ zz8RPkbRiK-RogCfFm`)sv#maZvu*UX9*?ek>Qr#}#&^sZv%qc__`=gxk;HD9aR%uc zH-OiBC1MVnF~VHT%}SkV!d!U|i&GW%IrgNum5QDgKK?QHr!!bM*?~LBm0PY)(EH?V zto3?UTMv#}RhEui(lbuffT&2IgIuy0`oC*jwfXL4;70p|75f%67U09LKfdFJKl{&?^*Nu)*(Ez|Cu z_4{@G0X=mc^5Yvf0Fk+XtgqY9oz%Ai{~H)Tam{cS=_b9ms^G+??d1DYs!I$hm6qKS z3-`g89|pHOrQ{=sxgjiw|E$<7_~_QfE)TlYfl)EBwtI+Ki}$8`wx&2b#sg*SvcTcf zD4nXlIpe@7vrFV8{}-79`dAphJ+{j<-QK^Ch-F7gfZH<`R*9Pv^c6B3nkj8XQ#qg2 z40CzQo@>?Y`(0vlVdKR`vV0zKCYYq}%hZvc@( zV3^a-taNb|nR1-ePAP5{{4D?0m)*Mf5ih#D(#m{mkLTjYZ8LS44}o*u?&)oE@-*{F z7ds!XCdA@<<67nRdLVBj~m;pfBHdOZ^#6IHDKLdt(fK5*tkLTPSh5YRadlh+=|9= zNdpfAW7H%oVvdJ1H9(}UlFe>V7Z|n=J_df|Wc^IfDh@-LTrV;>= zsuuU;qAIfQG|jPc4a&&RehCzEvFRyw!)g$Qp&p;3i^y#)HpaR9c4r<-h00M1x`_{Sgc_;3~=WRA!o5jn7xDdA9bze>- z40oLFYop_o0ftj_{gn*<8(~hvxkS=u1w=rdTl~BHiH0?}HJUe6??llpG|$T79|cA(hV3|ndo{IQQpLv@xjgP@lD~(ng%FilAJEPtDwVyUv@5Jud`L-<}rRa)aT ztVS`GOLC8lCR>y_!GosQGHjXoX56NKiJ+$ukdm_)aQJ+9K(-qqYxYRXlBucAUq6 zLb8he!A|%i%9(3q_o$Fh1RfSVsnBgH{b{)(Ea#X32RFoD2a1Rt;o_Upr!)ktv0BAZ zJo#|i4XVRozYUC&J3MkVLMmq~<%+V_xQjka*4&92Kw4Aesp?-ug>LlxGnm5-vY z2>9%_hrwnV`j+_3*(qnU(^7pf7Ns*9lBkd6A~!}hZrlk}^}2C2se0xfs|Ir)ZvyE3IE_ICHqU~!hcy*!)`11glkyFkswbm(-a(OCY#1ZEum6n{ty`$H=~;c zukeS`_ngFf2vg(RGqXs}Qh0vDo~114fVgh}h;`*HS+e`Of<^$iXM})fL7_d3I(xj) zcl$n3ixCdmllgpiMZ@?m6+ZkJA6hnMoeYf5`0gJp_NLWK*S++~GdBN3BN)BJ7}w>{ zyMA`eQ|LJ75wAS>Vus^CnJ*CdHr2ohq(x4H%eIOL6>=#{bfyFQWwwB1dxHO9+YRa% zqEa8Q}OJTqg4`OV(x>i-H?9r@m=X+kIe+d)zC^Yes8=; ztR1MDQ1Gp95=lV4eMMS4p>6?NK;7@uZ)>8m-I96jv2>mK-rSfln`oeoVLskzY=zu~ z>K%D!t7 zQOEE0F20%-(*+GhmbeUEf%rSuLXN+@eJvVC{V`xLwB9pEnh?VT{<1c z=6O(|zRi5FM5cREm=Dvp)Btt{fboMUp-Q7)x^=lXjz**N^A`3iC&gEtt<9sL__xw@ za63AxFx97aU8U4^P|Z3D&o0qctD2{{ha+5Nmkt`acZ+=Q8TcDZ{Ac{uv5qcSdLP1M zDo^;8#u`4$*dGSX3c(&9b?VnTFA%R~LnUh&d6k*;HP0t(4ZTje-xKg5x!+)*1*-KF zMaGRl@s&1KROOH9I=LH9E@EFK3T4wUQvN-S60H%UU{2~MBy-r<{8F1L{^T9CQFHy}B7pZO9(`p%4{{`AXu+bz{o4{aGRR{59~Dh;+$W(-kkS=^a0l_vdqX`0JKm z4XLC<@W!Z$>}x-47dgg@`BJ)X;b)C^X(Lg$rJUaS>9SKW92q~ z_8gg*VM}y>pq;|QRt1>O1X2RzQncB+F$u<=kg>O*%DwhFhGlkn;f;oJ0c%+H%)3xdY;(Q;aabAqubV=-T1&HM{7puDlzZ zHyvg#S2wBM85PO5^Sl~&d+Wee=4P~l=7U(gX1JF>R>_7m3e(5)mSU^V*Kym$SNi)X zUtsA6(UZyfpz5+x3FaN%gGu8&X*~MP#{S0X`_;By=iY$%@~7@?^CUpEWVYH`?T3cg z=VgpsG5{nVUXhehW&A^u=a*4Bkw%-{HR}2x)D!eg)2+{lue+7yD_mt9u`@Gxo@!{y zQoYcS*Gr@&OwM=R0Io@SjSd8?SdgjgAJr^x0E;PL!t9KT zW2=Sw8C5|ng>~fkDQe3vK=-bD^6?guX!gZ&vd1Ya}`Wa{)%V4Y!ED&*^;G`7S7$(KVrdpd1kWM%Vb&)lab#ssZD$q zP=J^|c@LIwHjs-zr~zd~52XwEmoR#6RN>G`9{ZdT3a)qbnC4Ia+{eX>}kC?wBR zx$YyMlA|Xc0QbN|Wo}J8qn)&DRdXURPZN?=8mXx?iP_)s#!++pdd8p+1?5vFMyPyH zy81hiyXatV`iLxx^+Eff`&+j1PJmn+8d9D$=&JL!R#DSIJ;u*IO4dq4?*21|30Gd@ zbuNJCTJx=(V!3eJolWrQcV&U&^9t(b$`^g`La&CW*1D|3am5KC)vJFriKTwM%o(Uw z20HlBi4`)MPRpbsPmRt4HcNl~U#ZWxIWnOcH)DVAEnHT$7B9bnss4LDm*CZzcwJ`g zH+b?5F`U!I!qD80M++&>LYSm_@5FkpQU64sVZf_A(C0&Jm+pUWMpy~IKdIg12|U>I z+Cki{yC`e11Y+k&j&6U0K}k8YF`^FgmK2O#K(gSzDp&>z>gj)yv-9sHR^K=xS8crQ zeY~dCn&;V5k!?prNA0soPC|8B%#RYj>LUToc5#6rOW#UgQBpSLe~mv4fy9>yBp?8I zQvkGkjPQoUqbvu>J4AOiar61-e*;eS-T`9~6b z(a`yCjGKcmNY3SD^r9nWGBFR&8DCK+gxhOSV2eBUW_X>8)z`@yi$Y^OExo}(@> zA1jprV2!4sbtlPZ|FaB!-ydH4+q6imXegS{=sm)si3b;R5K9gBt}pBSqchzpIA^{2 z#{gsQb@2kQ#sYr_lJBqEcBNhG2fcUG%zGu%fZ-`Oc5Et1@v=Z&wqXPQkNN~W1l(WW z$;prFV60RES0?(BaK5@>Hz&VwijHr_C@n_g0k~ofs)&1rw3$lJ56cih!5A`8ccJh?n zyOWu)Y`#)I$;#~dLn1hi$CyY@WcI4Yx6pPECC=$T!X`v|^baPKSKrxgxoUuF55fX5 zLn~s-ymUjSDC^b8B7)m@zE|axyU!h!bs!f-Bn_U# zwJ)OM2Xj)W?%%M$@PC~|CUf%~^T!r|nvS4$FBECht9Q>g;W1wsU!1diIZ1S@P(_q z`F?k)h4%q6aJ%TGw_`IfNyIl1?f+!db5uEt0`?Y(D$A54X5ZuuX1cG(Sg6#4V^w+7 zo>gNnU6@j&M(hpE(k|J6<|#(H6ZZ4ImjSxbiniax4%^)>z&qP5mT46#FL2j&I|44C z2lv?j#Y$9Gq_7#sz~jJnsey!uWqO8EFIo)xd|sqq{XY0n6zT; zU+8vsOSLjoOIH^@_l6L#zzg?MDw!DPV%U|4CMN+?J7D=qrQ!g_aB|uGwF@xx$brz8 zT3i%>34hA@iYhtL4S~77j=Pp8I=_`&pPXNzotthew&9#%=Kp$ZECib)*WCoq0F!)N z%(7exw()2gsMw1o3^!j9z2%&XJt}XESvLCS#G0BvcNfr{Mpi)hvK0H*&vtT~1K!`m z0VYzp9kNpT;qP2)t86o)srVQlfK8u5K1M}-Y0H0g}#@fq*X8FYWufMcJo_I<%$$4b_relwghI3wfIg4q|Z9;coMuq>)CpT-3~Z<+$Gyy*!OsA2t1U zV#;+fhoFK0?~H6)w*R{OczRfzE5?4Ag&-e+&70pV0o$69v4|&xw-7)DJ5(iC=lo4e zkl+~roa7+R9!$&|X6S{sZ}ExDh+l5;JUUulDB_fN++*9|3nywJ%OHQGLb3;KnQSb< z9~>}5&qC4so=BWdWlAO9_jkmfQYq`8ZHm`9Z4(Krj318Vf9qa~hRf&&m~OvbZ~Z(Y zBHsgqS`AYZ>t3sF50Iw<^Pu8jbhwDm!4OaVcVOuU{gZ0?Sr;uA z(LgdIIJJ_LTUF)c6VOuMbcTI#*(9GLBB@>DvqO>j|9*|phL};ET6{?P;p^l<61(PQ>AO1 zvbE6OxVR=74ThHz0NNjKkk^;2>kS$eYZKB)w8Rd~Z*i~beLxg(cWqpawf=~`x}qWP z60-1zUv7y>BSW;s>d)=^hjhOFw_QRaP4`~Y^F3^w5oS#Ojx1ep^E*FgJ^#EYd2u4F z(>QkhR1YP*@R0VxbhlPjqb0Ib*^I)|5%S;+A>sdh~vevmYQuiMX9kylYYGX=9 zz<|G&un2CYE`3B-BoG$9^^Knd6UVifw_{#e-=;oLYAZNy9@Z8xN3aV3!YhP{Q+lB} zQq@{l4{UNJt1h)_CN(&54Tg(An8$b7?bXs5G*23`dQ$tW#_Wb8!ioAmmsp#qS&=*k zR;`m~E1$!Oc8}D{9z+n9v|U~6u}x{Ze|<|c#Q&)QbF{x#d=%aKfd{(rUeI_Vvq*^J zZ`>eSU_TBVhB=~bb}}PoY(x6Sy%mZcqQLPEqAnJMt@`*DGTj!vXd(#4Z__Sq%^)w2 z0JoZtLNMTclygL7H_eF9oUFJVUx;Hr`t;o}Z{xnkQ%*a8o&lCisC&1+G{#Lu$;Ncf zXJ~8$<;y4etq>t-aRa0f0JZvuf*`Uc@EJ;9X|;#x4IR1joDkUI`?7O2+{F-b+glFM zJc3AF8R5l~z_F>M%lN4O6+QmRc5Z%H{zLWS1^>an-rjD8^SvaNFMTXhTGK&w3+)CK zar;Ui)+@jr#SRt5c#|oNPcqh=ve|zFGmS5(spM7p=z1Ro*r++axz9ly{P6iA0H5t$ z)r6sXk3hTR?f!22^vmjujJVu}?!Gapl4oDwvh7`g3x!)F*Gjd4L-SkR-I-?FPl)1; zkSp@Br;g5rKtcM@vX26DM;}$_nxU88H0=Jnv8&3r{%%c7?5!NI6$PbTTej|ssbl8O zsK-4m+3*Di$_sF2dFK#odT#!B$!~YAZBwXgkl*--`#iNsDFmTHw&7FQre>UfP+88* z=C)BGb#*UmSgI=gN+&EU%&&2=7;ql7rv%RRNZpOond%)X)EPBdcsQ8Az%Sgw>!W6k zTfD+6fS-K8M`)kE9*xg1<2*IqOV#F*NTb3Qjeh=bb&I$gDgP_~27c{>&xBvBm6-}} zoOyKaO`~IsqfZ<+leh_?Lv6k?IAh`B+m03IY5LCP@BhffA2)U)`k#|CsXB9No49G= z7{7E6m=80Kk27|H##8$Qyf^mzKUK*<(0#S0+=b07BrlJhd{pg(*4Tf{H;gxX#9YdY=WFCbCY`BbU(YaTlg1J$(r9_qJOe;W2Ip;hbWgo1*qv1$ECKDTLhfm!{@8xr&g|- zaOix}7*y7wF!`oR(3}WO#Bjl5C=Eh9>&n-@@TSeAd`}&7#xJskg_YxG#)jHk-PcVs z7~u;Aw&uksuXK=gS7Y#0#YOmYDHl6F#eh)V!m6#v8mS@P-;DvJKrDSLtAi?0jJb6w`eDwql#soQd+a&EVUy;hPT7 z`*_R#;5Mj9CM92teO+bi$!3oOi(w*IV=Yn^Z0~)XWeM3aD)aKj!YcHRraaw5qu66X z3@eiFo7 zcjx$Vrhd@{z_)`^#_nA@9gVJ63U_i^P^^0=_`L65GFnZ8_k62D@%1`Ctmsdj#@F4y z7UEZ#Bx29Bw{NJWdtf%uG*<~qW5A7o)LkXuk|YVae-Y?;6FdINKZLXLUwDm<1WYYJ zt;i+W_4Qr~cVB?_e|KwM^%INfwhHK?vu3)J1IX$tt*u_-Gf2{?jgc@JJega53t|31 z;diB5Ac(0MH{07D#folX7x{-xZs$x~T-j7s^z50ar_95*bQ$pf;{!kk6F6|13a#-l zp%Ts^ab;zhgEspIY{8!I>{LNQ)#(Sv10NiCISN!2D2TxK6K)`T*0YXD_B+y+&-$a*9`N_tu#bXSMht&G1c1nyWCe)O3 z6jF?c0XiYDxdXgrR|yXS2L?ZpX2L7;m%!3YTlT*^YVoVJt|RY(2q{rlS*PLYul{e zjwCOKF?eh?_a8ar;7-O!*I2PcZEkM@o?8GOUb*sA)>fN@JS|^({eFlRwcS zl*ZXqM^c)$bej}JK9mT1-%vwEGJAu`DM*=j%E)GPIzqrDR|KQv^t|7w8M9+sYN4+Lfw>;Lk8Uf+o6iU7k=3{GQ#O|ncEs;jQG8JC7xu$>uBz__bihV6eu-+gy zfTZ;q(@qFJQ6sm6SStDBjEAKv0ZR!-yBCaAkL=;4j&Wtm-8=VRy=Sk_T)b+h7Q12O z`CN*zU*p$ghxYOUgmAAwZ)^5DOy{GNMAIy_EBkvqvuh5sCiALTD>m9aO6JNxfIKov zdTFY?EHV!rIhxbw``JysH>2<*I$*;qCqyB+8C`wlAXI`-4EDP9K8EV@%tvy85HozH z3xgxk{!l3Aai^A;q&>BbRy}6|N#KaeIo(w(0D~+vC&JIO>{MCI=wPdmV$VKEg987Z zT02>*vxFlruDKn%9@ET7+mI_ zU3t489JW!7FcLy%{Y)8sf&a>pMCM}zUNx4|Fj}L0{$;_ycuUyAP98+1>1JXL9L_=}&zxTU>3lLDt z&p;YLh&`z|naJEd6y);H`y56D#ImbKx=d%gAybcO(WYeb-M{J&e)GKO7AC9xN}BWo ze}xID_^90}4@|7HV#!v~qz9Hjh?1c}^JAfm_akdjT;^e`#_}$IAwOPkoT~x2In?7u z2bPeXz8j1Cwo`MZr~_m4^Q;Fw<6(-r|39X_0<7up zi(5qjK|mBxNfnV2Q0Yb#P*O#@L~`Wl1}PB`kd~GhHAarnEg%g;x;sX9^WMSl|Gw|@ zeDT??8*KM`?>(RMIiGV5C{3;mpwU>EUwyu=6%V6=#Ak^MaSssF$s80s4h&#?`S}u- z!hBfK@DX3ZslQAeZrN0p@9-9$;GNu8*!=aak^w;yR%d-<{Hqv5*OrfyvD0h1kL@<7 zH3P&-X$eKZ2i_i8{|I6Gwk2usk9jGR2*zAv@cLZ#Kn_xGDUAu+h+}l}b0}%|-#$t( zq7X{g|K@i-U+|17$l^FLkP2p=@K6XP&zD8eCYiu`#`iB>^J3_IA?I?>300(517{I0 zivy&m{z^;%(xLNx)E7Y+v^~*T-ay#fX!P;X>#B~E&Z&}o=v*dPgo<=s}v7)`F;~>2@ zS@0A6Uzt#4pL$DH@nmdFZ|dLN+Gu47DDjCXx;@G(aLk_DQS}A$(#f*dXDtJ>OgXSTc5Xt|u+2ie#k5o*5&*L<)Ho_(q2qDW-ksQ<00XX!MlTF4_2} z?`!VA8XAswNQp_-=T4$nfZ1}UH9S5H*_(@HN?W=dek3cqcEYme+ggs`!Kr#}P zBu@q8m}5XE#VB(OSr=7dBSGZ! zf+6Sai(pQS7f*O5GJh#iC<)u!6?8Vq4f_DG*br1nyX2}2T4e)Ae~!Sn25pc?{mV4?@!MowqX zMYS{$Z!H8sTiZU*Q4^Pv9~~a_;y_hdNvi8vdd{2^M5H1+Jtw9Bit>1kY^X+^X#9zo z{Gk!0A-jo1db~0u(oos5&7b~l=2jqm0pzb0**?`F-B;KmzY)y*<;IB1Ek{=2DJOkO zjl2r+k@44{){Ba9n-PA<9H$ScN8S8PYt5KK#!&D5Lq{Imsnklr#F?wG{V~4^$g5r# za)+4Bkp*j6!35Aj4M=87vkn{yed?@2q6?=R#ISWUJ7`Ht<~j(@r+Z|RrLdwXU~l+E zqY9^AueVmr=QXW>5T7`(unTh?)V=N-_l+*9d?!a7{BSEHzL|7}N%PVW5EL?`ZV`ul zo>j>iyoFsqerRN)^LW22FJi!3out~*^ZDrhPX)GuZ0!Der1+kJNmn{hbYlJgRz5*C zHxq}8c^_2)ZA~dNw#}vH?GF&`f|_0%Jeg}Pg{Ko+59&5NwTmZn2LR0=;)&R^@=%lY z*FH3fV(tKCf5FPI;W+-ae-LlVQ_K;T!H?$}p&fI4;Jk?0=#hK(PaXkks4k-*9yhZ#|e%7lDr)y3Xh>cp}7fo4|?GGg= zK?wiU<8+`L=B2mg6J09(hEo1tBv2jFPAjdq_x>VoH)fAFCqkME zW@qSbdP0R++x-dt0u0^REsa*^h2rU#!&=DrE&yuamw*^txXynYZv=u2FmV zX%y5;r@*iI6;>V3Yks~nCsbz+l;mp%ap&W0>BcIcW+5n_7VSjYF;V!$!<1IY zo@n6aT0tLifoFMWG7&eY0*j|9X6N=|rf(ZVUGsnf`J{TuokFp@G&7-|=Clj@+QXEn!MLbu*kU zjZwBmZP5b?AAW3lr{gr3CX)+R;G;;%`VyvFwlNqz&eg`?Fut$<**~@rQdN?^OQE6p zXVpU2P0aO^_(-2MmbNmtda-WI!dAVx%4=pdHO6ZdD6tNmNs2R5J4zC=m=Wp!UXnKo z>J&wRQxT*>btnE)Z8Hj|tiUMI+{5fs;EaspdB`*1TX=#^_{e0dzYZ1UFi>-fV3Q#) zG02+4H*4X)77d%Cn(#1ZeFlob+zg(Ia5EUz`t{@sTmC6VUF0r(yz!$7gfpx5ml7oy zDSZUqi~5RX6MK!I#PKEzxHP>a6G_C%1TUw<12{;#Vt=&x!MzJFW}KkQJ@xe(0qgyZ zM|t*wLCX1NpRl5%r?$QKoQg-xBZP{BYSS;p!1~{(9-L>EfF{Erai&VAyrfW8Hs4Hg z-t0MiDTBhAU~^%2o-73k!PbdNGO{7q zF7+J#jAIll`vOX@ggfrCkN)d0g(u#urA=VwJ5&5N9Mp6+ZtM`IEdEUT8hKKABD2$S z+Kq&q*2_4KuQ|v-uU}Ju;)D32wt@JGUJR%s@P1U8Pbb8|jKF{4&C07KLW`dF(7o@CBU72de6*KsXj-Zp?&ei_&O+&jT62jkJ!vg5jF>_PC z^wh1_eCd%Fb*DlWs~MjbYhBe8BQPS-Q&D^3xUXdEeQ+1Ae^P+FP_dc6E=AF*OG zJ-wsTKu6mZVNNc@SF(#rmW!VeHLXGopnzZ3-RqdWqdzG|w$1GwJRz%RPS;AkT;IHB zG|#6w-rsQcE!o|toKNhm@2k`Xc|)7h1U;E#69UTAYfGTQ?M~uyEk)LNdXudJHc|BT z)6> zd!cH5q-gtPEAD;l_PZl%EvUg(98Y?Pf==#sQkhE}a|hKx@G9Au@=}}KW(oL5!h`lv zD)P5ATmLXFhpj#WF^%sN&@k2jQg+7OKaNI3Wf9)8%xmYpETT-H8FNHkrH*<{8n#mFzcT#qq2Vzo5V8M$W8 zO=HBeJ@{e9Ap|A+y~a*jE|3`%E-%Syh@LF0%EXrCZ{C285wea5)K#1>w<y`Z*L24GCg)K{}I-CT3kY~{x-nA$uV}nhAuoG`W zZ#qqElW}RE<0-s~M3EY{_dIx)Oh*Sy77_>VZHzr>Tyb z6~ooBy~Wn3n^vX{vUz5p84S(Ti)6aNKR8v!Yu(Z)-S^m1;`pl}EjrlRSr5a**amy` zU9@Un%+XG5KMq0P7PvzTD%5GX47@u4O8QHk$XO*RN3%hjENJC6R8MClQCmdsFc@&M zlA;L5GgbAn3AAawDPr0}8Rht4>)+3tAG(b^&02?0--&YSG3T-w)IfU)xW0_W$HB}- ze`9iV{Xs=Dz=*V#aUpd5O&Ga3us2Lk+UiG^ma-=5CP(`(f^_Y_25D&f_D9%G=+7?F zjoQ)TSY4*xa)&P@#iEb7@!8nj%8jO{fr;89Iw;lO-}|-YWboXyTUFKFZ|f{7Xm_TZ zFa9BdoZBT|Xii~l6@y%WK&!q?k0@G6Cp1%u5`LmG+7!_a&wpbLPs*^ZYj7|uH#dB` zZ~PZNI`^lGdxpJvjB%Qs@qYh{vAOtoE;q@iwOa994k+@_$=l*qHeKu`Hl~XtG);Hl z@3F;@pZ1o|@~)EQ_U4&A2Wj*Bw?J}Id2cUn?^^9dFL)2wet;vF{;552FtwNx`T9?w zz_w(vOG`(kQy!Ld$hSTC0N;_EnjCT37P$!UtCrVBUpH$Xb08d3ggf!|%ffHowm*rN z!mPB;7y69x@YDE9deX_ zy!OH*en(sEq4kXtQ2RY%I(oH^;E(ZefkdWQd~f!k<%Gzo$J}iq`RcizuDqj~YBo;o zYyl;x+OdeLd&pCrC#9-uM`xki#~7pK`-rN6IQlvJyjvs<29EdH8}yE2Md+)k4m{j6 zDoO4US$@Pw$t6>=OLJ;Q*f)Z@si%g$=LYh`v>$g*3gKs#tEQz)=2xH})F)m`C!SBY zo?}K{e(A|LrhB2E#nOStE?q%a%7kd&Bg7yiCnzktcW@`j(k%&_T(&rak58!ke=-Fz zM4$(sGZ8uLi)t78zkv0snLS5fciuUjx#cxf-@nrkx+f6@cLD_7e*E^>hVPv;rG%yT zRK%m#=>cR`VdFpBokFL4ek8wtWBpesjo0Rze85ZnOs3Z-dq=LKrU_bIW5*)<+aBk+ zZFUHe0p~TBG1I)_yM-)aJGD!X$2;%WWn!f94CW{(*BiHWP-?)Wr z!kB3ytOMYp>zR!;cuM zTH?~|DUO$Pt7Pk1HyNc6nE>2QuH}osBny(P_k(dwYe0KV33#OB3vAg(tDfM?2SGS49 zyColy+ws!d4!lLUyn|*})ZIQocRwWCJ=7>4lkPlzC$k@;uFl36i5oBdR-LRzcxlSw zri%K_>aq~wKe-|cg0jdU(QcEq`qwsHK)aGF!Z<@)0!FwTHr_)%Y@-=uYO|2soz4P@ zW{S!iv&67G5x=UYp}!2QV;_IeN58>Yefp~WY?UVjFF|Hq*VkNnU00$)wm>qXM^ex- zd7e0)9#6AFYp>CEw%=i*F?4%BAB__r{A?xFYNm_4U$qI>J=WzPYOo<7QJ^`+YHLiV zkq|j87#ZAjnlU7^I`vLL&4O4xwP0iMEn!D3F75pMZ@V;KKl+4Q<-_*kz=N&jN1xqI zno4h0ql~TDDN^BuolUw^A0=mrIa+uoxNR%x3tJ3Tv3_O))A{d}cx zRw3waXf`=eYhO5%p^$NJx3J_}>W7j~2vU7lYu@dpgDG0&>|3$)M6|)SQ2VJ~U1#?X z+0VG7D21q(Z2gWfBibcl~cnqExcQJtT{Bex!i&9U&ak1 zF5FyCSo_nBciZ#}OVzf8Lvdw>ve-8S_X9U~Yf7s0u@Vz?l;~f+{ff@;#N|OwB zX-9&LAY6IaEQEA1rO_^6kxk&|`9N9YGBaCF8SF;=Z$)lGpV}<0$xrHKa+3{h_(M*esJZh)zvk{{VVdYs~pM5ljSi& zSd0X}*S8`=8?_H#(_tLDmYGx@Lw|eh1Meeo#ix>8(qux6jXm?{ZdFK76Ugmf31KN~ z-};vJa*fp0(c!gSh;5jQLTJs)JSzk6Bxgc(HFYWy0Ch^|@Y8RbX!?5|bup*NE)yc0d2Yfl|Kz%O{!6)Nv;eL}r7 znGq8OXRMhR&6RGEJF90INxRY^%+*Z|!Cs(exJ@fgM<{MbsJxWfhHod&hprl&vDa;R z@g@w4L%5d1H{U47prm@(+;W(ND%@hpeU0*v#VH#ry(I{3{-x0kX7a~F>!gBx*E@}G z#nUIq3QfpAMB|3%)R9AXA$9!d0}UF;A-kN#Yz4TH3vU-*(;^i+@@EXrOUCWT@#5); z;_0<^jl8SG?u#P*X}TpceC@@eC#u|O>_Uun@0xzQ>pH)tk3m~avSF6~HcFKV4t-K* zcPLhZD6k>t3*YrpQsCdMv)j9^i8IBp#tG!eozvBhqgkaKv?b5h0vS)KYYcq^J!(c?{y92E+cH$*4e&pJt$G_<1h|l_QGU;FphPBv6675j~)jwv)xs{Cnjzx zOByR3SP_IAqF)%ov}NzN-%^=Cq0eMKIsJtAzwTdjVH+j95YIjXa;Ord zxu}XO8gFEF?<*7w=WHyEnUfGA3D|^KY`bWrCD%2fqRxto1PUgx-P&C3?9QNvG@)*T zsC}>gRX!R)(^)71>B_!Y3tr^c=5}40ID0r0NJJWwl&`%0H@AVvbgTWOzQT))jxy;+27XVxhK@JoX$J z%0g5s`MS<-wsrN*`S_SJ2Ti+ctwkd)Xm|$px$tXy*2<1^i8 z;CFzdznZdxLp@66FyTX&a2Wf$p6@A1tYNy}$nn9BBN@Zta-$U5fppuFY-Ab)3+C?3 zuzK~r)XLBl+}L(udRij-@hIY@$xs#F8_{Drr=q(DP7fw?@PLf^Ya}$VN zD>vUFej~EdDNugpiv0F<`R#Ul!N0i+QkG+1h<Ncy9TqHKe;8Dy9heorQFq@nm4dPK3Mf=lltyC>d|VZ#e31 z>W*Bur5PUfin~6wfw-m{@;%0hyj_WI-1}QNK|!YuQ+n?#hU0RL^W363a@#w-%v&lW z-;KJavqDtRJ;A}ZA7pZ5B6oTPR}A>i6YoHkh9Q0@Fj1EB1yal%n!bcl*lcASu~3tb zy!~;#4=zHS5Ujo$0=5$t`0_A7XNJtn(~C%`IM1&FLqBe6lC}P}3%u;cw5GR75pt z6fvd6Bcat7UCuW>mE{OSOhBV(B3c3>b#69*JchR>*RmlsY507;dolqhFuB4n^8(@r zxNtTfyl@8&?YHEYuN%k6z`Y69FlHQSUg_PF$=xkkH6!GalaPTa|JcsXs#!(3Hm?Ou zKSq<`Je6Qddltmf#t#Ggn|y=&H%6jwTr3E0wxp7PBI6w?6bE6fxVN8pbj82S4X>K?S;%M5utFwE;n1>8YKB&uU zElpixW;>8FH~mO!d8Ayx;nL%R(zwTd+)13tB5eIhJuFE}Cz8UVIC=L3Ai1k5F=?GY zw~4C*w~2#UFHD_l?Jg>YMrT1wl}wY-6f_zqi2Ag&ickJtGP~s^yz{20mGBM(Qa4tF z5MZ=)s9|P1DzjBFm_%}&3-Op0k4a`DGgsB9GGSLU&;YD)Tg1uQOu(pgDx4Myz&6z- z^24Zgxo8ZsDFCzjlrK^_d9sK_x-I0iUtNo#St6Zl(pF)Ta&Z3KHcE1a8!cX^1kpBd zw%)%jLzqgrX8n?rdNP{}4020psQ-%Js7EX(`h!0{X##N>*P}6QAbMO;2AXYxZze;< z+e-Y`t09RcSRCYu`ZIl{>;#S5<*%Rx`c|)B;lg?0c>nt=3@A(`Fn6;<>=rH2pH0GJ zc-ZOqpXA9;g%fdnh#EUOtr}c8lYQowkGq8HaguoUzwe8JUoqX4%Q`yK2oJdX)gEVI znZn4qo~fcM&b-$#R321LV)npL7>(=B5iiqDAyW|z1W;f^6w@n{j%Ax7TzU7kV%yxO z0cILv8+BNEyKj3^-dI*LvvBi@{^VOm4Sx4vTP~9_bDzd4oy_3|yq1F?^W&$(f+(yV z#wC%Wyg*1;1HA!FoAK2sX6HuN$^`A`(Xi3C@vdkHD11ld8HKptSg_Q2mhIa=D+v&J z`3%aAi=L3q@^f+IZ)Pq>E?h47ZmzmyH58^MIl9-zVDjLw{t?{nN&TAk(mLgXn38|3 z^G3>>xUoG{TsWl0yP*jKkKV);`*br~!sjP2AM_t1aUUl`5^MW}NDe#NIajKa$(%=~&wEP6?x7d&Wu=G=~?;@Ala~bShzFc#Z73xXo;BLulZ=QtfF<)p8<~ z;Ek+qYJLEpBi$E1x*4xJFeGG>zpUICR9p#mEpKUPq;aa_ZUD#T?=t=_`4eV+ExE2# ztzq|E`e^pk0bT*?T7K*W*(O}|MW58UoFf$Rp|}*2x1On;ms6>KJ~V;!tV=_2quxSU z7(^E5;vGLGoZ<)&TgDs(+EG$oNc)KCFQZX>0QWc8Q2Z&k>Q+< zr2cZ|(i&rpxPkj;SuCp_z0o#($M%zCB2L-GG5+VV26*zX_6b*OnK`x}zdh-1u%C?R z6lqQTdObG^Y>B8-4D(2|JSD2={#DIzaR#iRzzvw_A8EeaoZ!I6`;gJvP7yy$BFoN?K#%7mpVS8u>p0ni0<*HMG zf9HG?#!b_OXNxSN5^|MEItwA0UgmN-Dv5)9i{z+4eVa#nPI4(snk4R*HrvMN-q_A9 z!flMf4smtu^z{bHuZ~O@58!<572>Q@Vut$-uRUhj$bLCDm*Mu&?B6L3IhCEbOKZdbDhf|hL%`+H zN7h1s_>+B;m1}otuQ8kX9Hq)_&^yK=lM&z9n7!nI=4M_VYS*^CDH3NEbRIT?D!8XL zZBUf6spC3m&p;^dX*2u&cB|O^Q@6jCv83Pf{&8I%&X5+Pfx$f`v|SwUCrGVbB1OD3 zp-0WI{g*Idd!*DKtdsKqUoUTwI`WFrO`oNmO`bWJ@LmZs3D+2o&@yek!v5c50rzq| zV>=Sy#mp+^vZ;k%jhAjZ>7XO8uFOkN_32gEk$;w{^v=dR^&2@H)uAz%I$CSj_q3GY zgJH%Fv_Xx~E-iIN1hga+#X2rDOUJp$CfuIVeUY9~QPKHHJUBPfxN z*T`EE)TrnpPwL-OiF>iQHsGYT^}5z1BM740MWAp(1zw zq2hh02SKXK9}S}X=68h>Y%6S%>J zth)^@S}go)W!h=q#f6(OK0VwofH{2GB1#|f)GlxN#Hw};(Gs-re(~Vb{%0m%ka}WX zq9=xhl8e@sWs-EF`U(mLIP6=LRK@{IWVEs(b?tYNxdt~foUUcu*7Yuup>@Vl>2NZ) z@_NMTu9Ad{@Ei965D~7h|BSe*A-WTZ;`6`QZzaYMs~1n0Fz$sJKKVV&_FE*e(pTgd zzNX(vQG#Lezbf8zCpSM*8cQ)q>;7~N%5oLi6x5%q9`!)mIpCPR^VoB8In_(?CM43v z=2YAWzh!q#PSfh+z7A^aVTEF-mR4mXVZ5~1wmG};iBg|HoOKOZ`yjSoOo} zy#hq-?*7K@NQ$w|SOgJzI~Zv}zPYk)X8wLjBNN%_I#05vty0=^)7~C0UK%G?Iy??h zP7pzrhol}ggP|YdL{cQp9oU&~s0Hz7b4^l?-N-E%GK3TnW{}=! z3VmiEWIeB@?yOcU{1077ITHs*PuCF-%$myh^`Z;s`&LM;YYcJu*oeS)SaQ&m0cyiD z#XTheeD=vS*;YeR+0uOpDR($!?rIwG)e?o)b;&) z58xL&C?c^!$4Yv1X^#|4_9yknr7WvIYjPZ)$DZuV+I4~6%0yrUwQY8nN#wBbWdNQq z%$Lr>)aldcijyKGw3rLP%bnkBs}ky>=~p>Od$$4;b5o@QMMO}!>XhKkdN#_r0N4{`dK zUR6}#O+-Lcf;eXQ4FFDC-K+hDoTlCV&TO6+Zo$-RSX>HDhQWbc&%oHX0nV-ioq@p! zs`S3q#>f?1Kez&+{G(|UUUZJ*b@@j>cX~3sX+uU{$6Y?`#p%8w0msAVh*gucQPzRf44_OS>LheuCkSt; zk*JYMaQ3HV45a+s`?7bb829^ez{2m(QZ#O zMi1x#@EkQ?773Sb8!lV_m}D_`z+I-Xc%b%%6j9lR!to9cP67;sR5P8234WFN%;wS2-DQ%ql@e$Lg6FZ_gjgq8!A;Fk^~!b{H{ZA|G*# z{qOE_8YaMsB`cw^63%pSGnC6^cps@QV~`UM8o83!0@i+hZXtlkNKvVSDl9 zqPa2IODDV>9mL0ETe&Al!*eassmUe@DfY`^I|U*9mGkyBl}DgCU_`F)iIT-bM}M(j zH2>@v6EYeqMhSN`mvIKfnD`7@-9>ZoeNOiRxNl#>4s>wllp&Nd=Q;Y3O6<^tj2kN3 zIok0qPXc*ZP&2ap!QH}iXJ_YeHC3U(=g$4}OZrt4W{H;|UBLO6A}*tMzahmS1B=w( zw?tO6X3z7Z{3Z4mOY)?3T-vieOr@q;5`!?=PF~8}WVGB}5=G$gJntL3jtn2{2!Ym` zY2Z|l%AkdHznlD0>W2Q`7xpTgZ&4*OS1)IvQbnTz9^;NI(IU74JkZowV(mhEA9t$J z9K9u;QgWVm?Pfwrt>dGE!5t}AL&%#Ay?WEXDtEU9L!*x42|B zB|ah5)>wGtx__{H<1oz?6_}kJ@E|Q3=5o%i!;gq9kJ4g+04ZqP3y!9>(1Yg~52QNB z0K^PDQOMGVJatT=<6&y_f4(V%yxi@RKv{RCrmWTQxBfpg$k_Br$}Qji`!C8yYKo6Q zi{bHpH0GHX;PtizQ<~z2ahiYg&v~Y!#o*{!&X^{{tr%Io%@}|rSRU1E)_>{RwB9#U zf<-zg&eo`kU)S{LSB~9JStadm`xWCPV1GV95mh z@Fn`%J}GV6fxnCNOLc3`F^N}NxL+pJ%Uk#T-klvNJx`O4kf~ZxZSGPc%uRrNURpoM zikSQ-niK9a?CSVncPkN{qL?(I@fh{pN(=W4i9WP>3lLf4Dq>Q=)-!-L*u$)d zpnbS9ECB50#VUDs&aX`JGk&Bl*AVAoz-opyhep?R!zYE`jDOqRw(X0ex}yUluwK|Z zMsbF&(#Kd%eu_yf?>(*qVWHA(94y0+ zlvU4F1Qgz1)uIL=Oi%@@VbnWaHVQ3F5r;0cpqGUlCc&knmi=nVx15T(ZVoReCS;Q< zEzqdPg7!DS-BgLG{CMh!JZ6`_?w;j-V7~;OWmic4zU!%zJyH1!KU>=__nH6<=KYP# zGzMcbQYAiV+ocIDH!9pYtm0nZAQ1(d@66Mpf0;*79V(@JfvY2!T~~D5o~L$HJHOGR zgqAZx?YeZ0)YKD;SA_wZ^z8GGv2#4cgRBi>NfxAGT7BMw ztnS!Qf)Ly_VGq8hqFb16cL=mqzuCRsjGo4i2qQ!!gf>MJk6Rh@(V?NOOwa!{2OaUEm9&pm#`{BPkikg6 z0u?dPjl8Y!lEgFyCe70(&AVp2Wbr}J zv4zh?gbAu7othG@ za}f>Te(5Wx-6jD0Rx^_4cZh+{tCIeKrHH#=D`Gvb68OF1zc>pqE&!Z<1h{Z^J0vHE zry6tog{~%^OJGs7h_Bn#;_Dbe2yuCzfZXghM~TMNTw;f>jRmqEo@S$Ax(lEaboM<-xAl_SCc)F(6j}qF3VU%9ED7UtI~>Ay*P}>03QK% zqnWtm_{7~Br}9_*N}10=EwB0UGsl&2HoGp;3!E<#QdJ7=LXP*DKx+GhfoCyVOb=gq zdp^ELY6ln(2slut7NkZxKW%Bni9}9V%(Op#!M<9R$o&up0YPFh5({Fq*=BnDT(!%P zBe`q=Uvo?mQ0VE6GyF>dAM6F$$u%RNma-(bzL0jm-SG^;{cl`^c;Z=N6K=;4zEFIS z*HfvXk>)A7#@@l-{8XNP(yaTC6=?G`WF>QedL3eBa+AJ9rr=-ayoX+gm0}&B#hBlq zPs`wJdfT+0adYR{OWD8LSLfa@yZdc;q&aj_z#6z{X3NR;b%LtnwgIt%P@)_VWbfU) zYnGMJH-5m)?J&vZFiE|Xb~Y5XCmDibj~b>_m0&K{>zvSe)bOJm$^5c?yw|LYlk8&I zaT%vKPU@;(gmhW>`7Agzo*z;b*JkOTm-#s6_1LR%mgZ#b6aO$uY&keKPo+R=SCGex z;{cN1?&@Qq@++i(GnZmZEmTOddXnNFb2H)0DvA+lnMk;YYKp7-_d(*3Vl(s}cb6^N zT={Q=(q3)&`~wovX7sdcH*FLJ4%R`IbvNC1M46^`GPhfr(;}_?;9Lqd#r*nu>XnkF z+k3$}9osqKIi2k8E12v);su8q1FGVoXt~wZnGJg^cJB;r({|hx+l5Swem$N~H}!u# z+FNUe_khP8O^|!{Vl}B-*X#BpxTv=}oB)2e8^0GXEt4qFUmlsxnOLplMp-Ja3jm0G zt|eiP<5ZNAhKxS%eu3cIp|D|;2p%@Ro6F#nw04yiFeh3v_#~0k<3h#yk_7S6l9H`- zb2>1Ea-k_im+=1IYPa!Wo7s_gOO+k$Cd9mT$Wqx#{QR_(!$)#G4^@)zYv+{81I_9j zie-wHX?c?jRCWsUf<4|z3EaD6PsVppu*S_|OVt)iEW@JI+TzCM+{{=mN7%8!<*YJ~ zQaey8NiR3~q0(=beT?%PuvtA~d=s0P^luyBr<)SrR|;n;&SmKI#zexMIyZ-p+q+%3 zrg<}F&ri>kTtaySHV84aI2W;w{}9RrGKcms9-G`!r4PEizdZ|$iK?~}C>WJKo*+lT zu2X)42UEaY zbKc4exaz(XwB$!0RdzGyt^VjKFHjI(M45=GXbm*}ZYE2OaK3R0=|}m-#}BpAe#_kW zlB3TwxV?o_m$5&X&EV)x50ChJ-RR+49qUqJhZwD@Uh}=oN&MvbBqnrw6<8&)5zWb2u3$R{~lQfiE-MIKiC@hZjhHlRfrWj3IUZM$>R_;Rc zu~fp8_TmoC)0J*RXIp9^=U-Lv#F|BBbR6QDmk6#;z@yc)Cd&%TLrzNyDev7ta_BjjoGBwUbDZSD=U zd2o$KA;y||bE2IyI1lyXiP~YZuj^&(ppOD8h0+Shyd(olKU8D*3EDZd1 zAbzLM_OItE1_P5-Cbuvncy#uAp=0VNLq8>P=@C}g;>KUXHkALarVP8!BOd|lVbR-U zPaI}Jr)J7GDKLjG5A&@^GUzF*+`FCV@}$J}#r|83DwDOVvDob`#dXFc!;bc-HoqKT zDI1+lsdvgR^JBE-JEg|h{yewK+D*R<&dt7mx6_EF{Va$~23+&Qmk{GVQw*%|qs z;b*2&Nte1l*L!p(;U0yiY#jSL?VK_883u2r3@nF4EDBq$w0-yT*5aq|{XTA0HRRau z{hF0F^J>QJ+NIvjp9&or4Q&;%3OFj;WSVa3#7m34hpTH9r8Zx?(!h}kE`^K`veQ|> z$$Kg?e>nRc>3HKF5j<8Q!&|=4kHY&wh$G`M@qm*$)_#2(Z(*w$viN+oJcXjdzp%J- zZ6m7wDk7z;;Ml}Q=RoioO3%94y5@0YLUgI189qCC)N8^MopY*Uc_jhaKf^6?4RLVqn=6e%e{s@kn-&6IL3(3bRc0A)Vy?$q1d8dfA zg!JtJWTKCIt$65XDGwBeh&@ggCY05#T%4X6z0{&W zgTR73VZ%x`I!De7c4y9nIVa=IzuG)O+mGz@So<#)3%C&^?STR$$K8A1(M>`2|HQ7V za@<3V^pz4%|52Bu8P)ljO`3rHrq#q z4KJuRuv`MV%<-7(dI7IgE}$Ay^yp!J0cHXlANw^u3u zMFQ$Y$JG&nV5&^dA=_ye*p1CSHKETEuf~>jxy0al>6^3lM;>b$_DXy@J#EVTzn5tJ zH!@w!PRq5(gR6z>z(}94kDqj><%6BdBik*go@p7S*81@8k1bO(MKTu2-_%sPglBOc zZ1raKwP!S3O;Sz8!_FwnPNe~=fFeXyKZsTg-d+DX+53Y+b*lJ`JwFa9lmIBAK4d+$ zUCK@}wwm3ijSz1&U>vsCk6Z%t55f*n1>s5MXckxz zAx-Cl2c&1NALq>AL*?|Yt0X<>>qm`+3m#Kcnd|dJoewz8Bd!S~9`v!9 z74f)ka*X8M$Sq64nk#b%uzecIV)t9Xh&5#$DBYVk(0sfXK|xeHXy1^s?1qqddcjykjTN72o2;EZ5P$;y zecrvU@LSR{?K?85GWLC}q>5RwbXFX5olcf+`v+in)v>3dKvrCFd8da+%iddT#1QC3 zLbNfo@A#uAD&KdMzrIdbo$pmIbk;yXkUA%`oC%8@DSdu4IhK-j^!J2U3AjXTU{lI` zBMTxd3K2P)eadal>JPyLXhx!r zpn;I3(ynLe3|L-I5u)a|A5awG_f12Pf0D8n8(in4wC!H~;kZwqtvpY0z7~vM_QW40 zSiiPrfb(>4tm;5xAoDGwSF62x@9s+8@mbp11D!r}KoVA|0vy04Rt^m(m-nYkm^UO? z?+!F_+(^-y?)0-OjH0~s8Vmhgh98SoPSP3t;rj43c8;4cr&+AuM1pgS{#pmK=xtj0 zL%=gO`w6;VJOCb%jlWD(>DY90?Hi~l?v_;J01;9z&{cB)EzvsVM>S-3np3wmU4BOG z*DE|NNjwlLZ#rE3(!+s`EMEM8w=3LyoH<*J14=dXj03MTwDZP5sC$v45!YbF(mTrU zfPGH`%1gYib;IU6n`5G&sRq`hDlzY_@$BR8KhXK+;z&<(YFkOJ1Jly#Aq!y;Gqq+E ziXAP67&fZ3tTZaS-cS!0oJtP!&Vn6(yOI%R^&A{RdASS@Emu_>2PTD*w}X^H8y6(p zMc?;=#_ZuzKY%1oCOdicbEyLwAGyiim>3l?{+#}XeDZ+fi}?sO& z+|D840NYHzP?UEXf&}Zd;rS%Z-;AeMOa39RC&RC(js90v)Uuc`KxaNM2?%aacv<8S zxj;~`c5aU#-zlFd^?%?7hpuSs@5zBpE(o>CgA3++Y|F;dzl2EJ&SDEu=ywJy;V9KF6U#X8_;sUn$K-K zHQneH?uldcpVzV}J#lt+X+`EV2u4Y-3y1Igc$LI9^_jDt9tIxMDY|1cHWnyiRv{f& zGfggG{^cYgb=Ugmq<{ip?plGnFnCQu&m4|j$i{cGNXhj~x3MI()#Ez?IIS0$|jkLWAcpA=ODVW z?!@WVT$>Yt^?_^y1*=0?^4S~iO) zp2#m(17{G3BqB371q;5@o~JBwF=9`;sCimfE|`I3F>=qf){f^+yl0<^I`W)ULj6QX z1)=>pC{F<4SYuYV8}-ar{DPh*=fYuiys!ESJduiY?WA=v`MgW0&RGX2Om$0%ypZBb zdJii&Iy$wU@NUJz-D>3TQfj5D@UiW88T~^_QUX~kL~P|!g@bweuVE4%mF8oty}IjU$9FFyDDG}n%mNclG^8(XWBF>h z3zC(RqFF9|cu)6PX%iV(n*_~oBZHX}UD8(ZhEf;n1U1y8RIxJGZeg!djn{H*MK1Qt z0wshOD>ZfH>0&R?y6%&tjM(q85LSi;i&lSeND%fKuF6>yio;;P0YRwzNMo?YDU20* zlv(2zePW%1p!6;|8X0?qr6D4i`OS3No);aL(sjuvVD%Mtr!J|<|WzVpR}dg4F00nkYZfmS z8qTouLPw%gI_G-9Y?H?ZpRh+I1MgwL)u_>kk%UJ>tVp)QXGg=RyssD`w$fJQ=@iN> za_o8MwGR(VV>5tq=>+-swgjQ(lqcNAp8by}meGAv3^-raVz?{K?}cRvwbND@-QcBsGO-7im4YUl$Prr0xh^i$R| zmgjfk=ZQ6Y7f0ewVGvu#KitZg2Nw#+n7zuzh$yZ1t5!Fm=HEqOY(g_~H@ z3nCcv4*solaZ21USlsCdvBxx=Y9vbNGBR(im`9! zwjMm|$B{3WfINKeBKQEiVP7)TX==F4wjB%SU?V*s^w+kxu4fwZdGSu$+e!K^l(qn8p_^jydMM!q$?^`DCa8{9#F;37PI2`_}FY8hGwFqq$#Mh zMF!?8RZdl%%{8?!j90jn2p>Ixp`rAukvyB!rnf@r3TGEt5N=x;euFCy%+XyaT%gDJ z3Wr4=Q#SeQt(x(~LE#9umzT43)biG*tF$@yUKW-p2bg6uFNU16DV5~yKkPFAxf~a7 z%qRLsG%7cu3*(-uTY?@9MiqB)E^gQyNjsAh=APrt{U6Q2`5D#I4QDxsV`l8PUrw|% zGh2({$mUUvoJUFX{u{arD2}R~_%b8D`4y`FEk+bHxLCNeCRV2y)qJ>?G$iNWP0p_> z3vLlu4^wL1cbtX=k_1&<`yVF=Z&y^Ge%MCJg0)quK8!w;&(!=4@w;Yt7;7)k1`GaBRDOD0cMGdV3+ruI|@PX)2TJ-T%YY zb$~(yL`Xj&*%Mqt@jBaiApY;Vh>+Qq9IY^4+Q!PLI&Uqj&pv-#*CE zW0qwZCn^+0wtt>{YWpcUzwXGkL+ih)2=?olQMK*n{9f|;8iwd~z4=idt2fVj<5eB@ z7#;Sa{h+Kt^`3ujSB5QUG%jdNN(VXpg3hrUS4R5-dR>E8t0778wCVJwv23NV>?)#C z!5&mIlyg60&WHzIQ;Xttlt47KkNAGGPIyuSN5~ij$dB0z#@&C!y3_$?d%A`Hn=^U?9bK!Z< zz5?Om6zv}R)-!J_esl)K|Fb$Q_UqVcmu>=iM1Wz&X5*+BFKGH~T|X;y@60xKvC9Kz zha!1z^O9SyJmXdDr@Oa>EodJ#XUyuGQKOf$SzD_gHfYp2QFlu>QwG7{PO?Ai?3!-S zo?r>P1M3?79nacNc6c!^prhHzy8Za(Ne8EV`G*&amoXgcTPA%%mV15Kl6TL)sP3W zXfx&0D%?|p!jJ85PJJG4T<8Ls#sMEjgZKP`&MY>pOz7szKwhbGdNgf+Brz@;A079N zg8WQV7k#Mu@h4-3U^K-0}pMrLZ zT!-*gw0XO3igTZNe_S$ssn&2R)EVD)57~S9&J~NeVUkQZV-x>p7nR4KDBdSf@*d>@ zbI+aLDaMWWcu2xU+a#xtvCQyKQp}0FZ)7Ut?YVF?$#VNym_wyi&-My7QqeOH>6{B&UaJALg3lVgnIi8&-Z3`uUjPWSe%XEP?z-N z!J#hJDIV!k+(^?^1}KRClle=%Qq{Y1kL(X3tO+~$_}EM_$BroaVXc{Ozc1;f`p^FK zS0w-aXHsF`e_}Ddy7d9cslx~F3lCXS)VjT07P0vuEI+htOV_#CdK(3?2n{5GR}*#u zR!^uTd=vC;9Ro*Qm459jy8#%wU8vEc)2dG7g3%tmzbn~%@%O(r3{)(F_>4cT{t=Oyuwz4w-K|Vum z%K7^jKIm1wY%;k%(L{L>QgTUO=uXr3=;diQ+@b4Q^PX)U!kELgwoBBn%xqOJ@hTRt z4roxGK|T)^VZfQqrHbX$NqN80c!E^WuAtG+0g`*gIp<q;|tG;9c!~G zwp_hN4r-p{2PAcqb|<%(pXp7+e0;;v(X2tYOnOw@a31fzdnP(E`a|4cd6rZI0c=Cjm9q;!1XWo*5kt z%h|Q&Z4QiWcupZyuLEGrZ&qOWZc8v#O^C-IhrbzPl+tIDIadTW0C&2`;5nZznUAhF z@9+@RL!mtPZ%&yf_MB+O?v-fuqRlJAmFHg^6EFq~+PaK253Kp_vUJFj30~kPUn#w@ z=l$G90l%Obk9@~$m;XBS7z!%5*vE6SA-TccCQf(@ZW9Z+Pmc$eLRa?_QJHsw<@X9ZK|ho zo|oP%p^&x|D;IxucSbxtQ*BoXJ-L+LF)|Z3eEkjTXb?PAJi6y}j^$5D&X@ij?TzZsL{`1ZYe?=qih?~=+s z^TAgjdBPrA_r#(zD*&YrENv01HPu@Scs)xJud~a(yMjE-jRvc>A zY*HpRC_`GiHWl~aHGSUguNStBIx%e3JF&Jl3$fibeWHi*#|9kW>m{dGq!U)80|r#0 zPjaMA-rM}X%zNs7nwa!CLuo4ml}37jglyB6ipsEpZ!TvUIL@+HRE;NOXT8x_`gsB} zbNFP3A|@h4n2%}v7?^zp)-S8L9afLkCv8xY?4ZrPJ(IhodTe~1%WFGid6 zpr}N!c3V9@K2$7#f?=PDzDIvQ7lWfHtWLr*rjK>a^oA3TvSlyX-RrgD@$XjzPBLc~ zuaLl|5U=xtp@LZaMJ!2l_Ni7*`-Sj%*>R6d;2LG61+*opnChK zlp*k>(8_PUJgw>`B16xghTjMPn-;yXl534u?Weok?k<5d8^_nvioO})=X|6hTv>2Y zDg&R#%drj=6R4v}isPGS8A!GZ!T=pZF;`YGP#4utU42bX2?qy(vQI7t$?t4@W!3 z$%Pl4Rkuy1(ok4F&*_)Y#?3AEF8MENu2oicL%P~Fh`?D2f=Etp*~B$#o-bQpt+U|4 z*z*(seHQM76jxi(6iKe04NYkjfiNWbUmMO0WKuWh5AdN?S*Z%aSD3ofu`7tfT(1;f zy5t#^d-0!3atR;kW-)fjEiKnW;7;Plr0g+n+57p)p*9d9oMrbJZLfHQsf7*PJVQbS zsNRLWx9w$D_8eQfx6oA%uTGkZEC4oN_d16Jg+ZwzUlji>6RuH zmzzZB@5VvzS{?U3G|vDE_r;=)zaWye%Iq?5d+c(zBH!K*r46!pd0LhGR1r@@AMNWp zHb|UGk+m^I?5#BnAcVF=YZ-`CABBSdW#&0}acV6;$`?Px=K* zkEg`F(YE&vy4t;=` zV8hQFF1%*b7_kqbhxfH<;(tuPp1zfA^f{?VQt&LjqCouJuEzqy&WO;f7GYO`^I3YN zYS^5^4QU%srUNvnT$Np_Gbz=SI zI}cuTP*J-05JKKzNOW5`Phn48!gnumG<*2q5{V@2G+yu>h*M<#ZiyshFWUX>!=sw zoNrpRuY_&{cEdv2pEz{NQ{*U*Q0}8QWZmBcl)F)SU7p@jR%Z1C}`(*%&DGo5AK7q!zPYY#qRKAtAs zvzm^su~O2L>HTtRrwh3t)c1+%>%SP_`HqZ7OFwU1aOX-k^2_g((%lSNhIC;QuZyn! zAU7Y;OPcAD>L{c}*D_>Ep=-&3KB{)iBZ!L~CDWgRdRjEmcxCO>kT4Xu(D=GRN`3li zBS!O!DpKH|cS|Oasv5A1MUKS}M->^A8!N?-xAn9BJ*Bd}p1&4a@^x4VpHr zsGVLrI`SN^X|Q2*lnv=hRqa&V5C2Y5H3F<=1nUG_QkCCcxC_sp<{0TbLRc&Jw&^f) zi##~#%RA?3eIMJC@Y!b_O<1G-dFh4rYuJED+k?vmxXnxADA^8GJq~NP9~mBny>$Jh z#2#{7n0x*5dirU#5EUh}%kv)#A3OOoTt!cr|5h38T^{PXqF>jY{R;Yw-!O zG{A%cjK*_PlKS+fskxRsBY^OU2P(g_)p-IK=TwboO~-{>8S=BHET*J>O1EK6wvL3<#AOuysucL!{~h(&u3MhVQqA&VKbymO777Xk$P9cscMZ8_~dW zq$ky#^f0}7SIdO-o%E5RSckKx^%>TZ>31LcNM3?5H~grWMx^pUNmLr(0XRQBFV8oo3%psW;lP2@P!M)bL13L_nloDC&|?3*lZ+NAy!@@ zm7}z@n_%lt6<#^o1P1FILzsq@KfDX~1u%skT`qE(zjuH6u*6WY<|0f2riRc58xHqk zVvm-02K)9z%P1d@t$q{GN~EPT(9(;_TK?63=kZ(Ah`hVA=!Jy4WYV86esdAMz`-Z6 z@}>eOR8%qouE3mzk-8QK^w-~`6O*=oJh+m}_xi0Ur+Dp0TFJ1VFE;X07}^3n%igkZ zNhHX9iTV@z@;<&SmIBa@6gq^RNgrrP|1RfPzSr|(!Qj<}wbgjnMJALxa$bc?T=5D> z^O@+G+gALQYki4wexQWNDD|{kw7y5R4|J>K0GWS}ieLtwT$1OtH$t{91wKj~xyD@k z!{)-lh8psBtYG5vJpn!0>>3vi`h6SQ!>a@|8VnHFkC#R zng&X?(%(5RN;JJ&D2mFyny1`f^;#Z>qRQUK^Ef2%0Jb4|o~Jsb9CYN+_RImw$SdEacjkWw$qa9aYFql7HnPxPo55 zU!zK#;jbNC)$=bS9EqRD*CoP?a-@s2FSs( zC^H-A%cGWGmemOi&eJ6IGE_b84(5E(kcMp}6!yq}q3Hr&m1U@RNrPN2we5x&H(^7a zHQTK&9JtlSHxf0!M;zQ{}G#Ld{auyTr z5eh{k8i%NPt+d|S-DrCbqa&1N8F3z)PZ;=ej-*uuW#XcRm83);fHqGW@Y*RJW8qLG zR{<-amIMyK&4sF9g{<|wH-=dyaTk9O#*CBmHUkq!$OT5&>3~wz^^JJIlbX)D=K&w- z{Iavh_fM%_f9oU@cRm@VFZ9m6+c;s-la8cIrKM1-*U_L?-+Y)-)kNlxO?XTL3TwH& zfGqWeoNn~iD^eN#J$fgn1;X_)G0AYfQFwb%sF zmi8Fj*8Qy3GG<(^64aC3KIA1f!RxGQJaKz`M?m1+!S*;n=5`SM1$Ay!Pk+Y=dy)-} zkrWTrm5HWT7aAwPkWPGbtgKIgZRJgJv2tT(60(<{1@Wn$&bxk5AEKhoU}fn8pxW(k zZtT_WBeD{AHD3U8M@pM&4GQ=W+9;(^%5fBV-bN$m>inea^23ry7+ zs#$hq3x3Tq4e=|{3j-=mF3^c}@*DKiB`LMkL@0$YyOny~)2&?BesgROi0@;gFV#{- zcsE{lQX_%qR+gO&B82mmi-!e#5N7b9z#EF2w;d6{4A?^@=x_$EMz5JJ!T+-K`5z-> zg0K8`2X4G#Asy#Ucg+3i8R3#H&W_1nOSgiq-8$ zXbjZQ>z6j1a;>G7kIc zW`8?fiXQg2=fIu~el+31Bb<`C@&d}*RGAp&eKfQEh|>asWFY0!Wvq_~oa>L+-y>E_ zIxakj3%FbSf!|d()Bgs}GlSj9WYA2hWg|HQ635V&|?k;J6xVl{WY9VO&ujz_tQA%y)6?6X^jK^gvZjg%k zcIi1D*f-F%-IBJlOIGa`u4z?lI4mn%CT{|{frDdoRjA1K%Q3W2d&NijS70)>HC5S| z88UdUio51~Rv1d5bZzN|t_2fGaWZ~@d*t&&4eGC9fz4Z}CjaBTF{0*`W3u7@nQT(3 z<&)c9SdsPS6TV|E8IzV>*Uxg$+dO-*hYtZLBEL6+x%25TL;YS2ktP?8i%Ac3K5EYn zr}R9@vEW!&A&hhgk0C2H7H4Ww%rJ7-?`%|+&-vi{k zEN#;4uG~V%1%s^$CNqk1Jv|y#m~BD~HgmH^@73eds{ELa-O#qT`D)N1qdn&_YzmV!!1Em67J$@H4J1dr4T@!@wRlZ1OkYhX=xL-$QUd};$Wr-zczaPIfD`N!Fiv}@2FbYy}xsRe+8Y$FZ607 zsueeegGFaRQVK~6og-tva&a)@<;6YeYg^_*X32stUdMU7@r{XmKc624Gh zzUi7U_90YsL>15`v>%!?xDL8rF5QklpJ>4|7=c|>eOL6`8yf1oQ)K7rBcA+B_~X+R zdvn^?krUmeRCm=)b!b6?${4HP;!~IZj#e7BGOtuoJpdtp9u2R*GgZ9dXT(5=nJ=tf z>9$9xn!eYEnyt7HlX5ghu7MA^U&BNjb7|Z?pp#CQAfcs~K-t}3>?Ph|w)rQA#Fex} z(7~i%2Nk|rHI4NmwI7O#HKjUAI~jcXyTuW)^aGSFb1cJrJd4FeonV`FzAbH)^1%Aq zC12V{5Ar?EhPS>A(h+TO3`WBpk+~`kxau+(45ur56Z)wA^x&Hu4tr-D zk_o<}Z7b$&dgCt%LS^b{bpk1VuKBi=KXfKu%|>s(>D7QdAs)#Uipg+O994}+pMsGG zV6WW%kbNmKE$WlfQan!V*(Qyl`w>s4e5v;D<>0NCFAb!&e#P0_<`{xV&@+X-TM>n9 z^Rm`8ghOr1WcJE>ZoDos&aclxcZ*{%Wj7F+q{(>MaNCz*tNNKmGD|?vY$EN)g2w;+ z2ywTr+?Mb6ZhDwCiB%Bm<9l;0YE0rgQu_h5+h?5NhWxF%jg8rw?gW|jKP!C4pbC>G zY;JbZ>FHFUS8flfGo#o;up&Ae-^+&ESvu9Uu6^3q~FAInjJ&B9>#(H~$ zGAy;1_JsT*pm)z;4mK+RkVbVQsFYFCyJUF$MVUVhPTiY#WitWC_`|5HEcu;D{(1$# ziK>H{xVT-cbv#?o>|rsT}3rmK7n1 z_*spLQsz@T%BtCu^()B5V7wi#8*D~KXtFHI{Blow_RH|47Pp=Yt|9<>M z&D@=n9)1gEgnSu73m>KU-+-|NL>LG^I=S0C4ck$oedFn3Ec%KthXeJ*acfzoI&Tfj z)K7DSN+^8toq#q!C>ucN-TyU$Ar}2t_z(?G0Lgv;g}*BIbhZAk-ZZ=-K+OZ>g!Q** zFLrp8zz6(=K7duc=IZP2-W{pw2rS=+AtC;q*OBmvzM2MjsfV&dayzghH&<8iM2SAV zW%2Innd6*9<-+0avn-`PIhZ0K?j-3uS!FJxDXXwe86oE!?#*=^EiEHgI=$BS)X?cH z2-QOpj{hCuqIG4Cr66W$U8oMjU76pMEjKjWIlGSdtp#B2oiI!(nE|pkjcLkEVr)8^}oR3-RM0(V-WIbT&XZ zMHBm=IbVP?ecaeArDtRlt^2a0NV+V(%j>uY0IK^3AB=J?oZMd0#X5Rh>V9WW7y7rR zKG5DSu|1lvFqUGLU8G@O6|cK}+54q65|T;-_g5gv;z~gii*2t z^=u4zsxW~$DU6fT!-i8N+~IkmY(TcihIoJ1l$Pu1JN2tS)3;R|Tu25p@x{+A&Q(60 zrwJm8?P17*Q5etCTFYFedOOhNL#iaVgB4*j4&&c@PlW~sW1VfVp93dXpE87$`1Ey?Z-Ra_n9Dmx#gueh}#% z5oAx}V#Tvg6mHBe@IrP8G_FLBh9%cxaa|sJXRAzEcJ}e6Mcqw5VRv1EcppS@_^G4t zMha!clY{Kvf}V?vuAf7o$rJdzBGSe{3DkXy$nMO(Qb}ObN{s0+&r_p!no!8PPsIoM z#4Z;@MN(DF>}Xkr%a~{dHmz&?ewOf6fQLXdidxPy8YXZo@sS^U(2iY4q>2NSUtSda zY8V~z962?zp?O?q)S=LN?_bIBl+QfQ+c@fqeWpX-5EQ^6*WUc0u6TTKDfVvX6U+*Z!NcxzbTII`gUrSaxa!ih3^hF4=iNDC?8h4cPz?R}$yp7w}%uhr8sA3l}cXik(N4j9Lr1v8b$CpejfH$$?kCRiQhBn){C~-anVXnAURoEDyi^^ z5Lwi-F)EOKNu4~_f)p%si`~RP-3-Y9dY&97{7NqWu?p>@g;I=Ye}@bSd(|gb4{fUO zxme-RsiZ`z-~d2;sKK@?sw&#~u{HHTY+bxT^i-vX94-Cn+ZiM6X3l*N;}*oFXXMKfOimr5BlT zRSv`DUhG@K?cq|w&3fL>SWUf9z1I0J+BC_Z=3uGC?ZXk!}wtwCFKh;saZ` zSzaawcIAubPxQ=(ejda-@d$(ko%`@T=+vdO!JGg^ADG1!SSVAONzu0hIh+zDCPyhx z)-JKCSNZf_{f}eEc47AILZj5Dt?8Zg9LE!hN*JBo1S;yjCSLQzoEde`i!Z1_##SaK z!%)wC>1lUPGb&tUke|9Eo}4hC^|-#MrP}F>0oH+ig>5dTcJ(WbYUgBq237h}_=FC* zx*tKBd1eQ$@6c++8_PrQ=)En$}_qNu-Exp;|-DF6QfE|ysF*LEQOgwPe%$-k7%{b z&!Ckp7qWQt%yZVhJk3Vz97ZY4jxR*_Rl6PjiBJ5V6<~k(GCBD~YU=zX!YjuwSzIG3 z@z3wNjG!?$b<6K@UgkRDBf{0|j`lyZV_g@hL}vnc26IQzZ<`JvaBg|;!S|?3r>g9h zX;cZy)+=Vnki+tuz@d|oMOi6rLm9kotztVzaiZyxMYfFzjg}F1SP+>C4xMKxb+VF? zsnzB?`os!82vgDu=#uh#0<<8w1`el>WIwNRI7HzhDE!(L2`(3%WA+O_UvIrB+_zA;>6rsGPz@k?PIBAXN{i0)5=?v+Lq1L%t=`=`CiexXO!KK zS~&Ci{<6~he$RPJ0_g$xl{r+|_izzgUq1mdtB-4ympOxe@qMsI6M0@B@d)Uc0}Q1K zh#c!8k!&5y|hs)dFE&i_a)rZ5*H=(#6B>kShE3G8P? z{=vya?}?#n>|+J?gO`U-R-OLZNzRy6a@HYddDl7UhUC9Wf_Q3Lx5d1bNkRb?z#02x z^t*QqT(*DGHPi>s@}AgjeP39Eag<05>(cRGVeW~!Q9%Vm*vq-lmEMSxRQ;RhM$xb2 zi?xt>brFoRD^?=&&|P@HO$;llp{oMfM{S1wY1#yJB|z+tVr{RRvoHPWKltF@M$7LK z6JLRDvJ&4wQt73Tfkp|0{B;jNOm+pjIChlzXu&o(Lwi+jGujy$XQY|cN-qu=7NQzY zA|FJ0jLcTRXC?oVM(Hgb`wXRXEXyzHp&;4=qyh79%Ea3QEy!6Zchrx!aX6E*R;&2n zd#PrYN8uG>{`*#=hwb6l?*-hnl)lNDsLbumcO|p>c0|T!h3KE&YSHJ@ZnQ9kSqoe@ z$&S*E&&izsDirV~a${oYz^ll`b!)*bwR;nJwC{--a>EeZwxpMI`wh)k%ZKRPypLXO z0#h_8An!#{nFp-GdByl-8RUZ`E^brmLI0k4x3-+Ymm@5;-n$o5M(LqD`O>B3yDlfV zy8W$E+u|GcypIVLB2cUrblrGby5=2mb-IDOiJz4B9L+0bTO$L#T(9L9*akc@mQ4#O9%WO@ym1Z_YPL4FNC83RCjD<*M|e3A zirk!*GBXzF2lRSJmU{ERWg8F_tIC11)|=mz@Iy08TEMPIFQ$kknMRdIen*Z@Dha23 zEnt$x$BLLzPX#dr^DU{@d=4urG&PE!{N}M86Hlxts7KLs1lqOz7jS0P?S1GVruO=; zMpmxF3o|=Qt*!%CQ+=MOz!`u>ztM6bH~nF>r6p0oV53c91kbNwl98p+WVvATm|RMv z(-)obd8n~=?dP_UnG)2l8Wl~Y|JwrCaIpIw`_^w}{76JF(LUTgH9*u|px#O^QJNNH zzJQ=Zo)o)%){dX7Iu`Dy%-v57ty%0U^eXGv?v>{u^c@UUhF^0d9EWQ$$KxgjvlISl2*aQs{*%`5E z`$R{2(u^&c6p#g8;8I`8D}Ud&igtmFl-HJ3&KKxxs+Ocbh8LJabD@huY?s?a!iq5# zJ%4zyuT-DPS?bs<+fB5)W-z41Ycv7|W+JYOcQ?9E!Zb9$aCLj#@e@%#HhcjaQIUFK zVz&avLo(okJd4yNVfQN4_u6RziZU5i8Lz))jxn2Z>fNlGy=D)akenkc{Q<9=Ha%xw z%q@7O=Ep5BphX8^rwb3Klzhv9-0kp7o&y*%FhHL@pGgn7NJjdu*DYK6isTF|QNmax z+rM81Jo+E{i4s01)(aaLUlGSJh+~BIEOUJnZfUgRi!^bZw!Z1D&Z7h`Yt6Gk6~$k> z>I={>AaPeUJztyw60Obg%l+!;1{?;t{M5}jiMI4u>z5$+P~f}a*tJdbpCt2z)7DRV z7oz7K9&%_d>4tX1IkKH%BW{|4E4lMrxJ6EaYrhm-z8=pR3pj{QX~_u7aFKhZ(_XYs z^T68u484qycSd$y_hMX0qgDqG6WVqii?)&UE+(jqYwB5PHFHUhxfMYfUm0==-2px_xoqa!}-F1!QM6 zJ%r9thpiO31T=Wjj&t zX9@R#4|6dV&6)N1VYrkVlKSMmeEZ5OOQf!UbLn8@8ybq+e}< z{K8682n_>kQuWN2W|^FU8Z8V1;NC&1S#Edic8~6cZQb{Tk8iDST+)x}J9qd z8J3X0IMznN$F}3KNkzCjcXbB~KX0=NN^j`66(4>e-XYvN#g-*6B;DAucB*qZIcaVu zzV1FaeAum=n(C^`+fA|JbxY>@u5(IV)#Zupj3LcQT}eB+AF%d}%9L^!$%Fck^00Oc z^ROhl^xkmdo=mDyPhU#KX|S;iNk{&c8ksuN#M=D<7IAvW1qKq4jb?qbIm&rf+!or> zu~#^NM;be{y8E4OPLeihGUBXi7R5S~YsszL-i)1Jr61-#M)F7l@{m@ncAd6fC1QR`I5hfyfc-e~5}J_tk( zjbU?tdh$xesGNk0@lquE;3Nt2$#r3|ravo-u68W${l&c2Y|aEnPHUdr)w$wi#k~KHS~ZrreMC2&yM@yh&J|7wI!DshO*V$5M5z zy*-a`9v-8W0>g)U=jxBYbO!jL`dJm&FNw^<+GxTnJxy}_%*b8Jy7$qS*?VC6H1rT! zU$BoMgh{&@!g+vT>P2M|s@Dw8i1pZ|!Y7Hg_?EY3F#UkdeBmJtW32KAko9$VfcFu( zxTjVHu(Vp9vCTQvO3Tl^5v%CM(_sErVQjs(8=4T#!s(LBqyv6Y<6vK$C=qkVr)86? zvkx${;6s&Bv2lJk_qeS1Y?Az0ci+?fTiY?zFt$AKEimBbTe<254miUH(u%>&1D*BF zg*vZ2*Ggpk(kcBTu*4*svtsNpH>j|LKEbAb|655`&+qXjB&I-}Z=@`{y!(R@&fY%9 zWM7ouYWI_lW|;NBzyzA!l$mKtklJoUUD!e;|gYAw4}#bwL=hk1S!O`&8>+$(Q9z zn$4YJ&oor{92ImVi&AqNE}Yzb?V$$`L-MD4G;G?)9RjHx0u_54|8Qm_T<2g9MZnQb z5L*1P$yNJu+@RBRfTHtyb_Ltjq_t8U)q6Adw?UH;g(*7yv9(-r0|RSk0*=2_QV%7# zKY%**P*tLZZd)%?xh3gJN=F7K!V>L0djyfzH~thkiZfgX<8f?<+Ev|&kIv`^tT9Yx zj>n!U!x739V*xwW2hK@v3j}hJYC_-V)2#(h75lUNQ1yb72iC%RJdnlI)AC|uFQWZ#`NI-!Vv>HJvth$ zmMcLn`j++Zl@_-qkF#M@dep<`Yzow>2s>tbmSM)Vgq6_;+LZ6-KI@F}(Br?lQ_j$JlZ&U&Z8+uO&5({{S& zq%@O~*IAisw2UM)II?KL#DCz*mt1wk(V|E1<7J>-eUz%dU$qIuC3z#GmSa+|=}e-W zY{z|POM@vcdE&1xOGD}LibUGnzexI?#Inj*K2uqmg2KXhr%E1n_m{%`iXqG1f8V{n zl8N1u5v;25ieJ4yv$?Xbh}P*_4ry>X$5!Q;06uxmY&N7E$+uXyelE7|gd!XnSgBCF zY~(hhchUoFA<7j^tln%Ig(t{lWkD5T3YW(XrL!;kEjhpsz)ODlWbqU@ZBboG>7XjZ zeLqRAk0#hyvgN-T_N_SifHB)D4>e%UYEwEVO7-uE%vpKbcUq{UlwM#3p_`~IIM=q#eE*>M%J#zL58sJ=H?!|X!hDN>0SSt zRXMwOpM=@=F-!Y8P|d~v@4%xIHFH?L5p3hdS$CSW1LN=C=E}18OP7&CHVikC^*aMU znG2prsC;y2_Y~EXCf4FdpN?tbi<1`} zM3MGyaY9Mncehxm-Le;DX&3bJ2fBv{4_K5^HPY!7^_DYDd!_rxaIOr(C| z&RGbnn0~~6bSF0HUi*@C|ePQZmTgE%SBez;;+xHvOne}{ww-t_?Cd~1BSb6?p^2+m~lG#T`3-o|O?%x*R ztk#17Q(G>w6RHiQH!woXwI95+Ei%p4CM#VB66=^pm<23c)Bq)g1xCoUe@>sZ^~KqQ zjUfwW5ClS=!~M5Bb)G@3_4Ie5d^T}9pH4LD##fify31rItPLnKQ6o!JF^+>J3fzh zr1$~4$GB;5j$rpfS_`?J-qqTckh6ft>)5(m`l2Fk3I7A-5)!EBMQBOToaQ{So=dae z*G&zmBfzWQAEv^7KgScB`&O5z%-QWtm1{elH!XV>`x&2d`4Fr9 zjnG~EcB_S%a8iQWKIIZeHzTi@U>;G^fw>@_WGZRrNw)~g8nb!gW&NDGEBYL;oU0jG z3r$2Ba*q6Q!xo%*I~3c{c3_UJZ~a4%sue@EGM`6mk&M1I%TrjlS7tE z%W#LDdo4CjgiP0k_|@IUJ}3DypIajTWzqW8`2%wapNhklusxkcJu%D;&m}$DXY2Ib z@(m{enG1$7-PRj>zo;te`@z^Q7nRb^==3m(<%-+jC!PeE;?Lm@>sJnbxo=)a?nEZb zHr9m53+0$Jw#=Z;4`kUr)J0YmQcc&$D-4*EN@xRDF!QIs8s9YuKmVw{oX= zXRUfMDOUAxr(JS?sbtL+9#NbX1N2g_3l?fPqiRE>!EF#q+dpc zfx^iMv#z5Rlf=PZsrC^qlau}N&_WT3iL&z^~mL%WrR6>ift{?QyJ{ zwJMD6itr1_Ti>_cB!On4r=OYSa4dIe+kC;f+F5!0w}Pd&XN zBUw)ksS(O9CJym3gBdnXX{chT_KT2n7MMr|a2cyY-)j>XIb77zEJ>mMOuqjG`VC0Z z*L*ZXjcoAL^6`Iu>rc za=$}={0*}$o_Eo^b1eF`=(z$It-IXEN`Ww6bWT7l_hFp4Lrqx&l2JzX+lxti$z1%| zR3o>{817dS{J1bF(n2hJ5rMNZeR}xoCUT07^sdk5&vxT7vxnlNELSGsYp=@A;hH%u z3fI2HpOMcGkM(ea;0OqAvL5}(`$8ArqMjdduWpsCH;fdA%R3z8G9>w#Ahr!XO|^IM z?b)%-Q8GsrjC2XHX|X6B+4?6*SHkuALi+0bRM6r5p6 zerZZ$1x9PCEWJgvhr51B)rq$V-MwEoha)raU3}<@c)nunwP4hX+B0R9F58@~2|7iZ z*D}PuT2kj=_eSed_*yT9w1(e0)9fcDHV}yPgYXwBo$Yerxs;?7TqHf=>fX=F7W>A& zwamHi;8dCOf4*UZj^Ds}?CI;x_WGKYfduyp9SL)QNg_)WJM3sc1un@n(8m<7bTw%w zAXzhz9EoPua~$w;eIDN>-ZloQ-hCz&{wYDZg!jSIxG+&+smrbfw4Gh9Ud92goR9dg zz~ZifC^Z0vRJh4$sPP?H*#~n=3>_$A9u7|&2*4!$_G4qL7KnRc0a>6dLpZO!1&-Lh zypn3ULR&5Iiyo5^I(4lz^y$86-Eav9Gh-}5zgp0dBC29vD<)RN$TTB~Bt_H8PE7aH zwA2mRxB2vp6m$j#C#-%wtmK326Eov$)=4P(;ZcFGoOGeYpuk#|UY)I;%{LM04~i{Q zBN;d{BhQ@&=-1@%$?L#eQ=Eq{rpG4Knf6c}jZ+^Uv9AD@Zg)JXC2q2s3M~ZL)@^iC-IZ|b(7s8By;vWpNy)vX&%tQsBVTDbAY+tagiR?4S` zzFtaNiIaIIeTIQpqs}UXeRLY8fBvf}gdw75?>iSb)%wOt$0vxE)W2wUV6H@u{ZXa> zewNNZ4pY7rVP=9lyg;Qjk;57YR^v~ovm zacsiR?_>9s`}0o?*;p6l2Oz7f%{}uRgImf^0sq#Ig}0IAHyvEmR&VopnUvIxBqibF z41}pw2^6aFrOd5~oeQiQA=fqD9b=jA4-SW)n15548^6u;@bNa|mR2FykQe3EUk}z_P*XE^4u6DX4YbZj08}!CZRfeIO#5ebd)g z4*Fr?I)ja(1afb{$VG^S)Y{a<@6X;_V*h=s);_tt8Vl1u0|IzD4)ko(F+UFkw!;*F z&ZZh9k?b0bK0^0S9*4q`-Zgd6@QX?Nb751P)lc?^o|CMFJH5?9R?ZLarayClgIs3! z$8jQZk9Fu9v`Crj`ZDklIX?>p=7P&Lnr@9;Bo-wPF&zvq2;QF8(V1T)4RRp8*Lsg1 z`u2=X`;IvYKLSizUpj$>1s=to=ccP@8QE}C;b7ucGEcv1MN#dy?XyyHq-jD!N!yJa z23nSfE3ZKfH@hm3or*D@WUcf?Mg#)l9i$`0vdcBPvSgTZR>^}81918n=BsW|gNGei z-7;Au2p#-w-zA&5XsG>$$!JtB4eipWg*tzYdon62E+oal(!Eeut& zq!iw1IA=k-%=ZSO#?~?G&If^4HeQh^tz`DjOQF(lA!+s5e1mZ3DRYi=og1FKNJqSM zuQ_6{$UMzL`K-lKy7kwN_l!6H)crD5vT$E7ybEBI8e9I-A$+|+Zg~=CMa?v$Db`nf zGyGS$*Uw)&TO1bLm3BtX(Faw|Jn$z@C+eod{#XS?-KYk)KKpR}lLAcEU@!3bKoOoc zij6+iA8vIir1lzk8D<{$T!3Ldzal>N32Bq)x$Sux+-*&k9S)TMW@&lf zedkhI&79{18;HIXGc1o|cI)suDtTkoD3T2t?Z`uQY6BS}7`vAzyo!4D-;92u9DCi~ z6!S@+9%K1N2^UcU*Dk<}!ET)$fLbFNyJR<-I_e}9k1={%gnIrKj7 z>w3Rl&-L09oBog+QOmRDqH7++IkwEaN!3StykW1bx%_r417@Ry<4giJw9>PQ8E>{9 zXnlW<3q^%6Zs*w>o8G4zr!Z+0q-eV#sB3bzRJ%f~GGm+!vrFz4EBjRhKN=##@hEYO z$dnuP6;}(S|K1fX=-)QqUwgV{`5w<%6=XnRh0_0~=T)@%hHbA(}ZyU-aHbSot>MxsCf=v(y#+2V5%^gv+dat*T?`ax$I+z!)S! zwq+#7fyUcL;IXRxfDTvLVQ6qQm2ziFMx-74m=ea)oHY@hB2@25SnA?(vH{ItsmU{J zE7$N>SE$cOVCMG@qM_a3I^f{p{eZ;;&FIu?GUyQ5HV)aJ_hH(yG_oyvIlWE4+eci` zDhDyv0R3X1TKujLx)rA7<+jx2Aknzf0Y|2a;mO+PCvyQg=w6>Jyv-eovh=v{78 zjH&y5l9aM1LMNwqFnh4dLHgJNq;kkLrs$Q$65DC}^@34700a8e%vsz?JY9v-XI}vq z(C)1-wb>0b3#FXG)FM*O5rR&HUGUM`JJSHbRdM5U<8&0y4mB9;47alL*1~c}If&0jSXuYm4&H*HUqPYEq-duO8t3RI`o==3EY?bQMo9z#d%a!KqtJDkPABZ{dBK z#BMU3@C7_GF?}IzW#(EJd<}8nTNpNYzU>3oStm~j5}{^dpFRAbE4yAleRI4C8DP!= zL=s7`xzUmqSPTP_X>&V8nM0dnNkr`e83?3dLQ5t#HEnVQh*<($U8-XK)Q3iR+Suu` z4hRgjNzSDHS#O1xlp8o@LOlg5)?pblDT7S7Z`?ti7Fhm}WGz+x*Zt&ZNQUw3QFB68 zI#+?GbTIWAhjnfqsLm^fh~RdW`BfH@)^NMhkWl>LI52C)w}@vgqf>MpXJAV$_)I1 zRt(L(ozm_wO{=k$>!?=OP46ky8`MiX)XQL0?7o}X9?Uu`+X)|-gE@mUYBto zh=^?2kiIXKamH{RM@U96!F9&Xz1Hjv$Jt9cN5#*r|Mf<;5^|SlEj!jVgB={AID8{` zJrkwhq^<}$z#ideitu2_*i~ET%oQ$HKwbdx)gh8G=0>`kl;=wve_EmI>26WIMx|AY zaWa78HDcc-BLuDWVBtrVnb$8%b2o)xIt-U&Xux7NcBYd+bXi^TBL<_IUw*}}qoFg; zrIz7Eu>NzxGXVlnJcH^$6SeAa*509dXIr11M%alSV*SQS#}@!GpIqC!+w%yH)cNh) zgvEO8UZ@kbK`3pb)IE(2sv9+DUQKIAzduWL6_9`DTOoF^mW*GJ!dkKTrSL1EcO*u? z>ls^`P};2z{mvgsn!vD$)4Z(@Henz~L=Rq&71B2=I$L5*CIg zvG2PDXE6(R8&Pv6c2xfTQJviv`B=1SFNGDH6=MAfUpAqqdjgkRZ$w$khU?z`(W;Qu z%e}Mmq+8shqXp*#4ueI7T38)LuStGC=ZUp4JA=7Vep;zEC6lLl07UFu?TD;vJy-Cw z_7%EtX!?kENGD=H*`6@x)zsPA`LokC2C>&X<=b33p06cJU3?ZMOxgg^3h;=+qHGpr z^9&(Pkfi2c_ktV#d;Hukk+Z>d{~vvxv-$TV;a)vK(Inw(wclD^c=tOzVA{W>@B9^L zLZDthb+Vs`-J0MlDZN`W`+C*59afIeI(>$ncaWey%aPaAmEyU^+41lrKO7LZKZNyY zsW%FzCUa)4V&6eyOtid|Qyu;;Ka5{B80q3nep_{>4$R#J49a%BJ|sty2<=UCg>PHu zA3hj74!Uj1N`H+^pAuWnRvD11>-x<2FJ=EHb32^xQnuP&^~*PG7z8=oeIK)xc}|N@ zY}^Xnlcle+Eq&sE6rFvWMv6jxuY!eUr#);wzqEuhJkHkvT|xae7i=*>^H}F!#=SZT zMLg^;iF#;t5S$#E2@6#J-va1aQXFS~WuuY!Jq70m&B)(9$oJHJdPX+WW-+E8y|;2t z2*PIQ8PTOlsM*aTM|iomQi6 z8zcWJIL~FSXWl%l^jr`Y8Lja2Gip``v3F~2e6}pMbr&nmoC{V*fhVJuEAulGa_aJ% zBKpqQ{PeBgG_sI-0spOB#){AI;rkIuP9d)QtsKni+}AJ73fz(nD?hzP#>>2kur<4< zuKVKa+{of@n)YhgngSf`BV`hT<#VMcdBy43h41^5M(;-)pml1HYzQB@8|1O4kDLTB z77fU=J3ab4fVj2jK$b5;>R(;2;2gEN)y6SU_7LfjYo0NM`r_d8RxJOEKSlF;956DF z>IcM?<}Xl{ze2=s{08}*H>RsRYxf6+6VyMVJb8xlKR?5+hW@^zi56&QtP1^82v{Fo z55Y5SpK90G%HA!M3jLu0Z=Uec7Sfvl&I-aZ5K%-Q%6yp}Wj{ z(2CEk@?^tX?(js?JC5q_*B$}AqF<$il57THJkCUcsM6d_Wr+iMwwGx++}@+p!ZjCk%Ztd;usUNS;a>{DiVh8$LN&J? zqifv5NGsYcrp)jPKBhaI$k*4-md({`WZ1;c|VpNyV_manZ(99AMvVh3ExyuNf1g;x96 zlaqYV!eEhV$mUyB>CaQAX5bls)qL;iEgZ}vk+gi&Yc6;d-qV0)nt34HUtjw3B_v;= zI_#gnIdz#1wWC9ATQv^>uY()l^b+{pndY#mUtpdEmFR?f-@QyLB#2ng^iK6bq!TfU z>mq^e^+;_Wm485%$Xi^}_I5lOIuMoG{oX-a?MvE)C&3j+VbS81x8{Xwqd~r-q8@Fn z9j?jO3s!%Eb-f`m%&Wg7^$t2C>TCAHu8pIUp1HmKwtv+DtvyeO*@uOpwtO+7lxLpDD+YJL0qDU zirYuOl&eU{mm#X^J~%+_$$}0S7jH4Cn1Ovuz_dOGfZdM1a|O?u%xw>)k?Ie>YDAwO z*|aS5tSu(DS1_zR~vymqTz< zC_!QJ#1$fT8q^I{t#nSl$?Vx7&cnP!<~z{;DV3SK(JTzyPs95hAIWCm^AKpqCm5C? zLO8fErR8ciRJDQb%6vNIK|%y4Js($lU9*E|y5^vW%_nc*@#tU$gh5qVvvfRc$YS}6hWf9dVR*&ecsNkNF7#B!Yo2540<~86?J+dI-iU5 z;kzKAODN9v1;a;U+VOvIlUHy9Qh|dAw}Sk2C>0BY8qNmg0uGFX>W7$TrzO zX+{pLvmeEWSOFcGyNeU@(Mhg*9VwRhj=tqhp|c$|v9>R^4F5hra*?m{@?vZ+F6l^=N|Fcv+93qk_p&CrCZLeO|e^_rAIIdhT z4*ngL)ugcdUg2OuDpZhyz~9FvFEtZO95yFiOL)pAF}e+QK&;Zrb1@S2HT3nx_zOo; zi_LM?n!M!N2nOURd=EC>C_jiPThGi!jzQHu8)s_;#6R-Xm8@sJ4_|1y%1FNgRflSr z?B2dqSY2q7In!ENO(PIe;a5etnwI&5-TbKU;lNyjBU<%AYJ=`JRj)}=2`<@GkxY#V07vRpiCwnOS#a&VZD#mPCgLX3Kt()*|Em%l-5Qu-7VHmsdryiW%* zLIZU{rIv#_B^O&H@7OonA)Xz^!lJXE%uu)-*IKeC9|d?PSV-F>-xa?}zV)A2Z|e&1 z9@y>3^PM&9u3n$kC^q8ISaxl3DM__^q|dxrLkKF2{~q__uh8{Ud--k8^p z`!^ky*crA{e`sF(;uw#fxZXw+T1rmSW7|TQAM_Gj6^KB%BFNc8u(Nyz0)?$#5wZMW zd#&w=pNa8a_c!ZmeRCRDWfM|5kFRKt*gQJjnHh?R<@RZaNg_rL%tu5{38D-kA!3pa zkMWbh?a5hvO+lQm&ata3=qd-+Of9V1b`m);R&-r9wu&mc3nt@D_&C`pLB3+x)@lS6 zI|tBDp;$%fpD5qw^gy(6pZ6?%h$-O#HQm87mNnDUb)v9836C!7rFYG*_$y}XfVtVh z7)vqfn}XuMxs>u2bMvw*TMX}TcaWHSa^2z}M2fq8WJ?=jVuK9Fw%D2vCuy8mSS`6C zC3fMuBl`(kX7c=*IF#uUYx?ir-)-ubd{z2zE)BAVmy-z{CJF8gm##N)^GN;cYwUg$ zExS8+f}!pTzZ5*p$2#X9J*I!upTLZx-j<0~Q+~1yNi7dhijJE}f0GCpT6>5vR|N>> zp&*R7U{u|*D@9s;nOIoy&GNpK;6m$wX{}v?LeBv7hd^#e*wgJeR%Du)!LBfl^8Ft?`zNci3$>@AFZ}!Ssy$_vdj7nxF>IKPx`xd_P02bK5@>Mdeg`>`KbHlj5 z5?DOu&K(SXjPhG)Ct2XZBm8#5zY0~hhDpz<2}k)zvtAV2cvFjB)J8|Er3q5p0P_(P z=8idCB+~Z!;MQz0qU_uu+}93{?M;H^`T)>3n`)e!6Po zFPw}P}wqgDcKaM%ZP!1d3qZU0j#l7oot{JJf7;D0}w@U zb47CTl|nx3mdnK!>1Hh5tvErtq ztM^%oQaGIh3dB$16m-eUH8O5w-C(Q1;u6UuDvC?00zBLj9_Th}D-ZyK%?BQ&kYCPz z=i;2o%2{6FTOA;{x0qf_^h(-BiG-You`|JewD$QDYW>qy?0L`m)U#wSlDR>U;z-p; z->LpgZRRuW!Goh{inX4hf{+0DgQ2mj`3Nh{X|=fOD;_Su>TmRNxwBS&H4iV@U z!z%T!nZ}dvux*OtZ4xLcKP^tcBadOUX8zuozd0hPbXj;!!S}A@AO7mYLwr%BnbF+zC1{hS} z7f=U*t&z#GcKzFL(}b}F@oA;&4e>W3xB|zSUg__%z)=5GuwQG8zcE|NqZ7|5h1z82 zircOB9Dinul`L6W^|*k5YVZN~O{*zRNsfuGok~RLJ93UrvFgAThjP4-eIB*6U44xb z1=RsD-I(KW9nnLJQtAfd!e)i;U{%8C6x!6a@d4g`HdVvd<7ClTDXKbqXPQMjc^R}m zSntbu&EfL0iJ|ymWx(xn*VEG!JSm>!-z_zVhw)X=tR&wuqt>r*FIB5;LOq&7x3%7m zCRXJMiJPS1<%Bx!*9}zLTmmIFb`nBefI9H%@Rmq_DdX;};(*OJ!EAYKHy|iJZ;{hC z51ej?@i)m&c|Xg0jw%ZE33{I`C}AO3yn@wt9X8y(#y1h4<)7li_GVRtf;m?uy9}=q zbZuP;XKy0(Eeg$l8_D+-@*!YI=7IRl@b|4U9Iyez6FxncAh&Huz&b;63LPG0WNa$|{z^Lont-o(U?jjI!pN3HbbAZ)-{i z*OLI;4djLebQ`{xU-4A?o%k=Mlo12wgc3{&hK+3>C-6Z%rA@Eki&f8A$6H-$0K0QS z9v-|5^X;E}_8038dUy{pmHt8UiYvKQxpCTYD3wR_oTAY`p<&fcLN>eTa@~V=v1Ijk zg(pm0#l!i@`PKI&e+ElXL~z&z590?i?7@AI_=J;YOa3!8qFM`h!z~7m=7lwpC-I$z z=zlQP0t+3;fi>666N65}fBhaxiFa(S7h3)V-1)5WxK8I!wT^;lg(IC4J-aFX z^L=fxAcF2Fa(y1m^lSu7AbuK=R4*Ty;u3_Sd3EkJ@P`!kVmjR)GARJ#3Np7|M+S9k^iFqerZjo39&~>t;jTEKviL(1B|L>5jYV6A(ZoYeR;}O66jcgzy ztI26x#CM%*;$fmMA$Z?ik@7fEI#!x45c>!$a!WAUG`DuOqEKgyQq=Ovk4$1l0VyvS z_2v0NkiYTp6sUi2y`ZFno=xVC1YX7F9fXXCRfrDD>RFiZe3v5k(bTYx$<=%#9xVT@ z{)Jd-xNGX0i#^cvq`6-IGMx|_FvPa@F~%2t>+@6#+fDv17b4hRk+2}aum_nws*8L6 z#@XWHREd4{>E58$Yx!_K#O{k8g#LYT1cRk6ukWAjexUVu3J$4p{YqK*>TqU5>EXXb zRS5mEHkzU02(MBrbWC&wrbx@{r>{WCEM5hBOf*2h+nh|tVmbfyJCV*>=$1$?@Da@j zlzbtv?M$-%W{`cO6Rpo;*x zsj)r#g6diM%S|0KqkHI|bC|x{*qTb7$2)iqqBykzk|Cvm9Y;R8rg0aN6Oj&Wp~{zW z!>Fhh=K)S~qdqwH90pt2IxA8#2gICe2bGOFC$sw0#NIBhVTs%GvjXg$je zc0E=ycesNST|AB+@|ZH=tUCEYh!Dar2riut$(|obny9y*-_Ne}*;&=PSS#1dXb=`*ekr-5uAJ6d$FQkFj5}5$O1`4SWZg$twB$_P&3N z45kQZ0@caOA-R1#D?^VIjfMsd^A!&ArWYTTScp2$BCXNOXD9isj94VgET^Qng41KE z+yM>OD?7u_-RtK$_nt91b0LvB>~Akl%Z*g7=35Nc%^2lhUlS^@Lc1Ei%m0;8YjQ8y z?Zf(z-(nLnU{MlcI!-EQq;HaofBus$IGvzf$kGuW72`o_iY4(3Cm8v*3HxBmCA{_Hd{}P25r}rJk@Ly`_cK{c56ynn56Qq z_wVn^bzFsX5EV>Pkd=B5O}`l~lk330TE4m0?x#Tx@B}>GXk9hOhfqQw?`fdg1`ga>{C-Yq+uBum^ z=?rScF@SsTs%^Kom`|lGd=?@JWLJEc~`JUMjc=b4`$d-7sA-u~-^)UkYq-s#)w^u{^TVdtAW z7SRT-`!A2F@^k(RnkWogT)E0rz=SRQG?oi>NAJ7)M&6~>4>%CZt{+Rt#kWgQsN|MMMDJQl%U^9BQ3&g$`_k0Bl~45Ga0}LjgtID4b*k{yH)@6NbxPRo zU%@Giw)kE5-8)d%hLx{vnnNA`JuwKe+Okm!w$&}(9eDpc*Cwj z;-Qiw2bFVk7)eD45EB}_2UPUO$8MutYnJ;kOjh3qeu`_uaRsojO5dF=*XTqvEl-xI zQD|D_Hlc3w3-{YhfX@NUB=)A+_Co)?i7cJR4?;_*20ueQw-d)&5LZD$!ngB2b>Szk4v%-!&zZD##P zfAusrcCNU2e(m(4$(XzfBFcO=ZKes>fIU@VtX?`ALgXsmqT3|H(qy#{Z7; z-wq%!lwO`*m|=H0Y*5Sy=!WGNZ|=G@G#_}AfuEHZl-O{CdEGxdd^H|RfSsK{%t*?- zo$^P+b4^>1W6r?sOkucfFaJ)Nin(tn*QbJm?;MEZLUs4L!f~?!yh4ZalDMy_4?ZVw zD7zIud1Aeo8T{neV^!n~14(Xiyeyo&`(Jv`hWrm&PH@uS5^2!yc+yp`GhT`!#3!=1w2zE45(8b zW0m>zr(V_hKB)Wide_E$yx01Xn=couIu;d*BHCPXO#ZWJ!qNm{Y_@<9eVHFKNj8jj z@84aUl{^%r2+gs7(KC$Z&id6?6Bicqx!OvW#$YEI1;NJx49gL$2;Qeng^FQ4faE4` zX3Z;U;N3V;o@hYFH=v0|P1o%dMcjR)927@NTq=rlyGs8yjg^+NC>o9C#@TE;(pSHQ z%)|i7`^nqGp{n^$22~^XutRM{%$k0?>eAH*#~g5!1Es)O+xpB@t%8a@p-RT#`fWA; zf@oMXUxM#5ryT9gv{?-`OJI?;WSPhg+K>ChIN|b6mkz-WA{8=*-InWAV1yT0hczX^ zf8vZ(9(wyQ45S*H>Ng9;M}KS3kpuqa?YKs4Dd<&Wm0Su_70uqm#;xmUp?gYjK>J{qk zy8m}_&Hck?`S(AYvk_i?&6-yIxk>L@q`ikZk%O!>`oji|uKSigs75-bQF<}C9E3Km zl8veJ`S6e@p~Qm_2;^|mHgBKbsWCVF>BzydRJX!%a!=BbS0pB0`dCV(&03fmsu0nM zn-hM0zLWq>1G7*StDk~9h|--*g zfanE()6R;orPXc18aRb<6LO8q!+CnmElwQ~50%@=jy65TsKqlDU>fBzNgJ=5Vy;CAQ9#f6q9JZl*O?%i_-OBHi)D0r(y5%qV+#1XXCO}o>*S763fA^O0T|F8t3Cmf1yUzkRXO~ zb{-EURo#3?`vmJTPM^7V%AMYr-V%MPM@o(z@EZ;h9v=MTO*ejvR6o|TagcJT&VED2 z0KUypdFn%-o^Uofw)hI$eD=y9-WP|ekycg5_fvrt!vc&+3zMBHF^q2^Uw`EMm0J7g*|Mk3&Hl3P8ocl{j58^G1G9>H}(sQK9120?<_>}TjN zHT7CbGxDjPB}R9C&ho0Au)sc=A6)N}xso6qb#l$xFn^sG_328!Ud2Zn+4};N&xKY6 zU{@YY?kK?W@G7)`+L&PI&NuD&&AEyxVJPGg8j)|{c>U5!@qhOUic3Pj%KiwpzihMu zyKZ{14pOH~TFN!Mp*y$E81gF8I?Ox-ORV+YKC;q%ocF#D35^z@(lwQFA3$+>TEF`4 zpJ8g}EG~{YvX>2b-rvsr&0Da~^Ktsd6m{vlb;F_H_zm6i!z_n7ouYV&qbXShDt+}I z(=O<}Tv)JSC!YbWe;MQkw&0F>7~z}CyuZV*qj+t&MR#kArFOg|n6ch|DsOT^<*J{} zRbJq?k#zs$eK8v*8-VIp*B!9Vw4kRHy2Sik$kN35v6T3E@D31XZ^=kyPGHvgb>h(%q#KTQ7IMJL^7v8ctS$BpYbb#f&Waj(E@~ zZUsq_`fP$;>41D-g~1eJ)!zjzEsW8}>R|pLPW9NOPnE#GOC?n~H+U(;dJIB>qc<2Y z_zr3!3da-sc9f6fKv5-*ZA3d`%9cvySg7=)7n6kv!*7llHnaL1q3P(DF6A%hA7BlT z=z~=LRx6h4xCyI@RcB^PT{HW_BmJ`2z1hZH$#amiVwn)qn9s;GE6zswU3c*8BK@(V zEw}Ynd#2C~zr9fFpC9br(DILxOm9%)JT)*)r=h`ol!@MZxFMoFX5x_fWPpU(t2h&z z)dULl_#fFDU^1W%HKy97Ejsm8hM65|^GDxZf2fd>)9IS+UkA5S4c)$u?Ob?Q{m&8f z?K+z~%6ln&O@s+Lo3?!`dewbV2R4?qC147`nu5AvuN)ow>}hjhzMIg5HR~#nNhwh3 zM?>cysO!GZwi)Y{C`>4L8mORnz*!YtdO z=sOFu746^ez=z0zRuV*hFA-zo6?XN05!9(2=kiFc z%Fxl>9jK1SClV!}9^HPyQKp$LVE2DBIY~VydHA8cS8Ss-pWmTP9kkkK!jM zZMvvh#^1@Ym$*f3?u7W;8=7ILXROWW$*D=T#DJ^pl*7Dfxk{7iNvqj=n2iZFp1=Y zG6!rIoem%u1PH+e*bH!mswrNSsgmU1I9pGAi9Ny2)xybtnch_*iLwogXee>2xzjsvLM|k<< zG7j|Ps7ei8flZAQfpB>xNh1lI?pGl=wWf=VYL|?JN$Wo?&FEiayM2G|kgBrM*&GH{ z#ih#8Xx%@<8*f|$hhcxK66PSD+7M?iRrJX)I;3>NsK38&h50ml+@kT1vd?hI<4bdA z&2JRi+$lOme9J@mcW?fNig0ucYSD)_I!4L>ZYggiRN_{O0j3}fU$37Z#rWpz0LcA;biZW#bT)t7e`zek zUj}$T!Dj;9%!lIhlLUj;@gvmVXOO_mVz zPZsDdh;tm;$1}<`ln#}cfeRUAbH|?{Xp)j(d4=a$gx%eC!rg9yA z3;c+^Nd(HywmeSU(V0W!hRk|FUI+ViniL+{iy+ZAzc1G$Bvin&Wy(H34~Yk>$F9 z4uY`;dP-X~+ZS_?%z3sPFk<^Ko}oXdx!;Hxy($t>5W@VH1VG9xAK2L4H;3+L3z?Br z5c7xxgfSiS^bN8cz3V^7a4VG|ocp$)Lq#1g;c z9Comn>*xZownH!#>dcyo=?~R;J~7t&T4c3e&p;Tq{ZK*_Soj_B*tjM}P-saj$Ahre2Yxep*k)F=3fVd~{oWH;x1+@W#%? z4NSNcLG&-Si0n;g44=wl3O(;b-U)Sy(R=pd01$Ck4sX_`}TynLi_Ww7c?)CE8msX^j@(JKr6>lVO zh`>jRC3+YeVs$5)awqoRrD(syvoBhF)U(3Wl)iEA@_(4WaSt54i}^1su+!J#Kgsk< z0;gTVy*k^VR^moewqu8blh9Tc`@N)S3V^VFl1II;{4Y)qSW*Yzu~T}U=}L~op*zyv z$L$ZbUN4f@X?wcyJuNM8MaU|rAfgDd-qi;#QGDf5v1?oL8RD0G+%h!F0PnYp+L?e= z{m>_MVnzv}ya%j4+6Lgob-p1ID!08xI+!?=GLvk=DG7{jBEB1rhDuBh8a{JL2HLv; z21l(*G{SA|4Rl1Htjl7WoApCU$PKuWmRC@HDYE)ljVQ@XhTGlF35xN?Y~2vMPaeK$ z1)>E@+rhPXJ1_))lbn05|5;u`0_#O%=xZ}2w$$ztQ2)jdgtK3pfx|uqZV+J&q*)n8 zbmp5^Pq^OSt@sl)oCI91SruPn@_Xj&-o2<3F=8PjVv=sfz2jf6^#CAPOO z)h;LB$>umsV|Hx-)mGF)y+^%r9Po|;Uu_b(ODc9(7GwtxDOC;tFZ^_hoS&% zE7*7?rC}c?2VT~5h_`x6SyJ0h@8e}lx6NZ$mH37(d7$>q6n}3~;5c>CR-n-uG1+B+ z&z7-X^~Xqq>b`>M57_Iog3n`sZ#?#}%IA?eSF3{+JYCfENi#>5t8=B#+LPq5;M~Gg zq6u@B;Fq;-1BM*OC#Rjk4i5d9)f*IlYxJQ-bI&633%7~2kP^G$*1|pBzrFSfp~cCa zt`!>uLpznsJ0_$A-iAZc`cLMKt2P-Wq=es3I96sy==V(cG@h0RX`{=5mRzma*|=^51OF($>L@N^@!$ zRidxBQE;&3Z&G^8T-%<_AqNEGT?e-zYdgZf@;){&s!=4PUHCPednJRmDz%MqbuGYS zx3uC*brq-x7rvcI0*4td91v$%VB~9y4yeTR3>|;}1k9Sgr0T z9{Tti;t#-N+;0GCmAn}b@i`Dg7It_ai4cwFk7{XKw-Fr_7{jQxE5!14>A`vrC$1e6 z3(ppZHlR91>%qD*hJ^~l=(k#z4lKN0(yjIhH_lA1RuuG4rYrV#W?xv| zxf8lc3!oUBiYquq-+}q&5dy}p4GMak*UaH0oe_nPg4Tg-yNZ}^;Bt;OZfWzVQ%-L; zNb5X-{;JE`+Adj}d@WQQurJ$U5h|>jyLIzQ0n<@X%Zco(xp<*%e4H@8gRrvln$jl^ z2VsW~DKy<~&iGk~w1Pz8XBoz1S1IqkGiCYBaLqvCour?qZs~B%Y^DNIfm(PbhiA``*;TjUML>~m;vTQ!%1lN9Kt<2&VP)4)nvlx5cIe~pTw zolmI1_p9cK3hwQ;&OoiBkhk~asx0nSm^*;=(^h|8RxsqgmWa%OT^p7Gu7g`BD12Rr zJz4lGXUdmD!k}A`DSBhC9$E5wq~Z8ukwP1%xz&MDUmbEk?AvxvQD9?KShxkkn*r*U z28N-Z^OOkt#0ptrF?aYaPN@OC{QJ6xwnh6d2uUT2eU@w5JG8iEn|+FtL*I{I@qa~` zXU1V!F_G}s4-{(;DJTE=c?&?YK$LdP(NhK5H8@0Y`+Sk?UFD~%a4<@sugQ)aVav>1 zt#@a&E|#^paX*intlrYv?6KwIjjc!WAbmsW(3OZX;psK;J;Y%ysiNV*wT(cIi>&)h zX2bY9C+eGV%_S~Xjb#UeG={L!g&Wfetbmqwe<~CBVO@PfMQ19QrLA9eY+!NiUs5}3 zen*2Qu*bHIsD5QAYKw>!kiRm_aIZr5_*f-|wb&-M-WrGFV=aiq+=(aDk~)Ax@^*E2 z-0yIH(sB?DkSxUC84cY%Ra68mx1UYeR{QK$CX!oz{&{jfcr&0F&+Xf=G&6H5oBO2x zzksBzQ${;x3Hq}(58&^_`IBwW`=J&8X7G2s%=2+~Nw8VUkMHr^*Rmuf{|>D3Kwp|V za}~={4Dee-r95FgaEeUIohpTsU_Tu<9pZm56qevoLsl%W zMJU?ecMpmq!_Zkv;iNH#*iy;f8iwbI)4v)GB0yjQ)#ZeUpYuwzu!bWMoJ zk(~wXPp2}`{rh;o3%5R;Z2U1{OAvk=o>H&|vh zH$!S{hM7mv-?xjCY!BF9fSho<M|x?yHyX1rL}&RL>j;X7oR zb8`4<-+Qgg5!)RJ_u6mLqGR#{rxy$G3h@*g zuexY+F=YQ|`)*p5ENA*=JNe^D!M?`~#fENIceTGkchRnW4-kP`x`*hi*}jqzowToA z#3p}+e{L0|R1|(mT#y?$eD{w11hQ^rYYpy|n&(ZNAmIgb>ZA(e35)+U6bE>pWDm>2y_8eMdxS3L zp8DH~UcVIKdzE`D_m7fLt{vH2R-YHJ%C7yJDcWzm5_qc{Qj~6{=+r84{n$E`u!C_h zxxB?lTW+3+tP~Yj{h_k-VfG&ygScxr2QwYD2n_F9(j)#MDM+By_mzjM4e>HbE?I&A z25bnXX^xKfi6j|W!LBJiN}g7q@*7~qX=wb9=lr}m%RkL0=KazsYc#d{TKpOt9Af=+ zX1MsZJA#`^mxlwyh*UZxetv;0c74or_z6Oj6QkcLL%KB5Eq6M$02O1&T5!}QtEfVH zl}(M($+uw zw|*T~ZiO@{uAC0}Cgb?$i5wF??^)&869>UmI*?fz%{8KBzyvu1LMf7MZ&i^$+eKbYnbQbrbvDE21+R z9)e2dHzUU==7DaIvZVv6<1+}Xgrfy5gnFn_$R)mq<->YKsz;1A^!P?I2JI$Yo_JR= zCSJk9fWs0VQgV7}^XoK2e=JjaO`cCie~10476Zin$T1sil`VCqcE5P^;9#gj6eS() zgtTomI6%h?ivFh4#_BHtZf(;#4%e%~^bR!;gSL;HCz(`iqVD66yU2OV?9)vdCOdcr z%4R^Gp-;DSmF)21X;UJ6p;aT4B4#I@DAJCc2=OOsE2}4*tW1ogw_aV0{4yU)B{3!? zn$ktvMk}mpNp&u`1rxqs+wgdS{bVvE%(-hy=?JhoETHF}L<(kGw%6x<_Z}s@RAK|K z&v98!;l2w+09l>>h?*edsZ-`~+lEcmvEL$7?;TU(?^tpt-1>OZn;4`0K7c5h7)Op9 z%&{)qNc3jT62UyU1nJuI#XVGx z=AJrN*u?{;_mui1Zvkp{+-MF>7I|8h>TP}1g5`>#-Z`Dyjq_8MRflf5)c0_jhKABB zV2*;0>0K4Gsrw|D(a|uT2y&G3eia|rr2;n0s>!Yp z2~Y|EuvPs;r=1)rnd4&m^1SPX?43b(DVyn=pZ)`MLLbibK2g91IX!Tx*!~%@j%gU= zuQ`BSyppI1U|H0MIgv7l1p5gJR}sc#pv!u7G1ykig~406myJ z9Mt_q5ZO8^Lp*(~#!=DkB0tAMfv`MfMoHedJ}h%rgz~xms)-_SE?~x9m;=S|hyDn3 zg`OQ_llWY_#&vXG^SWmIVW?qsHtZt~5A!!y@(X;7k3B7;8TRa~ccY4>zUqZwrSnV7 z)Z#-UlSdt`c5}76OO+M}y3y>%6memrZJ#cYs{ha=pfTih@yD#KEYL}l0JX(hl|`*; zAmun!V&w{Pw^9F#DMTts#YuuxT;Q9sj&iSyX}rfQMK!M(M7K-afOd6@I}eB4wNu%oUW5a z+q}K0>eWg1vadd8LNd5+* zdzf31YNVqv2aJ+iWL$Ih*y{bS69a?*C$L1J*WUGy-+5DM!$P-qC}`eFUeZ$C2a)m* zrV|{BBNG)RaZ1LqR*S+}GqoeBZ znAT5<@*~mb%wwWNI91EiRQ=o4cRh1rdK{Oq#QQ+DMYv(jV#I6fXr6;E@wNwiUe6k=SjpRUt7%Z_K z7E35u9Z7M}78WutnV)SlXE^hf&fJ>e$ROED>(>RHJx!Rdd5O#}Exir~ALk7d*kn@L zd54BQYHMtfh^t7g7H*E~u6G0M(FK#zKz;>bq~yeK`)|a`W3vhW-k)<(2?m0HRs41W zlJnC^a0Nqt=c|Duwq!d=$6FKUwM>P0!yt60-Q|$E#bd7T*X=ew0AGCU?~l+7{rYw7 zZ?mKZh*bKj1gadb&9*X88NE(J%)rYU1@ZL@p)84{i_CS3fOG@*6-?gcI02#ysjaZb%a9X>+io_d?S#TeXV(DFq+6|x=MaBByp6g{E=u5OE9aApZ|YHj zPtEZ7m;>R3{X1k*oQV@Hx;yX}8$4$T9z&*O`3%2<$7q9ITi(bQXMl&-Wothj$q!^} zdS)5c--P#_Yb=G6(EvH7F3W##Fs9y1okZP-&bLicVe%O=(RHeT&kjtKXey+5k>Ohi z9jHiJ1eX62F6!eVL(o!F2f{eX;v+mxbXb%OYwScQzt1wj@dIIt62j#&arU0h(in}T zIRyCVhsQ?SWmBy5mOpV z5(P4csvUBJiQ3MMhl9f9Gfqw|-vwIksqY2DIB8mxUA~_1QVDyUv}nPWXe%~9_Gu8@ zZ`xC74VTF}4MB4;NJYi)iH!&;r*EQ23e5PffeKdA*4QCZrX;02EW~Ogi$XqptG}Es zq1tHLP_nt@(^{kz*V?C;={oiO5ZHx|SMbD$R0uYeys0yW5th6A-DPsnbx`Pe#wCVZf%* z9>l&u5BHlWyIas0J*k&8`Idjr)`~7ocH2KPEe8S=@qEJ4-`no#qK4YOnx>6k)@{td z{gB#Si^EK(F4B7W{@n4ZHYtL*Cry4wFfJV>iUiD^1A~yoJo(XPXa9WVzrBm~%T$(M z2q{byI=bL{+eOBjSeU03hh4nFN#Cglt(Pd{lKNDOL_$?xL4_xWgHK>cW>LAy7s5^) zgLOCKnT8kL`uJO=*);Oz=+YO{SA3>Ig)K|+Sv|m~Ne2h(q|xkqSs8sqxC-dqq6aN* zp77?7{_->(Jx@9X4rIX-zFEnKS-tUjubasg2I4g$4$7UBviYe=2e)QJ$?>=2r1Z(3 zh*G__lx-KT02lQqeeUp9gzFp#EA9mbL z7oQR5JK~Hj9f?gG(bC_evRSSN+IJG2=WwBh>yWQeL6H&Ab|Yg@JU`E_nGH zz8}bo;$+O3{7DzmBW{rj^MnnbyjS?MJV|!~FPW}J`69ZN?*w`jQ(0*=kHnKN7fKA9 z`;9M?UZ9ujruPeU z7^Y~%PKD_~9|gYG-YOGzDJ39JA1@yHrq^s4>0zsSz^5~+)k+VkkDh9$N*X`>L|_$y1^5!2Q zaruxS!$-lRjck*|B2Kv~K8##OR*R3RY0~DLqgVlWG&rcOnmRA(?wU?q?BLS!z3v%g z-5V20#fa|m7bI6EZypo1D3#f+vv}E+hO+DM7$T#NLfF@SZA)Jn6mi>crmmn|EV|EK zmVAQe`e_+{ z>Fsgo*=ZV9a9>r0U2$04*HaJ{wr&~!a1?_3PizTRvllR=r=8>M5=j}ClMSXQF(qM` zf}l`)P*{)OFKMV9Q0+>JW&|>LA063qbU~BCM~P_4GmY{r{XU4w|+~aLZ@(( zTK7YmkA6G8(MyCX49wkF7{+KRj50a&#CDbtoafc2oHHZ?v(|2iq-Vo)_F^aAy=j;+ z5J|VSq$$4JIzGVY9VE-)6^hGvC#g5C$*lj2%j(_Qt(M1%8a zVhrNQS%5vg_mjJ==jx(-pAt`P{>!?uPmuPxJocznEuO`vf=WRzv5=;o;9LQhO0-wj z<x{=ZmC%aXDR4RJb38hT{=%RUc9j{UII^2bSGCcf{_wWk)Zsrz-l31#lv zVR}4$t~#K1nM1ZWrNYft!YCx}Raf=uy}8Bw_9a7)AYa+q?i|vFy=3mf$v{zOfj4s4 z{Qjp7?xoznek1RwENiS-hD+{`gP?V+dvrcm&W0@EQ)8|RaYkY2j{)0qF2vg3X>C6x zh?#yO9>3r*n8UJst=#?a^}PLH$@adPM22sm$We)Jpi7-mUp$L^O=KCYXsye5sn78S z1k|`%JX0%WONGn@bI3&LdgkXECH-xtl83nHNH-@J$8HDJnypQk#vq`_T9NB?T{tg*N#(7Mna}z_+yaOXb z6+JvXg3p8a-=eZKYc$Q71Q89FL5+df^o5ZKE~))qsti3SX!qh?)*7pf5Kr!Z%PMbj zxq3n#{}#5#dk~Nv$!@Y}VqX4>I_z6WbB}W41fu)HY*Yrez^m@Qn{U!2Mdx^!zjQoP zy>~l};i>bFo3H;ZITQ8xkl2gQb#DZhGl6J)ujF0IQ@wMs)*=%kr;YT5?s^m3)S^E} zgsa?OH1*JG@D99;)cif7>+=16zQmQ1_3L!#z-0jfOl$88SNrZg`&&OK2xu^l~*pYmY6f1JxGb3MkP8F61` zDMdpLY!!v*#zq_ckL+|?Eh1=ZLSp5i`*GY&i$}pGHw;OO8cMO?B;P-5K>cF1<~5ks zw(|CY8$x9v@okh}Z;hJZsr8w0wXm!0GF|E{aKuf%K{QUJtrZoN zip%jmFVqzkB-r}j8OAE!jWD!vB5ZY^@)5dKz(aWG90ty0O+LJQ_V~CBNLEN)b?MFj z5A^~t2-BAD3ret=Z}lvj#dOL_r-ZFa0;br7PJ=;R zj_J%B@&(YqKXHck(+o38I&FDrPZ<%A4@QAJ%BT5<1}0HJXL7e}a{Rp1WumyPS~zF9 zMLH8DYbUX-fw%vUW~oi_k~U95Et&-}AJH;6YO@)O!m}u!C))iZt%{_`fB*uKSJlX; zpGm@%DVY$V0*I}{iUPgChHuD$h~dZQpby4)vFCmVqh!0*-@cNmd*L46K^%LLm;Gs( zRw^tm)!^HuCj?A+#oZsfHJHA4Mu<}*Z(~RW%lav`0%u3Wzsim$#}v*zezsfZ;XKAA zU+p)4_s8a&LeMc!u#o@CL@qVSH`DHgOIPeoG)RAdjC8QdPg(>IP#bJpftDwaQZP(x z7#+@e*DUofTc6wBAn*u8qt$%ChNko8gQ%-6wzx^~UwWPtkeggfwV3D75*Q+Ss=`;@ z#y(e2#ClQ-$q^^gO=q7^-|}&%*3e{?!WH(;w?Y!?h2X`M+I4qm{rqNnK;_EMsHlE&zPoNuKSAUlU<=b zJbInl{$|Xp_kKy^r^x^jUQJbPnw~6Lt_q?nlIh;O<-=4KYu|F@F(b-ovP@6*RXEl`+i6oT zi5GTWT}MAfTGg%a6=g@S8zJLf^bEeBl(ZPr~I$ef3L zkejP64KG#dhFl$~ai(^X_0i^{8kv*Zu&z(Z=Aux?v8h&if#Q`3eqmFOh!JywdYvKP zQUPnF?G2q*LXz1pqcJXD+1M*|&e>5{)3+GGeQk=ghu(mxUxfQY5ZnEIA5`nD_tW*1 z8WHtA+Nsw#j)r}>fuybuX(o0{;Y}BuLSN8I>hA_qjBS}hu)tpkW9=V zM`?TZm%Fx_&mvRj`$4et?2Q-p%nSeKl5CRA4>cESFJgDWs?JUi(sj=Qt7tQ zxu=#b+jhabMO2o~UnAm##jXIzLmf=P#?%02A_8iLf{ctNjs{L8M3@cB1Br)q`)t%6u9+4?**xAt|(r@My%4f4$l+-^E{Fme>P z(ZLAed)4(WQz+HH#5~cEg*%_9mqgse9cweWVUj(oS_iN2k`k^d+01R9!Mpa^$ZvXx zSJ>5ii2X{wsV#A_X#v7&+)BumzJ*@1Yn@@E^N0i!Vhtyw7kb<6~%zP zGTl!Te>>CfZj@Tv+eDa~MELpBk>?274eB# zAW&N;FD$RQY2g=4W>i_{)?QgdFls8L^eLg5!ReUght|pbl#FX0g<;G%^;0Hz7I?H4 z)6Kuo$78gE%K76ll)$>^bPkl8aE2vStz^zpoLU?15D(`1$f;S8FCe+U4vE8%Z7qe{ z8U~w?VQBD<<+66Boxy<~=6HRMr)Nf<= z=s`qigI~)Ek+m!{Uk})Wj{+=oZd@@n|LDQ`=LJ;T#rIvbP(Kr^pf2KI^mLwU__GAIX2pHy=^=M^cs#lu)Z{ zwbrpwbH%7|)V@!OsF62&(cuhCe0Z~b^DMSpYDaE$W!qC1Kc5!TEI$<{`fEJuF|NIf zUy_AFXNLi#=MBU`wHIy;tfLl z)QHV1`Za636&cCSWmOPv#S^Nbu8zK{S^S&}G2sej`LT6T;%4^8+BWN=V$apDTD4qk zge5E9Cm@GpL~HFQUTwmS@m%S?fO_I$zWMcXlE3_IR{{SguO5eUypIod?I`oy9mwdD z6Y$#=ko`ALLa0FQ&AiwWw3|5b5xsampZEqb@jS+B@m0)8r_6IP`JGColFn(!mfL6{!F>RAOnr>*LX_=t21|P#^S}Ru8A7*s_aZ+2bXS- zUq{`L!Cb&@2Fg_HB0x&eNrjWU(u$lbz7R#`Bp~^uNIm(o_MpWO7g&;z3!zT{viS`3 z;9u9$F9%&QN#54x9+%itcE6NAcnlC1nou3P<=?}h^}%7~4?03mgFC^&bK=3D%0~VT zM|ll4;JSRG%c<)JlA`lfKNA_C;D5X>aaZ{87ml=h<~Y3I(OEf17gcy55(SXJ{S|@j z*QNnx?ZhLhCagvA@r7=&*nBSNW}A5*ny+C>LpIyId*fj5W@2i4`vrN|*>#3l2sfnE z7&4eztG@js-OGpUZ5;!AiQo4e(z}x8`XOHyv4}nDjt26LLAVNkW3hi<$lZV{gCdQB z(9mQ>Yg@xZ4?)4KxYNjkpqK(q-2VH||D6yyNaG4JtZA*o%j`s!)j9L*-TM_Z5U7&h z14xdhm^xB4tFm)A@y~(;C#T%+(gdQX?!@!1wylGqDyH(9TnOth7P}O3VJZq|-nB)2 zAx!#rheey@awaFC_?C~67%4dZp_0Lz?h>)0UsZmwK;k76N6W9EUW?f$`7{Y@kk{is zEqYvw7t1FKV7fgIPinP#8f7~c`*Y6jr2qEaocYzp7fav-xSQ8K2aJEdr>H=RN<3k` zg_vh*)kMe)Nft{!*2wx{lmsZpv{0m-Xk%jC*-A~BifdR<8>ZMso3Vs;P4rkhH4B<8 z$UF3@E*syiMF?^7MGC+W6z>|$Yo;EJW_h+|+iSVPN{o}*$wO|U_z8pU3srIO z6ZKYZyDar^4~q%@Xa;esvbQ%imjC)KGiiBM($L-PE}(KQHe|sVaJ0N$ZFc{0uART* zDjf%(@HN~orq72DQzwgup|Ledc=7zUyOmDk*ZOpQaG#~^yE^-9dvKV?9c#|mff^@Y zx9FGwZh5=Z#iqUPU%~|r)Cz~yzWRo4COSwH9dV`>_9KxuvPmVkm*;%$()LtUJPsbV zXEkiBl`rrJaI0!}yXrGZrXy+MUuW>$R+PaHL){58$HC>+UjE6S`R{+O_jD&1kvy-7 zj0Ln6TDVW{NqUyC2MRT4s+@t@gDs&elsJK;a693$vgEyJSw?1$^XhK|sJWUhRhUKA zbRHzarOFcW2A><_O|2-X6DPUgLpAo2dCO+W7b&SV1$8ucWLsGR$e7b@@?eSMW}oQT zG}bs7!e!(vpT7shveEvIKGiZ=^YX@Tk|Psl3RS-+^q{N9vfRuT3_I2g6Lcr$?bNOK zN{*VF2{gd*F`%_3I3GJdyj@#6N+F<)1V}fZFvqrA$$yILe}_yk{Df87lr-G3vYu_z zP1G8U)hGTBEe`~JJ3~5@1PIwvTPb@`&?jjGJyB{4`ulubZykE{OA4~=uVON4N4^lu zyu4sff&rmOigy7g>qGe_A*#KSlgj$rPv9sre$=N{4H3>;sfD=NnM|h|c~LC*+aT(m z`_k^zBki!0$vEDYso|GWOc}LJZUz4J?REo)K#GrN~Z(} z%Ih2i*4vc&Y|Dj^VfnmG>-0&oH<5$PQ0J7i+W1~Dpl_I&qXxB6mM^I@>g-frLiF^R zc#b_b%yi4JDOFS2D(lgydq{ORjwV6`0NK=i|(jHCBs!3*ChzYrw!+@q`be>>|uFkyb zBU^y$vkw=~IS+X5L4$VNYf{+ZX0BU|Y+~7vrvkN~7c^Q7y*tx3t{H@rKKLj`RCXwo zYoOYNtdQRyLx9WE0S5Eei0}n+M=gN@k&W%%knqb_|ok?)d_z z!XXS0_Bz2urHr`&b&ava2Nt8>30J3Eh4@{%m&<-q+nuL5v9GkB@~IF6bUma!LGf#1 zAnqsOYG`dh@hft122hpK*%>Z`e7$zrXYA$0djg~ky$Zfr?eokdPS)(lO$hM!Dvh}e zE6XJW$bUk|rYV-`T)fGoYqsS_-3|Dy*JJ4hf zbvNc8_L+7OqGSZf8Cq+v8QqV-?CyzpA6g=T%3TlY`*bZ6aoBNd0zwFE}g88S!O8L|0U!wu+dr4C{KUt7fJO9n$xNT@R4elD+?#;yTTJ_Wole;1zg$Z)Z#2u zG!)+~u49ONU*mIfUZRtjfcHH+YGT6p2A*thT05lo=Rg}nS0YrpL&~-pQj+rQqnpSY zU`yAGg1-+Qe+1))z~$+C#&7Xyh5UXL6-(L;>RPJoK9w9VmG=M2Y2GJoEbM`KZ2z{` zs(HIee0c77Jj(fd8*ja}N))jexBiu=@&C67jrHe|4>8Vh9soW$rjJ;wagGqqk<-LJ z4i?;&Y0)iySU#Em`aGI;ExAY5t$3T}7BG4YvqD)eoV7(Ml?o%vg0rL~MJ2~=+ro&B z%-Q>zvZf$`OWn;xMy~SRlGm-&_gg1`n+YocqNZHE3sEcu|~T*3U@JuCHrjoFF0 z=mOLHvvSwVp(A$Oth!l!*v?q|YDTPlti?-SwbTbloloN#uyiB>(AX7La2fN%Vs?GKPlQo#Qy z8z?6qm`Z6YUGwHLL<0DX4NEiJ!I2)uI_6mJ1JEEIh)p=T&RyO1F;~}Ee-hF&kLZ-X zR-DIl7XWdeNtwQmF6-PN+6t|tPA<^VUv}A2dX90O!I!BU67J#a*RgyZ z-73Bie~QSIOu5yctHXQV$@nqF$7~wgJGxoI^`(`u#3DzOfeL6yH^%yt*fv6+j|`op zpuuz9ulcR(Ek44mjI^XMkumN0-d9p4ZM{flh_^z3nyW$probg9dDt}zP0HawB)U|f zI+RhU;k&RN@ITUUwT~(jKVKh|yV) zv+n$tXT$p_xlHct!o6+Q?zuf`uN%FjsqR|UtfLhwSXoLQvauA=tpAYR$BhybwIW2V zK0{vp0;c!lWO_FccUUr#i_34#sdd9(A?_N(trNv&SA@%)SsV89NcTDyM-LsCl3h!k z62gKd2+8@PFjGUHopBPVSelVG?bHfeC<%+SmPu^0no0mD* z?N@xTl(0b-tBM|b)T~59j_;>t*XXNl)>l_08swk4{o(q97>JKtWGWy%Rfb78vu`3! zUsTrg&_O(M!U|dT(Vy;Ir{is9r19cYl+)(ieg-CRD-?T}?H$l8TIPmB9L6#IZ+l7; zwkr_#J@v#laGqo5oLSz{4Ak48p4tDES^D>C+EIhk_ijP|-Q8Dap$`Er4uX%SvVW+7Vuah>rBJ=am^oV=P9!)%Qn{Q;%7zN*35RYd&rB=5W zZY0;tdZaHIR$+NPnOtm?vFWurII}DUl4Ak{Bf_1R=rXMgeju0ZNwAS@-_+Gq4FL{n zXqkr|Dr%J=khKxtz%;e_lFBKCU?(clGCxM%4>8}ilKp0AHk;&}D4!LtQaSTB3j#XE zev9*7n+25TgSYaG;3tSta{p3qTcuEUraI#zCtvg9=?vPG@DmLh4a%4l4;L{VDM6VX zUTkDBQYIIc;vslo!X1YhF>2obeE)@evaNtlHn6Hk!SloE3MMrk4S@Y{_=jy_n6p#y z^MA~D>Q=wQ-&D#{w^dm?mEA{#qSvp>h2+tcgEL}1EVt$2)O`79tZRl#Srr8u)(v5% z8U*?NA)hDyK5H@MpIy-xvl4GQeZR4N;}Vwo zV&6;h3VFGyhcvuKWr5MfTlTg0<{PB25c=euQM0N=TUfQm&S0-y;u+my{@tu8dFrn5 z@7jL=m<#|~zK)gWZ}UA%Gu~{Wt^?$YtY`&Mm!2hGnETbCikV|#mK^HnnJwl|LM;J~ zDWOL5zZXdpVt!Fe?r`1h&p&$18x35K9(4ZPKJw$1=Q>_~#45keD2m}&ZyHP(sxb5Z zwXnT6qKirzc0ORM*NBdvN?Wkw z{w-1l32?x&D%#WdCQ4F|E_*27gMm%C$cIo6*VX-EXi(4u5foZJY)%TG@f*QP6z zl1p!B)aRDd3EsfJs{;wrGG0^mB3)!^f*W{KX~HDcH`ih6Zp}I)n5toA-WI)a3gbJ_ zajH7dneC_4HH+sL)HDD2$=h7uS`tgU_L!!ByifwDQoj;^(Cip;RAEWa<-NAGAL19> z6y|jS8VSN#P1n_D`SAJiwCM0cE%vCgMsq-%U}jSe1+#cmxenQx@JClC0@hQ?By+NR zFe}bln(84QDOXZ33b>-B;#&t!-Y-m{Zq&=bGRzORE*<(-bQbxzr#xcA01vU&8O>`< zC>VY|_@qarpa-`4z1zD$l$wn&!vtW@x!r1R)C$-xd$5uDAXBlb&J?SJ7?P*L5(gO> z^?f^9nUg>yOft-+kx4@n4K}MgJ_i3oY1jV67Z3y1|5XtzS7WSO@m8ra72Aq&b{;VY zc_b)OX$tgr$_==-9aQwnyG*wZ=L7aa1R0k2sywf$VEJQ5VJB&J5B8#tZf!YsY0yqI zz?Bk}I}VDP^N5`hD{1b7%|AD=uc@cUsFr8K{;T@1MNsjoc7JEN?qp8D#;fUE3`J+5 zyV$TV)2DD-Yl8C+l~?6VUoUXm-rnHPO-dAx0)_y7srF4D^GP{eWf;SOB0Vb*Zbl;1H)I1$Hx%3K+fFfPke-Is-nx>Zd!)lkl79&Ir zcJ+3Sg0%R@?4G}9Q&w2^H3I-s8gG7f@$OFRLkK5JwxJX{>1AYHH+VcdH{WH-r<`F= zV1vF%6BumfKTSW$cjT?jqYv+Y@Xfuy>Vka4C42apn2;GurUn!8=nZzRlj6Uq8mWa_sU6d^kN1f&KC5f#mwMbIJTUrVwev1^MW#Q{hIBuh-(UHki2_rRL6cHAyHeH+p7z}(>I_Eo!Triw zgC}w++q3pXmazfX9~D+?O>+NT3x;W;ACT^uXEY~`M8=dKs-OK*_B;pZ%GJK4NR=^F zDJm#~rkOL?cW^E(wkipg+UuH}TVG_U2u(QhG}Fh#4b5LN$oen~zbk$B(#dF<3|1}N z!;RDd+k2#}csWr){LVs?^S_PnC>YdlP!t7E@alw2^<_DM#k%z$Ae%Xj5McRA!rp9a zV+6Y#a;MSZ(%II^L8W)Aor>Y!3rCz1?eQamuZI4E=NISd2k6Mdc7B4k_6*>eC5kB; zApE8@nLvmnj=DshZQH}Hxc!J|4G?S8SrKu||94!0gs9wP`{w~ju7uH4oZU79$18N# zSpwVv=0=99-|Cc{vWPqJ#7(|N;Pt)CtEOPJV7(uIw(@KII(OpMVeB_Lo{E%)XM1= zJG{fuJA9j)pR;tA=!tgqcEykWW>!33qy_a+}Skkl_{f$p2+s_~i4BS+eI?gTo zD~1vz05xqKlS^eKd)PzHR7e!?;b1%+pYXs!P_1tabs`E(`6Y3TR1l3JsS$)>W+&5X zddIZ`cBk*{JJn-M6`7#J$Xn*%^(0}Z$Gd}1qTxa)UW3-5CL^9RM$utemE=|P$7Zac zumKV>N=Mlso0Yl63*OFpXNj(hd#rX{2tDERaN%R`)`&i1G1D?t!FGP2il(6P2=DsN zKfzND69b87$_>yqkzdx*KXxV;r#@v|FCWr=pGIOISK>x4`+v2DbxI2Bnva)h9mBx3V zV({!uS*Z(7y4u*DhROm-lC9O7J5j9Rn`xCOU-HNv2vK&sbps@{{%>U=9gQ_*vezT; zCVlC02(=(Fr;9hsVM(w3Cs9Q}|~I32xrC{59nV^CnyW?45)9aVd_GZ#{CTZhEcOx+;{ zQ${<*BJhDW8TuFj0@r;vYA)rQa&Z8;l0egf88mUp2CG?p5q<|^Z136M9tPEzFKNTJ zN@54Yn~C};w94!0S}YW3Qv;`%>E zCeO!DA%u)E_@)Rw@B&;N7AekStr6-uPg?E3lT;Y#Y z)ML>wNYW78`F^RvI!nwuNXmZMKI#GqoS@Fm-qFtcVP3qNW!LEulp^Gos+fWMGD7Hk zYhQO$EFQU2Wos;ZhaSgnpZ8M3LxPs0s&*ax2#UI(OyY!UL@>)+MP56^I{{Wxcynp@ zx}VtZ;wFpe7H+|u!@6jnDF>_d1?N5v9TG+>q%i7!2#gKHE3UzI4b((r>`SYrfPwyL`GYX_BESUB+dn zC(5i@Bh9VOc%Vt&ttuI~{$yiM8A_4pXgH_|_!zK>vC#PDe{Z22E5-&g!X=s5G};URG((NOQT#Q*EQP+aHT@0oVV#4PCEwrd3_j?SrYG0n$!KkLhdR z$Eu7&y^l!l&iZduR{F=Tj4$a?r4p$tqw-kz_XE&O;&{kJjBfGwca-9HdYOYfXUZ1C z{x!`Z&$BY05sO&1+-v@`$mvUSR0Z1 z34LRaxF07B`5FFl^&2d_uhE>>^k)6)rY0t-_HknMD-Ft-fPdmJT60fjdr7oVpoTa% z49~<6_G?y}p86a11oFgT(nzcl%5AU1{A{#rP$6Z+Wv%;IND!Z}d})OC?aX?Q3q~4< zht1{%Ca;&>cl1nzC~$I9cV$mV~@E z5_Dn6z%6!Q@DurrQvL6)0j`b~a1zV1CH?=z3i8DBivYf=(` zyqV%%q-?QC_sTHVPb5^`J@Y{8 z55t~_iA!C?`S=pEK^J;Q6n&jJesJ{ElA?<*wCAs(>JbJ*+=fXi?*T)?)<-%=R-x~^ z_r;CqolWnHGw0SQr^tM~in)ZOKi$N-WRN1V>z)eDYt192d2BSp+GiV)z5eii6vxE9 zmB);Y6E5KfbI?XTK_-?0k-&)Cfn{iJOqH#xrqKLEs&L74J2~{}MFq1*rBB09MFu5b zN17TNj+(y3aGcb0GvUFfn@5P^=8Wfk+KW8K@C6+~g_lBV@k=8L%WvGU5w1ldg~MR97Fy29)3EGJ&}?cF!b=~XCJh$n@c=QMfE*%gJ@amYi!g+Il^ z+X_(@Dw+p@0-)^o`>(;k?4t#P#Ifm}+|6O}YX9fvxq3;g79j@q06PJfy2phh6=Ubp zoGtkWZcF_Pe^uSxQ)ne3T=Mrn&9w<8*93(r+AT*Xe!1$UyP6YcA-0Br{RFm4`7GSF z6E!iN!@A)$KdyeU($<`4TX(Ryggyz^<+*9jdqZgVRu6S?;r;Fo-l4s|P5iltyf;J4 z3tx^kRT~{Dw=Z#RKIZynxY=Sib|+`uPdA{o5qg?|w7IstlN9drJF;V2t7ElqK^o87 zv338-yrw?m`o~{`GB{mNj#2jMqqThN&gE@GC&(^P7i@f{x118XC4J=WIP(!=ecz2I zGaBpET6()K*!>(q7tme-{0~SC5AL_I(l6>i!M}SAqSQxhVSIZ%YvOcam-Dm9&-XDYU`w3JbiU*IeoR93R%^- zTjy3<4L>SnGmc5YZ4p4td!Zk%!G-lZ<(DY*0`N|b&tThRQanvl4o;o#2}8l7uf^Ce zl8~&#%^x?O%E%9y=S&&urun@h<>8zA+}8WTzOK0y~e^j*fMwRa3hb?5BH@g0D+ScdLTd6PF=%eS{ir`Ls2 zM*~xrw$3vv*rMdat@|<|*0_)rKAR)m;(`v}J^qT{StLhoqmi^^jgp@aWiAQ~FJl6I zNkO&NJ|e=|OKc&y`!W=Y1Q@2CMup4TBX8f`u;OKhUSJ)wAX3*z1dReyV7*%n>b7jy}D5d|+?s!WFk1V;41gg&-Yto>C#+0JafX_85CePwnz2ptF#WZ71?aTvl!ufROS1j$@nI}4ywQnRH z#3(xLHM!C--4z_+5c>3Zh^5!$nQ!urT4sCsMx#ONvt_!#Qd@8(_qh>uT6SB`_3V%|JFE4i<-j05O zx&x%gs}wVLP>H8V18Wm#G1NZZzT~6KgBnSq@iXfUAN; ztioc-UqE~P)gg?){Tp|rB`88Hbb0#huE3f8GP_wZzv{IDswbQ>`_!$9phBJl4z!Z! zGS213W%$-e{6f9bIdv8L(s+&$8H44~SbSeg1Rw_^vRBEQ7Tj>yW=Bmbf!NSv1_pNfIex zBJ^Aw*bIe zPn7?M-Yj+K+--zWhwza;ksqY1q4PUxB*hjcUt2lwpv3jCIHDK1AH4qsqHR8 z9M2wlBV2f^8P7^O3;rtEVsv5a8Uor3|NJTLdEt$e-=7wTnVdq$Zx9*RIGQ?FX-PQp zkg+b;t?1hg5noQ)cC~$?MKK;5xKRe?P+HZbGNHY-6dr> zYSe1L;4EASXxPjvR4SsvtykN=HNXGbh*PYXmZk6}=E1Rx1FN2fM}vXeE^hLdnVH=z zPk_2v?en^JW}LUgjx12O0xIAej`<2PsSlISbwzLQ1mx|fSEzX&_Kb)I7b#@y|S451jt{AR&a6D^4M0i(g0LUd_aTw4!y?PSj~P)&W27GD;hBlJ(w@ zd=|$iA9|m8%u)SuGxxy&Z6*n-s2Nf!Tm0m3n1%{xQWn(q0lX1&0-<7A`R3~HAwQ-a zs82EIxy_dY#fpk)&w{QKAhn(^k9q~Hl(Ha+geP_<@HtiAakw8J1kENrcqt>x0}Z3k zDfa0cRej^jFBiaF@t@q)WABoO2cH*WUR`ZlJ{io>dH8~;ZnvJL!I}6F&2}K$S~or@ zQ}Hm5*1`=W)!uWAFkC%wEO~_rwkeh z>UCPUU3a2fJC23GsX}^2wm&^y53%F}qX&~6R1eHd3?aJZ{35#mBZRuyH4jiZeU6tJ zoMj`h+-MXt5sYe-Q8*sM8#?xfN0?6V5iY5_RRzxoFTGTEU*YhUy@|3)tNZ$iRBYxe z$Xubag}b_L^c=SFc*j7`#26!1#oSE zldmg{rfy#-RH6Q%j5lSvq@%kqwQH)GKIQg{I4F%y1L#bJ1v5M5rKARAvLXKEK=C_C z|8K!{)<P`$R1J{l>LOij3!Qo`V|ZCvH=MDN_5qF6IJJZbm7J z4lkx~##Y&aeGFwh8Eb-!a2>i7Wv35bPIqcdVzie%+B0^e+{z*riN(ZE#UzrV-FFco z3#SLuwNDU%&;P~rtxQInRo-A!L-zk5x4&RWib|D>jDd=L4Xf!Z zNDA$3>0?R^C_G&R`PS;9u|wI%Uakm{(hT^+y z!>SzHz#;gmEBt3j%tp)5yNvO-c74q-1W3S(oAP`fA#LV9q!yrkZb%5q0kn_9@HfG2 zS~IvZs}8Z!SwE>0Sno-6IR3O%m}0W%kD*>!>Pf>;4^A(2jJSG0gxGyNfw)I~*`%~1 zY?7_DPGw-t1-*>dp5+Vtcup$yly;YmUa~`%mbn^xOK6hVbJUvf@|&`8?9PGfGk~zJ ze0rRa2WYt?v2wxq zwtM-=yH4+h?>b|kY62uT>m2Gsv`LY2n@;~npbHF0ACqSJ2&=OWy!=1=Cs^A~;LrT$ zHrBE><tKyNG|8L?5up* z;Hg688>1~%+OBE3k>jmyjsT6v?#;=$NrX}lNe5A!3NAMc9Q|on?5ll?dBr)pPWMhVYjS0h zXz2axLN5s+_Tl+mD?~O4RL1D&RjhANG)N6>rmF2VS;-J*Izn%x-#NBQT8t5TQ}(`H3y?gwXz ztsOKozTofXEB@SJ;ZDZg$Ij`$!4Jn;zs=ty79o z(i9F%AqWM9tsqd?0^YQF72?)7sugW}56AwZgwb0^fSZDWZ)}94|cYz*~0gLTDx^pLc zD{rV7e%mVRSiMvk`1-zY5E27<4f8Zph}5_c~#z#92{NxxhhjT4hrhf zr;}BMDXwV!hC=R$#)Jfe2@GNKttYRQ2TD3tH>R5H{BU^tyy*HAO@+#)n@rDUUtQ} z7^wo==;MzDQlIY~NQmb2aM3S)R1WBBSaM4vRdcMW!xeJgistUqMI=v8NTv_6q>>ik zEx(KGSYf@|ZzI8lMZe~o781cVt*B|MbqQD{o#Xm7!M5o-^t+#QfR zpgeGQ|7!lJH_?hD0ozz2N8kre`Uxm6&3SaKppLFAQtKQ~Q$Vc6Rw9KpsRG5{Q=2>x zrGNNs&iWJJ_W|)EfX>E!NXq-Y@$54#>n6@iS6L5XbYFV1pq=q`~cc6#o&>pYVm10hR8hr{&J+^rWXuC96tv<2})mO_21h#%xk5ZF8>)mZEaEl%aUZoVGkuO zEv?Mo(ZBzAz+EG?kTqq-C^RdlQCvx<^P`$KRJ?us-deBNU1WJ0-#Fl<%Zk(nqaIe+ zPtprnAI&E9z%>+qrzn;?h_@I*oNBgEMyKRn4Mq9^SVM&E;uqs3>iQt&rvjH0>!u~QXjs8i0Dm5;!JxBM#LMylhR-u-lJ&LRP>Ng+g{an2NDRb@D zYw0GxEyO8ZEuAAykS8t`yPO>gj&~hTc0a31PIF@wTx|0vL7hb<$G+k~OTd|a$6PNd zi06uaUvD6D9H!IrPzdzLyX?28#d|J}Y0K;I!TsgUvaRzJrR*rv?TXuO--5O8E1uYu zeX)0^p`^(^HCMDrVGnkJNw)K)pt0W1gnB<{Y#3v^6t!xSr;3;I>6b3!dq0&bz9E!q z?vA2llIo_@U39{C?18R2S&-0AtFW8sa^b^w#eu@?x`&{F0I7#S(a`xX zi<2OEAKe*1lzcj>#d}3ox|a1k^}~uf^%;57-vJF&YD-4szW307?jq^lz*EJ;2yklG z2n@$y|78tLat82fN~(*PWj&Aie?)x+R8-&h{l^4E3F!ur5(Xrc1|^lDyOCz-?odfd z=>~}bq`N~HQhF$9X^`&5{~i4P)_<+HaJ&$Q=T7xqVVI zo|$93X_hZ(Ts^5dG9_}6%_*80Zao*~sQ{6AK|O7a=WQ#T zmgXs#;Ryrxb8!ePyV7Q-=j#oPs2~?*TI-`)5vj)`HE8@2V$$?xpybAJbIEH_tJ zVepfdjopg_CMl`ZJcjkh4_>>kYfP0JPB{!Ne;GSTa`$f9q>I1^K~`4omCDv0aC^uI z*6tL$gOIAhfQ}uL(rEwJN4>Sa*tVb+{Z_2(J?ah-?n9;xEiBAy*+r{j6xJ#v0pdTD zDT72QnHN+%L!nHdF56G^*j0Kl&Aemkfu1U$GOcNyt#tE(LTNviVe-2Pye^)e#}C*} zpvty@A@KF#G>$K`YIIz~n!I&35;u=FKM$?wgif9$WS-15D9$K*Z3*(b_y+vtDlB`6{7nEAY%fMZ4*Qqc9E*QL#&;Qw>b;$ZI-VZ7}=>iSZGJ z>s8)wq}@r@XYPm>*H~T$ooo#bcb^`;cV4>x+-DLr*Sdd2kT|?;vX;ib(N&}7fiQ&J zFSI3Z;cLivr_xC4~*j2{2o;lGV$;N~fB2h}>u&XR7lQ?%xeJd>`mx zDauZivO^C9v*R~&7r}nm%PkxdsCvZIfNc4OFyztOiHFYoj6}ETELKahjwR#3jN2VR zgfjdGj&nC%8y|DNYD=*hPV>!ZE{__*7a}olClPp!jtR8wZ3#sYNys8-vIe$Nde8O{OUO^-Qp{8> zu@q0`lNM-zntA=MBrt|aU!Xy?SQAt3HVLKicF&Um`K9Rv-pBPRiPv}D&2O&|FsH$N zvFBiIVhJZZmb;4Cdh;`WUtTuY+wZfAKVWN@P_r>uTRhkm{@yQ^cMBCiYM3`#Tl`$a zT@ms&7}X06r>*nswaOXZ$zsQfaL40=Kb;Kk=23f2}>Vl z%~@Hd$GcyX+x~Ac6lS_k9z;c6TS?NPJYQbW%-RPZugY}JD>_R3b^q}wT*7UP;;(^0 zKU=DK*h_rVT7b(TQ)f&?FckPf=oFz($DqrW1eRlKd5<60*rIK=k$WwkE%5H60rCk` zn0Fv1ZcO;QJ%Ne0j7q^vAn{hQrB}_+a6#{Hf5C-UFjUCzSH0&bLja69go7o%PWeTG zb!V^guGZa7vQWbO7t-f%YQ_2T%&yXF5_Ll#id3)=QieZFb`AS0cTD;hvws-X`!s1` zT`4v?O8OVgMt9}a&FKuM0E|0ixUiMN8C%w_-E3%P0q2=;{f;{QYoB+DDM=P9e7n@x z%juFGSbe?41osS-fehyv2#E&{!SoBKyCzG`i{wx42YNhA9h?lU_;IAS^WbUdL!i$k z2vjV;JgupyusgK7w&MDonDAsL{W8v4Vm2?oJ;*&+hO^XXB9{ zI9(_W8hz%@tKjn5`b*a5pp6bVQPn&2FW%37ZNm&8Bg3|?<1vqf$9Xo z>un0B`a(A|y-!=l*x&w4Kwk5xbqM1zc8f63d7L)gm@Q-qS}8nBaP9tRAhLu(!QwMt zu7CBj^|`{T!mMjHrRd7{N1I=d@@9>E*Rzhn5y@4i z)N&r_^Q(^iwwdhIY}qWFzirS?(yTrZzy!7{geSyVK8(6{oult2aww9*tBXtzXMxj< z)S$}}0~vwo+d%9pd`d{(NYDeXgDpnrf?XZ=dO}+XUr)7aw33Nt1z6cF$F`NX(DS+h zvh43OTE^Nve7d7bd6$1{(R%Y0PK%v!=FFm@e_3F|Y?q8mu#9RFq?_vJ|G+DpkD}8;1_tr8)x6Y8Bc7>)Zu0*IL!VBlh-}gi;|>q2&dAlb?4( zURV4}b1o7YdyhElE|}6WP{|ZrFRZknZ$oc8c&_oY!wg=El-B8ED7<_PN%|yF5CK)2 z#7si@Tm`Z>T@f`<;1^n(^uCqi1R|-0vTVJLF+~6=mum)7sf=g!FT`5^F#hRdMMhSL zhic=LU-x=A^&wRltjuiz2hHto*2@tXB4`z?<@XAwm}Cv!pjoit8bb&Zb1F84xMWaa z9AL2hw@rut_*jj3bGlxhjtrQOv3^r|kDtj9KlS&Mauwo@ft@!PYaV306sXws9_&K;`&_#gs?+ssmuJNz`v345E$HAE9 z+IYv2{u!zj4H30p#yxEhExmL?(}chPbnjT|TmTtFw(1qv26*Je1I+9H*did^`$tf} z={c_`Au#2>Ziof?uf~R0GHc#NjMPH!3BLjU6mWZ?xFHXnKk4pHa3)ztx)a zTJNf(lCrN%smpV34Sx!6=S8g*R3wA9V424V=}`!1r<7p5wwX>O(|ud8qj0_hWJQgC zA}MM$i3{r|2}hQ$cYl3iPi@-!M(~4dD!(tFEIZqRz#Y)pGMLZhq5&o2@%FOU5sh_^ySauh*cnU zYKy|gy~4NYKEJ$ek0NBNsmQO~v$xT@4$%mEab=`zq}F_)T6}*`JUygoc`pPCf`A1X z3jMyC)}@0`P|8msC#&I*R0?f%z8#@~dNVqUxa03J@MxKc3Ohbe`xuHliYU0?18fC2 zlu>GG-Vg#cF@0OB;pG&Iq&tVcIU(l2*7org)cWj)ZerLp3){7~QuE)Z9-m*XPZaWm zOm-{)37Rv2MoWr#%VSWUb<{Z{8K;w88)kN~sR`(}iO937X4i4fi>@z$_9?Otx_F9s z4EXA=?4~#0542pS1Aq_kY=~>$-C&8Jw^YQSIFr z!oR~!wMNZqY-9)crqA%nIX31qW#17t>@I$-v1}A9FXU+xJ!fAw-sSPea%+nKDOOs{ zgoTBL{6b053)`0x+C+!lW{bA`cVml4tSpqJZhPY*t=#-xIX`|FRs$%)+g60`W;?ba z!;ZNY*2wwF0Vj;BJXd==K^nKEAtdw3WUJcbjnz;^L(#8W&PD`N*kZRiJiV%B=+@R? z^ti`RicYoz+U_`0>o3EIq77$;+P2e&m7;bX$f8C1MSfVy*F@ z_ZcPZ2W$sijdG)^E*5)vs!u#uGjL+PEUPdS=rzy)NALL|J2uj7BpM9-s4_+(CA-61trdjcTA_yfuUX4C?L4taZ3% z4}I%1A#K9A9G^y;^u?!`(c`;uNRFkB_(EB>=IcovkEacaP`v8m``&KfWIk}@lgVfJUia$$8n@*c@;7Kh?+=>%rjn z)sBkH(@6XwH!+TivLb~QD(sp)`I(qiAfEMD%V0TRW3wvFouf(DY)!Ko{lUt2|2Agl zEYCoR?#Q+*zKuyD_E zRs&Ydj|qM$16Q$SW8gh7)pAiK<)4-kg@Mg@cjXPn<}4el7RG_^4|2+#Vq|997qk?8 zf^cLv?oVIx3@&@W18PaeF!BrmMxWXgJTro9Z2sE#w9og0DS*D+C?=@n$kK=Mz3!tk8;zPo(qHAfC6zj9?KP4h0C zJ;EBiYLj&|9+u}Hjvc?6!yD?=Na6s?=A*97?R&-BQO8&f^uL zHs2Bcp6>^Ik-1cV!*YzgUtxI?elA7IOSR+AW^dJ*5VVvJNh; zlx$@RhJf}_dX;aqA@MefKJg8<3b^8bj(zoBDbKPT`bqx}Lk;!af8nH4iQH5G}1gYNG65 z@^dL+Xk2C7%t*f)?$Di6Oc4zN8AZ~%bDjPMi_`pr|0%*v1Npqw`I6@6e=4H1y%{mt zm&1f3^#Y0~UzeC5DSK=UoH#9L>pgxFgK@U7zadINAi|63voD`2rlgTJUjeaPe2f{bHq?In0NM;FkE*H!gAsLda^BN z3ix?w((@n=FXux#{!U1xV`B2vkub!>d2gL9z$Q<$CKr9Kbj!}tsn*WGQDcoO{~WOv z8lnHt`kga)nq7^T99UcnKn8ETsCy0milu{Z*Rmp^xH}`W0eibinmlrgK?Wk*>IAnR zL%EiAlz1#uQ2WH53{rrRtMUJmt8!4Z3=jnuxH4x|ZZ%(T2^N>C>3CSYvkS=mP2P5? zr&qoPS_fisdiD1zJvYuhk51Z>RwzB6!zq!>iyI;^Y2GKZ}4ps(Fqk`UWDwb}c>n9tB5_AQ9T>cb8+15P>&{ zU>;ydsG1^muBUxRN;RySkBQ`ORG980A)-(=Snsl1qNF@IpB-24Cb#Ng2%^H0js*vB zDJfEi)>DClvCXh_CkS|zI#?l=qQ|Mv4wmp$ov9ogWV4F{nr_efD%Ww5qKLF;Vq9CdcCAXm4^~*P1pPhx$RFQh3T4(y2En)1nuK;{pnv-3!k0ZOO@xnw<9<1H#{-%Vno>( z*zUaAw$YNqh;X!-F~ofb8)yPO%B+_#3qLu&nvxZKtEZ;C9YpnNv|6O^4&ncko4XC3+#JhCVcBjD35r8!Z_!I!sRCHMuX zLu(f0e`ruJ`yh*^G!>&y!Fw9S#{+<<9R8FY)oWqr2aM$FvTP2x?`-Rne}iUN zt%7<5*uE-rgN8UGPel!&%)IFX8^yQzfzs;M1+y2s!TD8S zA-G9t0CIwFm_GB^G!+311jhc4rr@#7r730WY1W$oLGCcR^YwKaXL2pSiKuEjF$%I%nT;gZ>eU!Lsqx7rWq-a%N*;NzDn64cCN5&Ji!qe;hU_eE_N3& z=#8J#P*(qpn7wtji6I`~?bme(b@o_gAjAyjEW;Qewz`h&pK8N8owH#F1~4-F4`p3X z<&G4gA;ZgYCMUo@H%I}50o!j4>a!bvucsY<1WB>>obp!M4wl$JWVs$afd+!ex~4-O zX2*R#w*O&91r=Bsd5d-Hy_y#t2c5Aeg7&fweDkL>B`^BsFY)nk1_h(vukHLu2#z4L ziLm@%`Tr!t7mq^tpIgi9;a=eOn4yp8D?EVm%R)UzO&KAPEW10iLx<)1981Q@RFZ0Z zq?7U}z8mLRs^YPs31YqU)t$lGWg?+7ynFlw7rXPke#HDPWa_ zXjj~(X$Xw9x(Rj)17)SS>$l3iVPqh2CSv9(E=#Vdntt8ZB|eQ#h6xS>_35kEQ2DUnH0 zTpjxWjzUpJ;;E_x@9|qYtCf|b{MtZM0mCSd<2O93@tjTBKQxXS6Gw}BcY7tynbi_J z{$=5krp4ts2E&OHNw))mI||keuj{+A(6oY|RQNWo;INf0G3=JE;J`Ij(FZsr&CqeH zm}ZxB?9TE|T)SM_lZCWdJTbyJB<;onKGT*)pUeEEnrgmpnVY})_nlO*RE`nPPw8jh zAKS?XJi>i~-|#jXA4&Zr@Ns_$KHZ{nBY_l`qTSb3MbhjjC9Yh>0O|AHs^)o3kHeYf z)4KHC>z0YJW7ZZ6!PBOls&QS9?WPG`kBV&GVU6h7RE#$E-Iw818+s=k+-g0v{rmLu zu6@EgF{72k?i=f4ddD$bnnOx#;maP*gn~kP9!t-UNjEI+hKgc@LLCnW2Ku6AOie@8 zZqhNh;0}C~rruo#OEEEa(#=c@nUzQx(0WPWNLHVyrwR3)b0~5Q+7xYNWI@5|}_fW(vNnk@DT1%zEiJR}3X#-b? zZDJQXu(c09&2KQxjnI8|NW2s^hQ}J$Jn{2CsAO!{ANWR$t$LE3f>dNdbmIc9vvtA0Vu2O^1qLAFbQSxZsrvgp)#ik*h=Q?P^tw$<3?`Nkb#qM{&b{sYw_lSgd! zvFFmgd(@PVu~#LNx&+E2#eG5+S^<0CTw|5FxoK|f?b^#!$6r9#p_lif;44>Seo~Gb zSPkYyTzGh`%-XQ6^p2`rtFgzZ9+1wbmROip8I9FwvbNRU7ilwgC121Kq=Vcz6y$zA zqr*vqozvkcS}o&k!!o}LhDtzBdxbaJ#HBIvcQKY z^U|Jjh&`Nmd7{A7CU$J~#YQ%%$9pKYMIraPbiV|Fk?AKGZW4H)IdXI6zF|VE@~K5Q zpJXJWiL6ai6}-WAl|nnw{?AV*cY`9-$c_Cp``Iu~lAG8Ft>h*}=w7z{D;2X$@saj> z8JODx9u8ClQ)EgTLl(!MP1O-M|7F8N4S(uu_obSaOdG*Ow^yljnoV+1qtfiGhUPLB zkfzu0z{inH3^e3QSWCo8`^IEdM|@;L`}7!Ms5fE3@m)>JhXQ{E6=t^^siDbOCj=l- z8)2^-_F1;@>t7i?{UR>3{iAvrAxD)X!&60HVSOXgqA;*sC8CSn+!MM=%;dUYWu&QX zY+zMC*i?Ndj7|zIfn}q55j*QdqZP7c~F~4992QC-qZbE5UY*VW6e!d-MEF zIj@cNm0OZ4DQXYav=ax1q5*c=1_64h-hcB`ThsBoZ=>-y(-vsTWiRNUWL=w|wD!D> z1#O|MH}RCU@EYZjA11v4M;FcMEaFS{4A6a~eM=A$36J)PdmN4Wq;C9J%e*n(*2PxM zRKuxX_oV|&m-8LATBooy{#=w$bgn>zV@zzs&Fu*U`QJnrTw%=!z#mlQj>QVlt&@($ zR?e_ARTOVww{xecs0lzI9;eW9FG4!CKZyIG&@>THc?picMwj&A8ma&O8rPIu$`G6} zA7<$6+K&7C9PJxcM#yvWv^d$)t6x;z=IWD1J|AttQMqbrE;BHC!na-D9>!Fj+`0H+ zv$rPQuT)O;bJH@$=3f4Clh&-J$)>pGMStyMxi%W5)mPsh@i#nFZmlXB9a#*~*2*mW zZt*6Tg;#}4{z2yfRQj^h0=mpye700B5bjL(ZhCK?cC(R>V)g0Oh1aiRnO4pG};FVZ;NfaxcL8~ zlUTp)f2(3_`o&J+E_iTBho=Z0uxPtQ-goXauEh;sARHIch1U5xer~q!;}Hn7dtCG3 z?MQgJs#FXAcf-g5x+pUKjXFOK4mJKuc1X{&{deW>xT3^Y-K*Yl+Q*=xek9Ihao zW7kMbNg{pYgkc>hI1vZw1s(w~nWB4!q#~Tye&OlfO58#thjOEQFLP+P4&SVcOoW7Z zbe7edN*_uvn;JLwwjRXTb}lU(1S^NWt$FxU!OEskd&w z|JAOf8MbIA-7+2sKX#b27{S=Qt5_K4Bn!k!p=$JazuX$;Z0hmwrAuDvaj@LJFHZX? zX|sD|@l-%l|8j?SiKED_hp#!q%P4{H^f|C|eXhwe+i?&A{}KRCl$_z*{?7LK0xgMV zLB3p1k-$o$VE29Cyw^e#!!`y*tX}@*!A9}IRChWIy&uqNXZisY47e5Ut>?#dJ z^^DCQf*JeLLwC5YIN8v(Z@X@mwz=okfm~t zE{{3$89qEb+?mLaa&Il4PWKv$3ZkuRxDH4z-- z4`54-FWBhS)=2LP2u7ukVEYUs^LQUeHE@%u7Fm9E)_Xj)%K~!`eI25Yih|vH)Tn71CVhhi3>B!sbwkXGEPiTWzkQkl>B{kp zq<7==aj;wtIYXCYgz9ZlC={7pY%-T`6fOv*ucldxh72|R=z&MAplhn^c#b;Bc60Z? zCvW$9W~&=H)%Cp2imD{y%NpedgtIDm+%GUD`WSZvWQ9f_^bF54z_gH)823p=AaesZ z{**xG@*e+lfvz7|M8wDEO+wDNLT+E{CAoGCoUs4hzdopr(_W=$6VLIEbv#d_;N5-nMdHo^hXx+&;lQ87dQZ7P$QTjLlZ6Bq;&?aHW-YFO ziGjf-tdHnszUmj1R+eqB2tknqJrTKMVj?)W-yRs}I^w$=5`76p*oXFFoh>p=eTVR@ zlX*D^(4oSn(FNl zsc@tsZ46Sk6)nnz!wxY_ay4=-bl0v~{=6gtAuzek!J;sy(;o}Jn7yc)HYI;uS)ujE zHQLGc;8+v0hl}019lrx+vQN<>Uow{%H?zh@Fr_-&YyrkL&l6h&o3zjP_Op%JGxxh5Rvw<#U zMjP9+9CRB$XMaYkZE%0#>&D+v$ikl{M<_M96tnGc){vHG8Zy&P?GL4_`i-O-j(cv$ z0&gh7p*%X-d60mXco3I1N<1DsZ9NA3mcMyJMPZ>p7Btq&C1E6|HbmT7dtbGUSu14v zZ%6B+Fx;HeB2rpn$i8a-)6RflFQu7C1&B-vvFw~|nWqiW9VpgWGLx`sUD=}_Q^Jno_{k+E8 zdfv4eLq6W3x>-U(G)SWzW4lK^`+3G{?UOBI>%4*-DOFbN3GBO!JFdSS<0rdrBh8Z$ zCf>%Q(*|Q_eeyH}j{t+0M{e4(q!mMhqCp$@!XazQUecQ-zW!{LBa>R=z5;?}sGyp;3Eo?FgRjA6jkfmLc=U6&Z&`#~q<-l#yr|A|(f?X3vZWl#4Kle5{uY(8->^g>#L`O;VVlOs2O9?=ep*O>e zuX2XVKcl88uxXGD&HtSGXr`V7S&s3>JArb?=eJ?}H9{1kTLBd-dSI+Q6Kz{-s(h)(w~BnvR@1wY22<5c4oa+O z9`jHPg>uo8i#*qv=atQQ>2v+F7O!nv`N|ndRj!2VbG}Vwe?^Ilb!w!2R{;@!^iz&6 zzd%=#+m9B9J^T&+mPgp;V&kA~Y8$a6^&|8^#Vh)?#xz#NBT6SxjrVa;NSm10u*Tc8 z6u4e^X$%O`cV=+?-J)l5?j=>wv^`r9{&G9p$FqAa7^3yy$5EdBkbK&t)Fuw|6NL>| zXF=cLm6^@tL>SXX*nOD;V+$WG9ATCh&C7(!f7#td-;lDt&FxGw=?c+vu$dC{_-2xj zvrVMZKGJ9E0n3#G#e~%f`>y2D05fYt`hL2NnbYH?Mew(CmTf0wg}2Rwm5`RG4+}x+ z+HD^#g-fo__6DKS=>5v2;?YSO?>v>^7dJ?_#JqPHDT{r9eA@yn6fu&iqhc@gst^2m zNEwhR{P3wf;!QG@k_zYnL$!|wxu@3mLhE&W(p-~hZWH$tHIk;t_$}8Psbj9T46aUb zm^f?n^9LVT0@t1ly;v+AXV}3>HoI@I8Y%DzU=?@~_YE@XKIlax81zxkP|(FXde%<*a!R{w#c#-(o({>2V`!3DosZYoe~w#DlWkw)k3Vnl@eFR{r)D_9>}TXwi))X0ckeG*YS7U`Z41*wqhl4=mh zqzhx91ZOqd>*yt&2V&0X$KD50gfCbKbuSsaN=Mh=;pPiaeJ0xN`<(}FQkvLmCd=}U zoGpj64j|=HR^0CZV2@tg&(+O-V3PK^b|<4?a(3f61PV?g6NtF=860bv-;A8D&dW!iqo4_Pzh|OucW^Rtl!rq2 zd!8Jpsi1;3D(5ro{NuIrd;G#}X6*zx@t93#y-VY;^lhl+tjH4LP3%K=eBFKdK5_!8 z8k1na3enKWnF&QboZanVlcionDiwqA)Hs)N!D1bjh}Vq$l_zQVyqyQMILT_W(a-EK zda>aTEL!XlHY49MtK>0QT}21^e7{gxjIoVytUSdwkecd6CfFyUvHQc?@mD{Yb{;3m z;17m=mm@g=r^%E9tWFxv(+ydV+djI8cZ+*HQoqquy*wP@b9Fs7g78@KQ3)-1HBBKS{SJ4TuZe|AMn4}{2XSNCE2}mGN_sx{rDBSJj2k&VC5*=i3tZ9{N z%o_e__V4es3D_pmtC@KFK%9*<@6zmlaJ?@^i^G9^mu7^RvhN-pTKeA+vAY>9f?$%} zil1m_bF{aJz}8&R_3(olLLR)+qWXrQO?Ap@_I+B#oQ0sRN9c-)QXjD)*jYtf;}@a= z_^ZGY9NVY1ggqn8`9-xVnzF}hP;#;3Y$GTmC3L4EKy8ma5kGL&DIy5Z9}1Ga%gs{#ptq^h z`UHKzx#Bi-EyBdDu@}%5-@2IT{VE-K(=^>vdEa31Ep>Rq$w+hQa7c|x?4&OY6{g>4 z{~~1t_|Pj=KFe<^7cOT#W;!qZ<pw`Drsr#&P4d>+?6I>d5zqcc?7a(U zQP(t(Qa99u^`QTI4B4+CEUDglD{dr|p-^cW^0;sdb??U!zeSnJKrjVU2XLAG!T&0! zoq@|moFbnb+MO0`DY8HQsid-q-|0k()mw>7Hd(S}V~YUWQ__@eg!%L?<3^+8uF+(j z`wrSRG`UaUOzwR#iVeYGMIbybdLIv7AF0j!61+B6wHlTpJ~XaNk@HFH+1X&LSo0nA zPiq1U(EML@f;ufynUeLNU%zzjeV`yD|Kab3P2|@U}z7%NN&>v9p?p#RpCe`_^ ztRwgXkMDeg7c!4An3m7Yn-Iq?1Ar6$_1BR3o&k*vFcL7-cQ4}{awmJ%-_7ucU*z2K z%h?xhYCl0kay$J`jPLT4Di-;ACq;MaS1g@U-;p`+*dM6T^8^bkWP~}b( z^p#V1?W?&+)VIs=R?W@N5Cui2HcyK7x@3C9Z6uhG8z$L>!bVC&sOl=B^}eK+RF>$C zZ?Y}y+E_>=dEPAQCo!a)rJa25dDQUz4*Y?Y$;QZUyK}0EUa%{;H#ibywW`|LyuIdE zo}$a->hp`kdb0Zj*SPz`HVRv~bEupL&*3f&>=Zk7J&G~xR?Xy@hJ5S1iXM9G|F|4! za75UhT(>jUptP)BbcF`n5^tv?-%)<`BF09%;8cB8Aa~Bvip%=vp2zi)MAFhw>SvS~ zV*f(|Q2!y3^?5Z0aq$tP!c7xhzf0le@ZcHe3Gr-}p=N$BI*|+>B#9oB|EP^i2ABv$ z{1g;VJn@iL;E34S5UTSFpOaQzH#3u*RW|~qKm@vuUFIW-^a)XalYgLrT4~A8XYK)< z&gO|$)dB!4 z$D*o{Vmwdk z=YsW3GWvoL!7=jQh+?oT4@-Y-pAxOPS+whow8kJRIAHbqhvWSvkN%D#HQ`kbhG7aiZ~C)-eN8Dd)t+MVx@!lpUyPuHu2z%10rtJA*GgvkoaoY+Y7A zHApU%9|jfT{9 z9^A*X7rxWxisgdsJ-Z;w62wHsEKY(JSwn=9h^Z6S;ahS+{ ziA7UO|Gv++ljX#%&V91AfK6y&bO{L+ItZFqXu?;s!<2T_P(+i=ssD66&isjSGe@vXz&UW4k^}?t7Rv1B#pj@+|VK6>3-)>lct*qBh8x8=Uocv0!dp zDf>;r9JjKK=R>M%c!+xryFdaOzz6|h-THrtd0rfhl_X7AfOzng0HyAC4@KC4$g(OV z4IZ5=Stmhm8g9erFFZMAJrzXTxU?IL`_cfW>v z!rNqZxw1MXjQut>>8~tI3er=R0Web^EdsXo8uI?IAfL01?xCB?m_o-=)k}uv3@b@f z*u@)+|17pR%MXf;uhouaWyLDdWdxpa4dd`xo48Sf1chrK_@)19;~x{8cJt@<3-JIC zgOT8%9eYh3Q`Dt2<6#)DsMNod`K5O}QQg_t;pe`?&nb=BT~1{jN+ znF!LR{@|Ll$T#h`S&He2mMCPkH<+TpW|R}a3*=H?bR7j*gFThn9=mos6?$D6ZL23c z6R+@%H_tb8Q&=q7%syCMKEtargrJH{`6Qpdr-K7teW+sNiesh8^qf71B%Ub(k(n-~wxRUgc4NLe@obqO24V+DR{oWbpCLEr_j_+I$`yci_=6MED~>L$ zbZt^$fXjK|yR}Q1o$;*BWAv^)bPOVz15Z&J&HA@CdT>38I$5N8r#`Hc&fP_5dbXMG z&kB{1tDhhAh#o}lWZB_qwfz&q`H+7(wqoCg=y4-I-^~-3Ihndg2eC66n?zF!RCVo9np@!dkQ8lVKY~*4yu8=ol zv5RBnfpITP!iV zg5r$)LEn%_OJZJJ)4PHM-?jYJ<~J$$9ugC4t6RN}UX)Fa6~%*D9$o7fDHiXIXm@=I z*gv_nhhdFMJco^Hd)(t>-I1+T4;RAgdE^uSoY}9}^35@e?WtqeO}$Wr>fgoUU_2}r zkXJFuA3js!t=s?mX9p-vEmL&wKs$A^ZRR*3pTb<9m&P{elzdDiP+Lzvm4<$x1!c`S zp!7CH)YBB*09AK+fJpda57Ey+%TG`doW<a1f zQnG#bFICMk3xyEBB==zjgwv5T9TjpuY*^N>1i};1;!IE_8Y6F!;OUF^(CZL^&`YV# zh)0q-xv=kU4#Dwp?jp&2{6}@id3{)3wqIa&a*Md90$Uzl)}SLyipo@9b0!*4^BL*e zpN9PZ)L4;!td zf6B5N93~9Wo-|$jIiy5}((xaiXNE<7&{pY}K_Gs9Ur7j9Ns#R9{yjNhU&8GYN2}S1 zzW)a1uRDsc-(Q+_n&%-Y?=R@c#%_O3u+ylutI83EGf?^1auL^smh@h-+so2KLKj*< zPpDQ53alo~kaYbx!F-o>+@!qzQOa#>_Jihy%lKbx9UFQ%@8bBb%w*xAhS(ncPbcr0 zjs%{Obh2pO{dlsYF;;KAA;vQkW{0tGQ_!upy`o~&#vFarK;=qj=+F|}$HS61P?A}c zm-XK1z|3it3F2MsPw3x8p*Q9szktlw#HZZSh8Oi7SebrJ6_j+rM5u66K0BlYQ2`ei z>$%KsC#-u<41Bcf^8gRx&2~a$=BTsAz8A1CaWc;skv0#{!UqDHW|sKQ-s!$02q-r2sKn)U9UXjaiL< z!5L0mruF^6341FG;o!>DUwRj$@lRQ$8gdHbUIb!a?|pj_hJr&BG)D$2m3fnpHfdl0 z{0$lGvPP=tv)bw{`X=VXGGftKZHc7mXsX2f$iQT(5Q7w95a`;5f(cnQ3va5`|R-{^egq>$&x5x$sN1 z(agZYl&0@~MFEz5H#<^l({shz#%yw57@+no;fY}_>TKtd*YSULI8aH!SEh^JX6G-n zD?^XRCfF;MW;PQhwNLrzTZWh<7t%GoSqu}Xqzot9M{?qZm6n!~S_kTvkrN+I9T5lp(Q1^x|NfNkf zj|Xdh7#!|P%L%0FNqXvj)>#S2p++oaaIpPeTWw`3jhpLUqJgM( zx`~$|=MqSjV=ub9hZu3(`@^zblb$v&vHR&C1B@0H&>%UN{AAeU0}ZN?kJ`~)u?Jgx z-K-5U6&h#4I&O? z=wSO>#P2Zae|=bMw99OT_7$)Kc&mU=kJrIo`g%*!X4ndgOa|B<7OvvOF zf{fStXy2VpZaQ(RysYUAI`31pS$`hoU3zO9X7j>A=m-3hW1DN6{I*A>qbcUz z+#jQoZ$1_Arg+)3=2(Isj!H00O)6USpHQi2SEcjO$(xDW(kWQIj1}=o2}@$slGN0E z|5d}BIGXjse++`T#>^=VySxk?XEHn+Q#lqAO}fOS*Xas5NYEu%@hZjf>F3|qEKGyy z7mDu%Jo|hIm~!}HeO`@E(>=oow_K%tw=&zI6R>Czg2X_)?Y4K3wJ z0sIw|#3;K8VcXv%nbx<;S}FIX$F)lxh0ooxCGg0a=ETd7J@Y5SN6hoTPlH{I6~D*e zcZ2GNxP3p*BqE25VSwDrY6YLPp&}?^qcGhYf&ZN?Lg6JfSQ?&!!banPt1b}?tU16Y zwyo1F-4e)qUo|E;^=)pd{(y$hv!ze1U?wx=hNHTc^!Wx5@{R8+)f?RTi8>eQk9E?L zv5@PK__QYqkOzMBk;38^Bo6^Q5etzmi;XiDLs(0YeuwfdR=uMUL5KvB{OCdR76cibZe5tPTdQ9`76B!NxfSLteQ7 z?oEq@uQP5^IZh|Fj12O{9@285v#ly|M?4ymwF9t#a79s@QGo{MU)L;?;@3ja$o8JkMd>-Hb2(qzcfG5~=2$G4Wf=)aQ%!R*N z?U;oX9GB10$TOOqFIbFH=+AWK!k9#bn5Rb&3aUD12p0h%n7kH&jr)^s_)m7`6yK;r zNP#t}KXkF>Qb47+nIJDcLDynqT;Re{B2~DUl^01r&j6@!qjFuscn3YR)nymU=4g)p%rdd$yL~1kQ1OBRAzP*8g;GVJUG!u4cM?$XPytLxn9M0^ zC5jEMBefRx0>FsFp_`{8?Ww=I(~V4Cu?4il2!jhu2zX}=F|5A2_KSW??yBwJ0twlW zpmye~=pwy!psT1XPd%c@y(H4kDfATbTc@OB99 zfNUQ&mxVwHlai%tUzg00Mq1?WM!f2RXrR?C!)leGu(19;W4$0ca8keP%SbXJHHBY+r1$Q?)SeA9t&-vULX?sFc<*E3 zds4>l5ijuV!MDs@;k6BN3r(9SL<~n{M4AURRf|V9B8C<=HzBN+PeVmVzCX}rfYBNK zwDeLr39&!qO4krEgDbGkRny4yw~go!!ubeR5%Cf%EQUYlf1B3$1d-OcAUQ7j1P-xdRF4&l=u3W&n) zVB?Nkhm$=fGB`{g4*NXQQg2=Jzv>H@X59dl#?Da<0MtOUz$%nXO7{PJ-4h%-{^{D! zaV_qxKfo(1g5wHYo5mqXX()K1=~Ve9irAO|raqJWuY6DkukNP(@$05E%osKnzwjY) zN@qV%1b|nb8_M+9+B>%=AehTWt)j3_6-YMQ&!~=`?iF zAnP?O>O+2*HnH?{8#7{aJ)op<3JSMGNtJe%FC&#%%PT&%cGXI%0Honoeo&pwrl8u4 zE3baz-`7ioU>0yZ7oo(3e@2)hpHANICW@SQ$ZcmOi_02Dv`O6E0U}VNm{W4DX%-c> ztOqL)WVSfvTX5ZZ)m_CSSm^$XM+#urRFnYMp7&5Na%aN~Lb-?Zf^lnjzF_?>|(YP2R`lw4y zKnQRf0Ijm=a|n5&aq-+}J}TNmtR5DI0-c?~4u(RIZ@v;XcndE0dFzw^rpC~~FV5t5whb<2;6w{P&)T9n z;3^})g}@`nZ-k)!y}q|CrLgPQ*^B?x)N6ia4KpVn$HAo-ki#*=3%_~^H-P1jRFSo$ zmGD9@i-@X<`=XVotHzm~P#&GolSR431&ghoV~WT)E}}&< zoRui)jb!bkY>X2inERwTwHuqBaG0QSebw+?1!eC63d_L#5Z4Gyu>2t=@~eEag?ukB8kr2Y)tCg0ieBIbeV;MmUUGx zsQs_m_u5GUGG`(#Nm z*D`q??No5P*@aXuRzYP}nIK68M+u-+;FbkJVxJuZf$f#@Dr5_WD)J*TixwQx^!unaz=d3NA$?Ql7M~ zM8iTzeg*nf>n*-Y%uiDJu;EPClwz)+`%L1 zWY!kc1OxudUy;ZM&cpncIRPz4Y+M$^23dHXR_2yYFYp?3uHicBdI$BYO$_LyyDsYf zbkDaNlA{z5@H)kZ zlj0abu2!!{1@ERFjzCVY`iFn*y0;Vpkjc4Twp(50aR8le4cun?jtioKZpj4oK82h! z+Rj~zdJ_9!?LXFKQB^V0si175p?XCuuduc%qVUWMyeQIXOdG~`abYkfbCXnqiorSD?@7CF&5s6?`>CHa@Tv2 zr4S~qEA!!{Bd*?JQb48dCM5ny2q19l;9hI(FnZf9%t zi~6-Lq@dt6Gr#FK24;6wNBKC9Oi~F_v9vF|408XXn&-vOwvD0tuH&YGx3r6;e8(ebObVxQu zc#u@xm(JGP$HJ4ZNk8xsndE#!=EGR^BfR#Mko_qcM_q>43~uJq54U7DHoQ25kkfA{ zHa}nLwk-tE*8lwGvrQaCRFu%}IZ@WXq{ClJH__25T#0UYA!X`sy_sxi%=6(+)x(ij zWO>~P{{*dX++!?bDQhV1NB1Pn>K1CgLtiHM@;(D-sa;wDH|w?1W(SNPDV3)uNnhU-sEq-?7Ae&4T?u76J`Es8>8`wQCvI zPyav-xT5Un^LlY$gaL|1FFBr5LRHMHgd3Z@wDgq4mTE-LCC1lOyG3skUjl7u)+b`4Kh!rzt$jwdQ^SISU(3!(%E{F-scLWN zMQOjz$7!QCqozJ%?NVqPD(M(W9%&AtT>%nkK1y$0XEpe(z7m!x(pI%6q~i6`cD68y z#!__A7y&`e{@g}IbzK3vbsid(h))=johx4IN4nIE%%-a~I>iNlv5>0A*e-zEeiy z{1fuBZ>>1Ju{Fm{XUhZE^=ld8rRB$)uOBLb=91Uj|9kHlbW-O@YAH*peox9BcwSkT zl#A0eXklkz!Mk)Pt7z~I(8LKSAVV}|%_~v%c2-~W=YRWgE~XRLRy(j4AQ9qzSt}j8 zu7vVFV58u;Zvk`52C33!;xlwC7QaByRZJdQy94#m6?e0(B2vcBBze)Y%}`ih0Bi}U*Wz)QQuDfL8(vh5 zVCCIDbt2$yyyUCV<%HLY&MecrLN(bIQ*t51|scQR%JrCcvF* zIDXFQ>TVLYfgcIzBf}lE|N7FpG6Il#)J@D{KjEZ-O+}h4s0q}6@9@ME!^sjw#%S|j zqnFhcO3sS7|Cbv;XT*a0-(Q`?U<7)9+lSmaMI;IOt|I$sqE)>X|tx2$QYCRUrT7l!w9ccuvM6DvfS>}3_lBTzNgEBt=L2v;)x7y_mF_Sv# z3`Oq{M#3PZ@^W3fP$*(~Imm;H_0{8^?27;uJ?TH2@{zNYqX2>>FF%U!ByNh^pyKxA zWKN_75Xjtm`k+0S*f(TYJuk)Q)bv&U`TEiO=+PIXa@DwxNKK98V?H;^2HHP>e1{e7 z-leZwg*WY+L{pSG{tmTtOZLOR;)+ptOSau8Jsl(Kj3rq;@&-Gi*NILY z^9Bb%Ut~jvyg^sW9c4n-mBXPzGvNNO;+*gind|2$u<5|X$s zC-mDnYcAx!WKKcf5sv?UnV^(C8$p*88=m5(K7Fp0S*Bvut+lhOQ)ErCaWR)rQP$87 zz3CI-GmB1W8i-rNhb65IKJ+!G^N~PewZ<1MjY66Dl@%X_>uQqLDoePXshE76K9jQ! zUnU|ZYoi9@N1-Sa!wHvN0c**0gUeY3eF%fU zzx=hlfnnvXW8jll`Q;f%k_S+Z1dS;63&~|Z%pxMlIz4#vPUyeK*=O3PZ{G3D!dpXR zPI|oYh`8(DtMnn?8J-_1gXE3?vDP5O94ResP6Dm=T)UrN7PcmN3uQI2zo4+REB_>% zGSjRyjmI9%gCl!= zTm+BA{$v%G(!pTlsZ>DbC8^SdZN8Yfy7@|QQsjsE7I>$`Omfkl8(A-4#@%J$DT(Y- zLV)6dtZ~Wn)MS!uBp=vr+*Mz$3Y}diIsZUO!n}5oOiY#Z(vohd$MdRr&RJ58@w~}( z9-X}u&q3YAlqz_heVK;>-`c|_-UJK*&CEm$#QDve6uNuH)m6%SlRdYO8Oy`*Tv5&F ziORI^iP%)0blUw{W$txme8`keW1#Y0wAFV=NEuZ@*h?o~_`=rfG(uW*tS`H`?k zd)!rx$Klp9*;4f91-pjDS_y+)1xH03$kmYl!pD=QHtuNl;Vq4oc=J1k!D|Qzq7@xh z86M2fEfYQJ+F6FLg8C8w9R;&W6jIpe0GUyXcBzo+>g@+|&%f zdf^&XP*^b5s?$FDi+mTu%7*VDLkvs`p1{Zy>{ zf6fOYC_-@-U8?K#JQ=VsXxLc7$UUryRK>?#YfmyTP=j#@31~*l#L=$wLDt#nwB9h! zueT8OAO6iqyGDijir@nhz;Y1Xl^JVTX5aN>a^BTnBcJEXc_m> zLg-O<4TG%h5JXX~gGwD|G&vTqfN)?OG}zIvOD-u1dgGUQ2ZjJ~;_uE2i`&u<-2{yd zUo%EFFgw#mT0ZBH*wK$J+5#Jb6hk0h!%n?RXa&|UGDITQhjSt%%zeot8)kkC>MeEh zf_|3n2>fxK;*}%7cuEdxU}sLXQoFK3V;bdec<|Gqr_|%4|D#0nt?5nMk7`gdDc4Fa zfE3s2zTul;zATqx=ie%4c5jYdCvN_8ZHLCB9e6V(-{11v{Dx(5iOHe(JNHB+qi|Lo z3+y-P;eU>b?T!Nv``MrOvt!2NC-x{~xlQjl;w}K*YaKm*r*L!(|s=;hv+3YcbTcJ#yOg|xp58aML`blqkw9Fh(o7XZP3tP zt8m5VdvT4-B3adVZ~Qlx@~dQ=*zgi-Sz73MB89rRb8doCegJ2eRf=ByE=sB5(38Pi z;gpw;dy4XxhD-J4M^il`nQ3&oUIW_@8e$Lv-;)E45U@pfNK>FimVTI<;ef_8>!#$6B*-RB)JX@P6PP7lS=Y@0ei!?vGfUy{mYp`m3qi!*<{-!4pVgIvV@R+K}ijdHpQntm^pH{8;w-?b~=q%nF03fVw2 z7E6qulsE6gsMjS~%k&s6X+afQs{x~M9ecOV1tTF}oj52RoA~m?^K=*snLTj`iOl>! zG1#AiNf41MB0WRgO=sPXCg84Nh|oM47e}HvvyJ7GPEXf(@2fA>L#9a01}gTu+Y@)7 z*~nsBx*<%6urT#6`LqGiBuj7m1Y#l%@IY}3K==fmigL;a$tVJaw0*g=zeW8eQB?B& zmq%>@_DFeKUZog<{}RX)q^7UA;EbfSbWdAn5W#Xmosjpb!0UBY<~~k>Ni2rY*|XO# zdl~)->#k;WP*xXMX?V)PGz>M>qG48)bJNQz{$Za3+|z$7|D*r76Fx^lFWwsz{Ao}dRG2?ZF2PtRCBXvHk}{nPY;hDY3L*hZ{XuC7oL+^VCtsD3(OJPjq_RIXXF|4vr>~Bd#ICUAmjp+Lh;Hq?pKZ5U*6OoTzBX) z5IbhH9h@k?ie}3>G)&m@B-JPB< zia4`qZ8U#;S^gE*{T!PmEYbCcp@yGAcx-Gs?KIo$w{0YgQjTX89O``ZR6q4eQ|kJE z%2B=WdiwR>GF!AM1-pFum@^g9KY19q?&wd78;61}7O5GZ3lUBQ!*jow+2iU@8W>=X z{fJg!>vNh@pOUW#Co=%i%y6C$9E8(QG)X*EIvIdw55iUllep{T3`mO5@w;MX*f9E6 zE*~iJxusS|hZk^t`AtYM2~tn;OHE2J2p!2Y%rCxwa8F+yjXB6cdHbubE2@ej3cm zTiX4h*lvQJtEAifv=tg%LZNK}ZfjTk| zU~PA4%p1~@%B$EeK=@&2k)J+PmR5DV%^i~>4)Kqv{zWuxvmYQ*-xm?Zm@gc=PQL&XvjxoWO()TOm2e{dbH(-4P*&j<)L@sHCfRCXnqSK@a822<2R z3hAHC4!!ocebRPLAB0u+TM-8Tb0jySxVUcSt{u~v4XoD0r<^S;NzQz zHI@4w!=el~w>xVY2El-8@p`19fKfRYxPVk^f~|I0?m4q)5Z&F^Lq2qIq&F=R7!DaF zp#4kFudrJ`!aQh4oV9bAO&2whS9flf$TE0-fc`WD-D#9Iqu~$ppjG1dX+O9#4?xXa zbUK_f8=|`%NDN?*yWf-eue<_kk`reA_QSiAuboxJQ&C_j86Uc7jX6FO!rzsB;QzT-zAXoc(&?_@C znk;Qbhxt;HtgoD5JZkXFH{YBp=Rwf4&uQ z!B)~4C1uC)NYWaTQuOyz6uG8UOLZFK|5$N{r{+0Jb3H~oGQi8T5-saQ8pDA9-4I_F|iI%jrF43b@7i{?DJG=YSg<*q09bD;dEn_qeKkH-yMhm=d#Hp%jR zvM%ZA0hyVWd@}X5u3R`*_NJ(1(?eJVw-~aNN`mA$?HpXFrCjt3NMnCrX7|MJdG zq7aZjQNI_h#7Pg6(pY6WPaMjG=HHj%lz?cf&y}Kv@S8MVfCMPHtUrkEhT?UzcjF2O zdj{6a9E~a3qz~S&uDNEYZd$>tfc!Kn@ytuHAtxLOzJOJySW=PUH5{PUh!u6=}xL=Fo^ls_$Cji zuXcJnH!xF?QYJaDyJe{81^91Se4j3y^}0xYx`bL6q0mEC)e$&DqAB{5GRYpTE`kQ8{b&l&|zhGx*=R2tOnp#WwT!(UY3~O=~imZNW8|d zjTnAvt1=R&AdOs5$&@OqR$>`1F^Op3oD~XM{mnumtK~X3s_TSMu)7~+XmgMXk^~yElZB>H_I_P>|> zBVX6uuPGi%nu{`;>doZD2yRA@?-rx!HZCR1#C_+_D#@Tpu`Afr#(^yYFfPj52xQUkUBCHg4Mh`SaP0Lr-5<>1QS`%5v1tPx_N3YRx5c`IGHpGz5iwzAn_WS3 z-?=GC#m}0wD{<7F(V5{N%9gX%zdMw30YfV7QBAK(X)~85SsZDQ)ORt$%Fw>Ln@su| z2Mb=f1v-4P=rV}}DkCztdc(5fZj?DM%Y|Vz*?(n?vXlDiJvNh_82Xc=?h0XvraG%& z9D9TzZ6JOu*LJViP%mGuTt`Us`gq*VdKCgAh?1bqKK4dP6ieHE$hwbGcX2_SK-awh zmCU0_%c!kW7>z)Il>P16&-IP!S)r9Wv+Dhtx8t0>s%ycH|Fk1@8K~GpscDD#+(49a zy7XI{i0tHR@%t@kcaw+=-wX_kg~P^t;RH_GA}l_``BHD36J(Kt4jRXWtl#mX34loi zI3hv6(d=v4tKvLNC*|eMa&_|~N6KQd+vfPAdzZ zWzuggeu+FAzMG8`5pInPgG`2#djE$U8o`_;&xz^%V-1uDkaU>d6{_R<&u2F!W_Uh| z;Tk(5LqqF1@(S`do)C8(=hRLlofVpmq5B7xZMbculpJ3Cf$_yvC-&!db%&GNU0M`vKX@*WFAV7T#TfVPqaQl;eNK@XVDzG3G8oLX%5^9 zdsj5T&XK=FcUj~`D=1=1jUbB5{Dsh7AC##z<3M!lEM{zAnppM<0kIk&c6q6>YVbGv z`q&T67K62lO3SGw+lcL#zI|bD45TpF%rAjoXy{_rw{~$U(gM~LK>p^Di8W;^>D+Cl ze)w?g6H$wO?PN3mEXdhY;V-Bn1A0xI$SPs5;{Gx2v9#_%xs7@R*SK@tDo8-GqpDM| z0B4Z-aw9*6`r{X21yvm^SfU z(UXz)Ud`4g4MUz`F2*dxn8ALFGe1}2*EJ1iCo5rEIynfv!@E)vBO=_Xsi;7Zj7Cjg ztE61WV%B?^jiUF*X5*m=@AfdH`l)w6`jjx+D`IgoWKjt}D^wB&DcA}1Ot(pV`$2)-Y{W{sL>+HYM!H6(RW*4E0SEzb^m-OX`#E# zD2|+K-(#qM}+ADYKf)BnO zRvLGF^6dF#ZtoY)gV!TRaPJeQCNsRIW_jMeWPCR|atF8UPI#!{OuD&)8>sH(RXI^m za02bYb1rvro`Kni|3!!S|2OS)kpJzMo~{ylWw0q%B0V+#e~YmSDph;|A%bJOtVS#w zPEgTUd=3ms!X!$K)0rjXS#FY9OWH%*H?_5b3!gR|(p|jMY1Zof1&XUAPi7AFi=gX(X2hNwZkn%7m&fW@Oug>x1UaPlGEv~q0~55Ipdqa>z_ zpoLoejuyy8zCuNXQ;Enlb_=&g=8i0QBnb&p+Q^F_M;#46#z%jF?%}UxR*X=_h(a{mP(r(_kz5#-J+HXR55aBYtmW=$Ja6jinL=Oa?cF zfjR=-h#=igD3CB9ty&P|B`)l81+e3v23?&%>O{X^9$a^PUQ2cOJ~QqCTOxGYbu%G- zd5hJ>E#Y2nG@o_sY0{l`{T)%taZjw>-AP(bT%1~=-_|PfR#q@;(&heU-211bAYl_p z=G6;0+Y$2~_*fGWX+$n&bM_)HcG?0=7{4c8rnqABBdwBnd%Cl_n9nXwCRCgKqP-V!5;I zyYluW*5)U_Jd25>o2?=GLgD<3%!d>skC7n5O3I0kZpcGkvOFN)_)7 z%l`yNnyWIxtMWbqXOIW-IWL4tW;TS;FRqVvA0#|)&)hV+y40%)DK|U02>{-dMb1>>SpCpS2MA2da+r;dUVoyA@G@N*X;TE{i!|7Ho^UR z2K?=Uk&Xj7S~_mSFKmbiGMhVCOtLn9Uyj+D<>~sWz;Nh)B|Wm)+M+kt%+BUH=3!=L zp}c()E)nAV8P@zt^4;J`LYHT%18QrnwfK=&HbfDzu}r1c4-8L1cBnWy%De7oa5hX+ zBMa2*qv88~)QvkjE(?53-dJQp8$_W75emd$wcJ_U@breORJ%~}XH#?AlJ-Nms595ClSQ2;F z<~o33xUeQlkc1mv_~)JX_dsN#`WvF{Tk|B$?|wz%!C}3Chib{UiuQb@KFIFq>Bq^T|&mH0qi|| zoNSCdDXr%SYA-u{<&!o(!BoQO}T!t4v2gS!R zk;NLj@>#T2I0`81n@F5q@!a8>3aoXX{nNhE&dpZlM(UkWf#{~k1Rq=E8woc|olo@y zM$X_06?oxm5O}(Od+}e|(4{)&MvkG3#pD0EsP- zn*gHXhBTE=yx~)82HvBgE+GXo6un=a2Q;%kzmgBSc2 zjuSt64;UVq} z#Xx#R0GlZ`p~aF!Lk{u9q=;`w2-?pq$U=pA_0Z})a!ph*xIQf#9knFq16VF=OTXQlLN4<@&r%MkL~!VSh};zzkr7V@8fZ6E~0pd!4V{$p>WBnLQuypb~0(@$y|ug+iAZ_9l^&jt+eBdeQ^ zvDLFbo^WET10Wi_r{K7T@00w=cvb%xayZD>a35x#6M*mNvp!?Ic}wZJDMh z^=%Z{$fsx-&A)WKEpz)cRp+c7vW(V2B~CET`60AvqO;YdN4OF*W9%_5?6u5Cor6b< zepu%s1LR7L=AtPF1DXoJs|2aNM-V;dSD-NERbYVyPe!Ix>CbT14%G%vo|05Im~{BB zckc(KUHe|;|IdW9^~B+^F!wfTp&4gSMN zU7c`q<=~a4F4qE)`CA!Db&jFcA;`iGV7cqyzwBT|$I8B|Ui|6|aWaWG)2#&vaF@w^ zrpPjVKh7|Ft-ZPV74pTW!?oP}doI)I9p!yv^cGU2xUspk(fjCEnnY)T*i26bfW z7d#t@#r!TxWo;^yx&NFwayfmm#iNy}9{H~gSsVDx1;cqBYM2cOPhc*J^(xU&xEy7p z)r;SjYR|2-dP02aJscjCkvCX;eT2PMc*|k~7+2uw4PawU=$Twec9?@>HMUhm*D}6^ zk!+4KFU2xpVWceXAijwd9$vf$)?h&0j-g+_Zh}d?GZHj}Kw5lgCr`>iDk z&4-usvFxUgoFsCoCD{o>(51}(lcnw|G-Aib68s|jPYjK9#h)YoL+^s!!)vBFFYd!& zjqc$kuQ|a}rkY(x1jz)xl`Z+kUNBY3`(Ue#s_mZ~vc(v(#m}Hc51|bHkj*d7zmFEm zsdwmJb$So~v+)#;MsE0SO1P2$|Aj&u003PIvE|Y;r(^ABHqBf;n3~t0DJ+x@J@Ycs zbNKc)t?KxMVrt7*+aH&%67l%HuS$J&W!SjP@s^I(r_O~8Wnh#&m%wM0;I!nir|xL$ ztkU{1zEI1Qz^LN+F>8tHD|thGD>^KHu?g*7)f5^T=l711UEma@bxaaTM_XkJBr+Mj z-^$n%YGZEBM;{2LTb(|T8#SB>4A0j$>lB`G%C=XDNfDMp$@RZ{#faEXgE4y*fnR5Y z(C$3VdQ%VeQk0(R&#;B5n^h~ zrj|CTZf`owc~~&IH}djSPZ>)56)+#MV<#X-?F&!7#i*6Si31*i5>DON&ebmeX&cj93?>b~9 zTS!jFNGv~Ie;(?YJbr%qSN_XlnhClx&e-;g@7y!Z-x>d1;5M5ENAFH}U#UuYl}BO3 z?RJX^5ms4?u6#Q4b|TDc%Kl7uHRJA9skT*iPtNcVs-=fh8Yt3v^XSwxS$Avde%2zD zRwtD%ww)d;Y+b#$`qS53`CCLGYLST_w7AtZGzQ;vm4|B%k+dgNav)^hc3x-GdJwD0 zh$tAv3r21gx8Vfbm90(N<0XAFlLVv-S)e1RNe8446*B>woT0r3AycIw+Q!}F9>7@A zbb_^Ip2sMj*tCMeVMSJG6Z-l(I}Q$D^Dr*2Gu5E=!cxjVAzt|MfB@@2Vn26MOKd1aH%2ewWpz5@}Dp~-f5c| z>h8Hw%^2pSv)WWhG&pTbH{XU)hNl$>y4|8HD+@Qq!uW3W^$Ky)Xk_f`%}&<;1r9*3 zhC&{#Maaq%M5z6I{tRVUnu|cbl=Rz&o4F+qt;vrSwL@rIKYsGzF7)z8#V9<P(>cCDhTBgB^P6YWVd9GbLr69Fa4_rv<@WWl702%zS}a zw7U7##WS`<$rs?7uPvMQP7j(x%;#ji0vK`bZXmkVU`0V7Y2@ktAWydczm&@_sr};US$4|%G`_;)>?w!dHt|SUSP4a9h|Jv+= z1i^bliG&#ScPJU!D-GX|0#sb5g(!XM4+Eu?_ho}|U0;OmmAXwIc=5adcM2sp&d=A* zDwkyCa-?TAtLJ5jz)Ss=eutN2`fR`L=ahDyBv6wL8bH$%UDrfxm8#!8u^9W;B0&|n zCFN>>e^YMo>?Y{Jo<1_e*eiXZsUyKr^KoSDi(uk#^}NEu_7yh6S=^$mWSGC?=Y0g@ znJO}d$%(I4g#0wV8Pw&Ya$*$Ro}Z0a459CAr58MSBRtd-s|;V`#HI&*$4J=|Sa$8a zI1z4C))ER1UGeh~KQ&LMPpv_dvC(xg>zB9hRzlQMw`=O$Dwurr9>$?3utSU51(+|c zK45uAKsGCt!!aV#BGTU;9KY%OXzYtt1*NTygj~J(Q{b#Jx~yRGO*17|DmYi_{#VKA zIKL4n-c*=Wd}R1Jr5?|MH*Y520Yu=wmn9%14Cm21 z7E?*jEs|WAq3=Sz8$EPCxvsh`L+@fY&@1FVhQovQC%jp<)a(fHQ~FNXt8fm zcJ9N?;)}EUhS_8p>1iKWIE{%1y;L#I2|ta1p7TzPO__T-RE_U2>ZqLe(`&;i z-Yr>{BnkW5oKAAF2!3nP>$GIzt1xrq>gIQ3^=(?_V!@fA;5Qz2cf1)Vx^U@qa&^nT zixMaW&XzXcyXtOOrwURQ*-{tTbeucC^F%7tB9u`?pa1Scxn3#JZa)*$=@j6ySGdq{uaf*&Fa(k@z_YkTeea6jC zL{b{wt+Cpur)5}WDI})nWqRoUGyg%R+03xyE>WcLP%LiSjK*|SO3c-)|55U&;@*nK zCCbGe{ddDyk6Dl&G3498jT-~*mjJ|>dv~(-QhEyBWWE`fw~Kgui1=L@w12w#9#d$- zxDXC~My~r&c&|v$4NHAvhRU_*57~LJ%|G-*M4|H`^!lF5IIH{8t~$AGIQd}T7mbsd zu@d&`1}A?pJx`5d6jMuuG~@PU*J(*Xbzfl|158*d7(vf5qwX@Fakmp%Jg8!5 znjSGzauQ%b%{Qa&KpQ6doaNJI4_%(wdFhVW^gn$W+eQk>chfv_Cb^(yMvfCWr@==% zpUa&Y@m8@ZyEXi(Nh7EB`9}R&tDP5WH#J_rm1zrpZMDWznDGyxIaQLPgj(MSYq9^n zF5&F7;4d@O@I0iMGwH*m9oXuOFXP+Fop&DYogIT(P-JwYIlbaj^LYu{&_vo!4X;Ff zICX4zlFz4xZ2#8c{Xy45to<`%nRGvyzDRY~qtPFY795+#f38awulA~y@nNHNd0x(p z7&#Wvw&T4Ox;y>w>qE3l$7p1T#>g?@Jh+(+*{C(RX<(~+dl%x=)249>)-KK*!IXvm zICxZV=j6I>Cg$oOr{B^TV!SW^JtlC+a}tfM^R6u@x0A zdYT}t-5hMHc4h<^u<4|s>;ig>9Iyon-n@5qG8ec+BSubhp!4NPXZORRZHrI;ybjKE zEl=>@E4Z{?d1JDSHzuYWI^_yU@OQsf)#ZOn#4qKNtZras-MwAG9BjGoPf1+vA&C32 zdS!O$Drd1>9bLuU>)Yw)Y8e6d(|@UUlv6(3g}i?^=A#y*1&H`2{+FUnmbZ@|h`4*W zIn18TFF&UyV-ozxybIr~4AYG$9iETvCtE0T+d*r9sPH!I6>u;!3%o43=$S3@-$q6i z3|TtC2^XWqU-khq_RIftE{JGsJ|%T^?-*L{W{oQ6JZFf5tbcKKm1aR^g2+$=hIa4; z4JNAT*EmSaSj~s_*R)}KrTRJYIs%@bbJF9LRmiL^~B`-wJIFyRBD$=T!f8K8Kx9 zH!dokfAv?NSs!2Q7_Uu_OK!rGIgtmgxx;uwja;DKGt2a*ter7UcmdlskgwbeqkKA(JAvF+7!n5^*h+k*`=EY`+x~Fc4#nsw>LWf*-y`OyfU%AXnYD5 z&87U~swWMhJ+@4|B{<9WOH%bi#gSAJPABap@3+`f z^EUlInJnX6h4S=GfWE`~sm$M68&rJo&(+Ml)NZ~loT6Vf^7BBptC5MIyl}>h0SV)q zDKf8CM9P_qyhD9(&CEBRO>+?nJN~L8_2S0gUVvk6j7DQVRmUB+4DGX{npvALljT(yz2{k6dz^#$3&5E{oaD#)E6(3TCidp z8)@pw$qx5TWA_N;A*y;XjZYX_dZSXLUM=Non7uQ`SHY20$|~N!cIZZ z3V=BRH+-F~JVX(i{SZ32KYYnve#ZH%6S#3cqaqaSsQ9S1FoqxPJibM7@>ZjMlV+Oa zZOY_Zklmmf+M48Mo>exzCkO?DUqWGbP%EZRj-D>Zy-LNtD`fuJl~?ej(zyKvue{-r zvH*9rk?v$|sp~i43)3hnX*?7(g4+`Togr4AF#mu>JySb_GBh(nhhev!g{61)9U+S$ zF-(>pbhY-|zssP5sIrE;b@2j3j9U=-^)YAuvZ!fvcM@$N{wM^Z}0@F8d zar+|B#`J@I~O zQOLjQ94hNdumAPqf2*2U@6O2d57x8QHM9zjlV`(B*q!aBy06qy7AOTkDq@C)?VX4f zgH2xakP5|$WZD94j`PK-Fs|>rJ{MqZGQ}5MC=e^dF>dNmdUR|{FVMe(xP(o`{ z$*ALGD6Z1_33>x?Pk!Vq5?X+aRcEs1eY3k)KC|I#aKj&U%bHbUP98{I?bE39L&KbM z9|x`{7)hwrk!}2pUFk0BJta#%3$vxIVGQFjpeT9Tw$5}P-+kPlc zcbB#wFj};rL2Vi>T=TeH^i~{3QyfN1|CRs0So29--eQCYF9fHj2~JOQInGm!w~e>` z&P;F^%?S>p#XY?DRG;cyG?}vbKrZkc1)S!8znVNxi+-`Z*igEEp`D3$cm2jTl;Q!a ztnOF&z#ohjU;n;s5+_@}-jWMtiZeU~2PeN9z8hM)8@}Q&n(D)7@r}7YzK<1WcnS`q z1w#kf_puw@aZruIGg^4us#koXr&X_D!)I2#lAagavmCB#={^iWztVje+zY$xF?Ba$ z`yNh<6^GFjr>8ABZhaVn9;X$awj}SXKu_zK|1NskPjY=2Eo$Ao@U)*4eHhZ!MIVNm zH@Sirh)24kbBZSiM22fB&XO-UbK226ZG$M7koP*kWGxXK;i)*o^V6^GVZas!$|7PJ9{4&3Q z03#%S$j{)y2w_!s$!LTHEze+%O3LoEGZ~TK3^&2a>7V(|8`q_;&v)dIqoUpU&Kr!7 z4$ODt=sB`eN6$H)>vR8BcIud;%5!}ft)_lV4I8PS1})2(~EuzLCnkBYMpcngog-m$i;7k=LF@?vF&R?Xc#J~-O?Iz-K8 zn7fBNbDSd`<~ZGb*s{{E&j}6^`YIhp+O=*_#*vQCs$a&$syIekad1j^wQgu5eO=Gr zvV<#+k(E=0NvujZg$2# zOv~*ci^>@3Ky>&4C^AM`buiK@^8-+HVC1;z`iUHAf00CS<`nNKTK|4)GWey^GhFw^ zY+DX<<*&4`#3ncso8TZ(-G0VN`NCsNY~kMz9%o`x93!nbM%r~MUf77H?YHiIiesb| z=SVl`Wc`fOi-$dGBP}>aT5*hYrOg5ko{TW&Utc-W`k}}7j(+aFr6Mv$T6Hke;kvF( zZKRiPwzpJ5kulP$!*Hr<>m&S8m0AtiALF@a((o=zL`lm%mWWg)Y0&{`)u(&5&GqS? zB7?N*fOOh-kv;ERArT2)DiQ7YZ#zzHA;7*`&*;*VEpy=Es-6Vbt2{!G;AP<|KcwEj#O zO@9}RmX_rlSf}gtU&)wGZp=BrXyGq%ZZKNSAAk`L5{rs!OM0i3{|5j7|NnRaWRkr~ z13?spCqJ5Keo#S0P)KPf*cgHcliiDs%+7|L*^t-_NF=0LNU~No=1nXF!QMyE-lwn> zEVK~BJHxIq?7-!~?03((bJ;q{kuo9V;c!*ve?e^ zV3r2&C79E9xG#WpVX+rtzTFn#Pk+G%OJ_SfTlTh+>mBQBAUBUdX9p zzR(VJ&Y(&Fxxp7=XvDpn&!DYwM5&}j!y8u@w-2xP6 zQmrm5|Eo0L?Z0^fkReyHLhjJ8d+_x3iJX}#ohZxNHCKrp1wnI6Lk;UXnvRCi)u1to m;#!7C*WvnMn}c?#q8dc#)idN4dYQ#Bp#cAqIr<9#0RR88+nsX& literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd new file mode 100644 index 0000000000000000000000000000000000000000..218eca761ec25f6e6b217b35f5b0c5ad60f79fe8 GIT binary patch literal 749613 zcmV*PKw!TV000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*D4FJ^%m!007Kx3jhEB002`00000000000 z001p;3jhEB004La?7a)HZRu7XbcqOrhd@L_l_4H{#F&#MYrfW83jra0F&O(6c)CFX zrjOnCp1vIK?cLk%PIE6{#fnLkOA$;g4bfXANh*~>sfa-Z;i{2Dh>ARtSVby{7AlYk zF-kNLdFc0z@sEFu|DSWuHP=3S?>@I{U8>n@o;Bt_=bDf4_{KNJ_=I=7;~k%Y|2`f6 z@qhR5-^by+729|XsCyX|zvp{-Xu1i@W9_InY;$@bNc zX|_Rf?3(R?l0(ys4J5~KAsfE|+xz3bX>cXm`$N0iA8N_s=4^LEt7~rCT`d{!&SBgh zwz6h3;1X0vkGE%Nn*q0{yT9M>MKa#-Cf=X1AGSM@91ml8HJ^Lt^~V?QegC6}k1pQx z=slkkHy*y{#W!C3-1lC*=X2kD_q#7X_m!7^=gSW-o4C>HKVGE2mz{peUF<*E>!MG) zU-Xw#5sIqVa1mC&7+wimm%B?@Q_o`eil3L9oc})+7^C#4(t3=sI0nA*UF8$c=JLh& z+~+%nmn{9oy_D_ky$8*>X?D2g{Cd;fd$u`jn*II5c)&}w>9+TtY2^9bHXUBVzP

    F@KVg4vhy905+sFOe zO*<6(%d-;p=XThCw`mS>|9;c%iv8sk5Bqc5_s0b*Hs$ghcH7~Fw#Vh%^AX<-LxfCMxS-00vlsN;2M_VcX*LJBq`!ntjou21*2Rl?r z#v8QTjjGm%X1|}DXK{yc3t@ib4&f<@=ef!NsOsFh2EJ&*=Suyn28O0jA1;FEEg1qm zzg?l)VIxGL>sibhgi7;Qo5>KEewi@@qWIkqsGg5{S-gs%7b`_h)pw_ge5B$ICk#bC z7K&V+nQ+3T=5BGmP(<1CwJ>Cb<%2+J$haL00bg<7gdKx)HUuKCOGc)9XwJx8?7kLcxXNg zCb)+-#IF{wM6`Akjo3!Tlzir-E7vJa6&_4@cwIDX;YaHc z@r`RWejoqyZ~RmIo}c}jxlfdUue_il`%T06B>T#6B@5S6!xYyzP>IbLcj%5d*Vv)b zDTS{J-xI#~l1`=)3OWhCaa3_|?%{jK{l!u66K=U3_Q&}aDi*%~DJs6|XHA`pWB;pYxS}?$dww@A-;PI09@WcZ@DDd_2)pih!7kOk0kC><`UQ zyTH*IbEkTM4^^!geKGzrML=-uS}?j|?o{{P1XGEg*tk2u>82mJ?GE*i5%VeF4s;NX zErR>QATC>U7^AN|cD?$w`@;dDLgg=tjZI6AT?;o+jt!5omMnmccGQCp+aAtn^cSCh zt|@%wZW!eX!T%N1sQ^o&uROqvBy$79Q?D6s&#)c#0+t*O`)1<($NMuh9qkBJOh0)v zns|dC0INXBU4JP3?^UW?_31Wg@TAF?yx^!wb?5cI?~L-6efFS8ZG!VX0QtZj+odZECJq_m#dN=4vD9+LqtQ9L1^GS*ozG}22bhhCY1Q0}? zprDvbO-f&N2!@5A$|)d5D~7}^SqoKsitk+6DZMFi^uNL zFTBtEi02~j`^w{sc#9ri_*K3q-`Tp@2w$zaMa}l!id)od3C8`Qzxwxo;6BnQDRTk@ z2)43KnG@ZDU(p-@G9hBx9S{+~G9B)xuTthD3j&&lxuIYu1~dv%-(LTQSE`P+~BX1hUq{XTg$f*Vju*3}%u;+aqDp4fn^U zho?~s@hWc8HW1S_3E$*`%eVkk)@wu~P3x7@} zJKU)1=k@G~nTua7V1WcUI8*X|>^e`U%iJTVo3KDEr zXK(b&8oqPiKt8F^sS&8|HRr_0Hx~f#(ue4lL{EyJt5fmwx!CJ>$$K$fRR2XrMZA?@ z%*8p0&P1J&sk{${g?H+GNRlL+FuadbkhfOC*swpmnZjLzKcXa6p6tEA z7weFJJ~@SxUNSctHyf6&TYfGqedDM8#4rB>^6rcI_984TBZ@NLUPPO9*cIcReb+FT zfqeT+v>C&m#b`4|J;;t*9%bn3_%(*5`<`l;;Su07nwJ=D#<29z@0jbMD}LD5v6(zX z7HnDwiLg}kVO&wHhK213uw+QwOtL~n3I`TT6CMYok0zmR5tcScMd|Munu%l)mday{ zA4Ho#$s&M_x95NeQj;j#QS6{go}`Vbo>a{(BvGQ$Q{fmsrhj?4!1H`v%cBR?I9w%b zNs}PEd)eYf&7$XpFUOZ>wXbVriiYg@RP`b#2LkAtNCCf~dL>&Nli`)FCI$x86aqgu zuef=hZs&H;RdwKbDxz1Z{*wdGfe5DmUHs!6&s+aiY?i#B1 z95{kca1I>Fs))hm`q=*M9ytEO=Y8eZ{~C7z#<2mtCkKwQ1r87LLt3(9exL5qot(Sj_-}AG7whqRyiPSFmRY&q`m2s)LY{=IYsAg@AWjk1-iUL9FUc?nO z3@qEeKLfFd>cSg1$+(Mm=*#KlapNea{~B?LKBT9{B?6gdSnG_c8YFugsA|%lM{ZFa z+nrHW;Ze>&+BE#@QPrQ@e&o-;>tl0#yxWh!+l-H{TjJ7=N{$|3t0bgMUnS$11vN1U zavIWp8mqY=jbj$<`&Nx(*Boj=DmD{@B|{KP47Be-rk31_oFg;FG>#^?Lud+s4Z$Cb zUM)!DXo5p~fT@Gc8^9yi_&9xaZ@no~gH$o7#hzpn6K#rHnk=*MwaDlZ5>=YQ=^s)+ zM}W;&RojGMFRseZE;9Ft5+TG()(pY7VCA2u=Gfr^Gr3-Ox9{=tTck#nPm%woZq zP{L&)U&KeX32g7!1mb)P#t2Mc0nJI~FT|&^?KZ0f+VtX>1w*+9zqTjs0k&{0K{|#1 zwF7k;j5&OJGVYevJEA;cnhS388fG>XuAT0YL$$$3W`V42xDS(%qZh5|N zsax?XnS_B8tX`#lWlnbzJhUWtFt@Vb3dzBGle|}zt!Y!5s%wx6ibsD! zJ*nEN6=kKLyFzj4zeSKtlvl0WRLlUfv{f*L*A|-8E6?dlU4P#FpDIq|s7i16%$5_R z)^$I?vqJatEp}BZnL8&G6Dhn7TP{8INA%aV)#JM5=hWl#KmYu@-*ok;YP@#4zyTv; z7MvaASZkMtmoI65JbpdneYjmS{#oI6?Z;G+2LDX+7iN*3f39%5jES}zmgUYq*E-WSd(c9liVS5AZeCezfTE_aP)Y0M&Li` z=vpvXtXbTiL$edyX26}NWpK$=Dpz%sGuuRu$v*;V$vQOrYs6S)+(q3LsbPMXHevzZ zsk&Fs&pg+(l{EGm9qf9U(!p~zkJbLdp*klgo`&Q^dL?d40k2zrP67X;Kld>o_rYJC z<6h-Gn*vTO==&q6KjL=op;y(VOJ61b)C7mNh4O>WqDQO#mkaU@H^B@uV?ik%_A&%j zz$S=V32JJrxZMxxB>IZ_n^x{V;xun4xJHKqyjd<97&^oP3kXVjR+O638Lsi zLE*!=gMuwrqyjcUu$if@5aJ<=t^R5H3YSL|uu1OsP-r3v*LEUF|I{RRtYG2KK?$T- zDE~P}RiJ99hnHK|b?KiXyZ7=TXfm$Q9$u8rr|X!YCm&uWB^dU*L>{&hF7}v&?hh|> zHum96_>S{>(g5DnpZ(=+pv_7bcf-0Pd8kl7pU6_-hS6r3By2DP3O>*S?s54H2mvcg zf}k&UaNq7y3L&gXpCU_kec~Imq>oo?hG%-i)&pV(k*A+L{DNEOHFrJB_W1~c-(Rm8 zo@)H|L9;9eWLxU@L}GJW6F&q8<(hjGZdYpxea0k5FzO}RJZ!;ts3pT=y}?CF?2VRA zOZ@HY9_x$gV}0>3KGxU@k2SWAKh{{YeysUV3y(Fvt{-dulVMVGk2TKZx{visSEpAd zh4d021Zb+I)(ub%N#8{^4-yJRdISRX4ly7h?J8nnB%v4Skt4LLPKZ?wjiJ60j(s>` z2o%ScZ4Zb#oNpvsblVO5{kXqyW<0*a4#oMG;a1mg_;Tm;RJYpzJ&4Qk%?;PD!}-Q) zuCCj31vUnOdWU%Y9_I^H?gtz z1VLg0hDgC9jBcbH*sllYI78Hq7$J-*aZEfLFso_?{Lp-X?9c!mX)hqyP07j;BLp&F zU}C?ke|3ow?nWM)oNBVgslNQ!5(L}9hYt3P2Pap#1Sr=TD|lcU+%1Ko>YAmR*%<&vn%RN_2>0luS}@57`Eq)BvbMt}D#cFEilhhG zmD1C~M*gAC`-ZO@j=_GZy9tx10QT^rItrw0WP_kq!+u#c4(Oa!uSkuTS6speX|+u7 zua!d);S=N8qZeTEdhxI?6(Nf(Tm7SE<$vCuuXCqqN68alEV`~ zbgIcxbgCPP2o(rXSu?5TnPWrE=&IGK%=~vfd}_X>hq?t^E;;bHfRfT;%dK-_* z(PK<;KKws`YZOE!zi>;UAoZsSj)#u*U~Jfev_KK&D_g|`7csVo+AU&i0pGx>EkJA$ zwYx!tO_fO1N${cN8tmKSF5Y_WHN_t;9e*H#Hetow60Al$lWyh_SS`XpaQ|5W+1(#^ z-2_lBp*l+%jVTKjA35=w{OBy8;hKFv1@*#Xd;|gu&+%pv0+TWZKl%a$RzP((2!W}+ zDxW*xP_Y>gHgE8`dunhm(uN8cO8oceuU9Zi4V00VTi_m&hYt}+m6vC8gh)$pH1Kl6=0|Nfuy zP6q0|nHOV$2AYMUY6SNVsgMa(Bhm{}Gad-`4X9mZxJdP%SG2Zs=$T1V z4GJdY+!zJsn$%s}qDew|=pqV4pH9gX0x3(aoy})`{<#usP76{zu3Qa0 zPgoVwBQ0nX@eShfN^J(~Q}%?Dipsb@ABMO;x5M#qzOjEY z;j==UNg^-qUurV}M8k50R(XJnb(bXEbCC&a7H2CM(2;0GUl>RgmS8^n!K{Pnz$1uY zO%yp7ncPf~$shiaZ~jX^3`pG9RDo&UM@hC}dsX4kfI@@j$}u}%hV27vEQ~VAHXt)pf-!6#wJy zD}6+@Uz_8-Zu#1BFunarzxI!O_P_UuM=6E}7Q#{MLHAVef7E(I&qRyFa@_>xiCS;i zlMM~QIaD)%Jh5N(l(a~{%{224V`iWp>3C_Q)2L9Q);k~q5q{aQMTCtXa}~ufw4okS z4W4V}sP#ZDS9T*J=u}kWf`!(@0a2PrT?UGKt;59)wx2VMoLHbrJk{TXHA9SgAI0%W z5(%Q3gc(6NXwoKG5H!=796jD1PzBH(PIRs|squ@sQs(%v(E ztll$7+k3{3r{W6chS^{Dr{+FU3fRLE3A5rv0`EifxDd?)Z7rgCk_Kb=P7-7RMnj<9 zA%_1T(ou01=hInIY-M4f&)hU&ZkAy5P{2k71d+Lwx!>{?2&JiPEym&T>UyMxp}VR**-Q;0>N-gFOuv0Q&# ziu!?OG1K2{H_ba;SCA-cxwR~8Www-4JDIKI-PlO;J(Ew0o0ELea~UG@&xMJ8OL`=l zQiwV5m_@T{MrK6gQCUlYvCQIN+Ip~(fQeN7P6iimHZTA+~E(fT;n63yS-Y1oxm0Jl~bNQgSN=75G9z#YK4L(_0Ijke~xI3q_Ht|>#@ z?H%$|ttLL`a&?zE`1W_sz1QXDI%KHMH3&6rJxP_ey><29GaK|-wxBH{pd)mgIv*?V zYW9G&O@cqDld9hUm!Bu0-PAbvvx{+uE{3Y0vx^ai)p1Iifw{Lk3GMJ%uYT!wxr?FIt_B)d z5jSFD=B^RqgWaT9K>Dh#o6k)+0WW9(>w$=m}J6zfSxQ%Q-Nr1t>bTCz7BJ>H;k%MMWd z?fcON2?(@FhgMSJwk8JKa-t4?5gP3%q7>GQw+O%8#@`{;Rs5n=Zi^aw2TOF@VP)N} zoEQ1WoR76^Y=w)cP~xDajkmJho&J)t_dxPmX6Cr6x8lh)bxuMyaZ<`(c^{x7oF}1W zECpBVE+?U#y_dW5UXo%udoOQ^_wp(K+28f0M-$GA>EW2tL<1>Jd62_h(~)Ar8C*W? z6bDE}=8HS;u1q*D(#vTabB=V0ULF(9JT=LU%+bqZ!g-NiUL>5C=;cMiIhC%@^UI5b z^D@CaCY+ZE=JD=43BjD|zmVM)YI~nv>8B15HS_{rtt*4Vwa*nPErEj6g4utOz@tRgUWHk8AOcn@K_+-VQi>A=seNt5%x=ZR zG3l0lu&)qE@P3$JX7R8{mC;sQkvGx=_eg6YG(j|qj+zRgr?0RgwXX>xCev1?Y`IYX z7;4`v*daYE!A^rdN!6jLeN7Nqc#>s#Xe50rLD9Y@c^J?~RV9Z>C9P;*lSHdE9-P7T zIBBRPv7Q@4Hky^Q{3%``i5*Q60&Gi%V%i?_P7BFZk(O&U)4r-br#b1YnyFE< zik5#3i#&OCkFV&T^qig;7B+o**1yt4=+^WvDN%RXl;|8--Cban6wf)ZdP@SUzx^M4 z_?zD2OkTYiwP`=0xuE#~M`ns-irDeyf^7TEyz0zwxM4h%t5pCN&E%_tJVl_5OGh9j71y^#S2p$}I`?feIW%o&S|3F$HXRmTe!XI3uy8~nEFJj~3RgyA3T>yYH~{~{ zuO>BwGsQ~62W@8-Jjh5P3N|upJFZwTSb%_{reBss2ajsWg2Cd`B=&tZ`5}>H^U>id zC@ZGjrm;`yt0$eJEq1+_WmHZw((hw(M~uE9kM>u^<_iJUb(m}2=dhxGvK8&Gf8(F@ zXeCvnGU2&X#kuU|R?1#ZZ*!g=*t3}qaMg<+2rR}IKk(4M(uRDwuKjNM_N}``XEXic zdmnAAXX5fLYD~r9$8sf^W(koN6MTWE!~AEcy%9zyL(L^F-H zv&du0irvIiMl+2GicCL}XxgRLd4A|nN};gvW{PcQ_FSTu&NMaTdIvbYPj8~`X@8J_Se7hPxhVt^>6%> zp2FEobM`>rN_ZPXV-%kj)2MEvI`wR(U%hxJ1-3vfP*F==ix2wouytqT6`JC#%dr(~ zv3zF=y!`5HreAyU;`PUGJod{>_u%3Yt}QPzKjMm;bAGSr1o6h~zAyW}a<}rnDD#N7 z=G(KH&} zYsa|VOnhFNX&bl*Y`czVqnY+mh6qzjS7J55tVY8N4#b?Oi94MMW7f(3x^41L_MQFp zZ~T*eXMg=0|8%0Y+isAYaMItol{|4`@1<6eB*k?LsB+O0?Aop5lMi`?dh%Xk&E{)f zf8C|d$no7F$LnslP!;XzLau%@~rR*{iljMVnh>ewRX043*Q9lp@GVk}5B~4Q%{}Fj!&h2@qa{qz4oo zrZuN!q($vxa#@JNpNui9eNH478c8vWS6OYfl&P5DcFF|JP}^TO)Bef6v%mh0e>%~q zpKAwhuy)|u+|_k9OuLd?2bbT;ejZ_z18^RvJI*M9sLdrJe&&M%Rv0O!&g zr8GXMPbHZOGXNOEf=o5xNJTOgTCH=iD~L)BO5Lj!Lzxh=wrpX}6;VZ4bEG1f3QY^O z+GIrgR*g*k9BJ0m)_pHr>^Mrh{4q4^CYTEs#{+&%B^b$6psu1%B7V#P=Dj|&ge$fr zS`6{mKyrs65!I4{G@Inm0F|bfasX&w|86nsCh3KS{d6>rn01r%LPK;a(^diLE8NDS z3vDhmL@&tc(Tn?&C)w<+orA5pI?fjxs~&>2cE7r-z||guuJF{;VhzNaA(%;hVL$?; zoG{iK^6?Cj@uXf56&}SDItbJ|RIu}t)eAo9jM5$JBmD=nEmP4J9YaUU^XGPm{rO&p zO}RW)Z}@=KlVc>9g_j!$eE9ZEFf$zdZ2*ZU_2U>#0W=$ubM_~0$DjD*fA<&u^tX5| zjNXi^{fUt?O-d77_!F_KeeEU`{sdaaONk4*+9N|-t{Cl=J02~%^;CkkErc z<)1b&*0ob&9i|{nE>Pi5U?dgT1oC^-n~A4c_!DR{pj0#+O;cX3roEjX#^c5 zS9=L+_&pn-+wLfc7r_8NxDzWg;p#b@+JDHv0{iPwfq$~^?5}?__lZ)=Q)gi?F@nc) z1=2V%dI)?nqlbXdHd@TEl2kWsz zv47R-h#t!+9R<)bpd7n?3tjC41wFji@DR{c(i;@4?bE!@{7evs70c6P+53E=bt9+f z+g^R?C%@Zgfoj-2O;#GG2(3-aw4FwJ20J%Dr@qir zQ1ia!(2~6m_hpZ1yfwTNeanQK5LtrfCU2(EU;CtSLCA&YymcA)LJrw2l2>DA>Yxj1JcG&qd#Iz??r3 zJPiBgxt_Ut8vW0!>cpcOEb9Z;SnjB)Qn*@!>GzSYnEvI|VZ~89vu zwLv-d7X=1q74|f!uxmPDXEw*(u{qY=qBBf$J21_6e%}B1D{mf6`=D)?=3aW($jYch zQ;K;BXtE_~AGTL6txq(i1E$9c#SzE`EMO@ZP3fW)kEV3dibqol-eRhuN7Y_!?xQI^ zU@ka}Gab+EVhuf}RIpltDH;^;S3jmm`z+e~0;X9s_ytU}Xz>e}X4&M&+p}Qu0Vl=# zgVA6*j2P3?Cj*Yf%TDh%ns)<96VC*rhD(3!s2d@6e`ZXV`UCD!h=I-)UiXU7Px=>| zS8<|ip+~cjNsg8NX*zVnT?PO2L@vfmmgqbzgL$2ZLGe8gVWEtp4q;Ilv_2E&=3B6bIuc;^F(*#BhvACJC{zp|GB^LiLZJrub{vLjqE%2 zBV&als`JIdIxa^C#L%|InHwbMQ5uUAG9nteIL?AP$bQV?G>eEv zE)TQ7tD2Ww9%fO{NuOXygqqoD-J+Y!%M*J8>56YvVn(feiC!jqJTnFYK34-U$#XNp z01akJGGf6@0WB+LizFX-&F+SN_v~G$`%bQmmQDaEUJM`nEWhgDL38J|+sT`6y+cIE`ZMGTu zo8WHCZU*8V?1lUg1!;Lr5IxX2ND2&%5#^25inP2YIDq#k6%t@o2sy-8Tpg>5v8!Uy z6RqBwfKe^uT&_;7mi6&WTTe1YdcowKD;G~OxPl1x|Ky+hxbJmEqkxbp8iR+>qXYPnK!-=DU`q_I2x2V~w<3l$=02ThJ-n2z z!x%sIPhm=NI>9DljEf|z05c%3x4^NA>AGc#6Ng4m)9Qi)|jOTCQfm#D~x3sQ-hAQ+gqFdFVw6qiy05u6B;3QxV&;@Ob>qAqCej6%h% z7!=6j;-?l=cJ$RwoqAEuJq_w`+KEDi%if8uk>t}ykB27|tN>~V<0^t0@-POBp~_AW z6}eMNRXrK}RKn^VbYcpG)9@-I_aNmoIrmU*u26LZ2<)XhqUt92$BN~t9#<|ur%8v; z`UhVBi|!8UJ6LPdMFmO3*WT)JNkJBx6!Rpci{fUaZy1=O}eNcqbA*f zHwC{DI%+>v;!%@goE7@`h+wy?Rc_Rz32cTggSMY&J-UPTYcPbFOU_9i)q2!UwZ_x! zCeTik;=6p>ThF=bP0rv#JbQKqZ{8UU+Ah4n&~`#kHEp*-|2*C5?I)U#`Hf$3oKXXE ziA1|SRDw8R$u+GDsWA~ki8AmMYwAsmb_Wct&=rp`YS65j#808SHg!R9WYmD}N`DC~ z*4>ou8l&A%NNqF9{l~;;7qFWmdWT_{P;!fCw;hrox`3Bl5%5o9w7VPN|50Otf0F3+ ze(Zu#gV8>%C}B{JlJglP!^{DA$a`%VieVcQo^Q#hX%0GXo?`}U8dFjDbl484;39Oe zNAK0@hL?<*J#!-#i6N7q$b{Ek>Z0J%o8o4fRNO?# z1QGi)W9IGGY&7Z3BcVm@`=3X5+|1~X$N$xz`;ph2F{8M=!ReO40@MP-*@2#bIu9*I zPG2Pl!vu#dMo!QjK#U?ti>JD@elH7Zf)BH7F(kcCo-uqiLBKHDn49BqY3kyNR6iz| zhg9tvG$^eEscEucCnga9Q`1yqbhUoZ1OdOPH?V8^$+TZO7$!KjTew|>tlD-ml2{xJ zmqgceN)Ee&fLrm`h=XC0C?4XdVO$_MCFRdKYPj8+R@f51$ObxpFf*wZG}2g)SZueQ z{z=XH+$@e8x75aPsQ;o~=1iJ-8b~wgSw5oKC!o=pG;??LGV5;9+4$a0z0CLj@6UeY z^GBomoXb8ob27Iz8T-U*^_aAb?o(Tnx#5&FzG*jRcdi~zSw{CwE9J3A+oa{}_{_a2efOW08G>QJTzE`SmHH`<(R#yEe_@3X(;1zcg&K zi0=1G(>AN8yZ1#Mpi}_T+?MMVNY$M`C-UPp=39du{{zJbT8~Qk+@*igfPiY(ksQ9 z@$R5mEQ^{b*-2G(AxZDg*2H{pWy3I;TZ1{`UYI0$aiXCFYqC@FXY;%X4 zL{~Iof&jP?zQ1gH!lP?9(5vD2hYd7NpgBRH+@ITFf1K|w?B6vTJZ5o!NqvXobKCdF z1uHh?@_7EG3tHjc18m`H=KM>w3DyvYL7bd_Nw3op2jC0=t#<%!yi@+VTXgo(*59I2 zI^}==7k%%S{LWJ#pquHGzt{jjLO`KS*|Y(Egn$BXW7+^eWP0JlE%ddI5YS><`v?Io zceRfY(Ae+bAi$$R_j|OsU4Vc_(0i3QfhMzc8AsVNWe4{|*2E8+G;EItOr zJGxPoa;P8SUW)q!#rz%JciBZznFJ!a+cB8iG4HHc7R&x#RhDn03Yi_VUPLm+)_gF|uCeQ(8M3J!@l7~zDEbjF7Rgie%Ue^85y z+H#?E#PjEi?+ytrSh2~MIQL9>fU5=fED-y`I}UzG`1af}1xoFV5xu&}Gjl^t_PK8R z6#wIY`ttAj^}p==54A^z5oN=5Ng2wdj8=Hdu@P z$6|lApqrNasTKs&<@Ra>>GCCC?5N5((Il7Kt404~xxHHSKc1w$S~jfwpl*SK8Nn^M zlHvbR=p!Vs0yj|8N%j{$LfuUI+*`j!X}BGH1isW^ldo>@5u|(m={Q7sS&0G`zwO=vWQR zh#0-dnKOyxjpzrUp@Xs~m}Du#T+J1k-5khOVLW_5`AAI%iGBc61rlN(FkP??v7;Zb zL*yel7{uaTEm-&gNK@(L8cgm>6CcuP-8&kK7xp#SQ)g?q;hoD8Y_kPYT zWbaWSfjl_`5meVLIB0845HsIFkfb02K{a^kE3hcUDNkK64#?t*WgNj-R6FK^w6(S( zW_=)s4--1J+I=lH9uddg`fBt8@)i_q`ZOQJSEQ}A6%Qy66?y>X_okvw6I^c?)rwUB zwHO%vCPkjnHq98Kg|EX}cT(wKhA{nw($+8&I{Mrz?WTLB1pqpglf`#05JwgQJN^warJSB~qw8$Eg5hz%mTOTt9%X8}ssNm|k^*DNg6>RsM?@RPS zD?uZkA)Li@+L*nzV{>^Dzv@buqb`y9J9m_~j}8+*%fT<^v-NsnPY<=Q>hGmg!eV% zz1ilpME(mi4NEGNeRu$=yQ!Q0Xr;3~*PapOiiMHR<{Xun+Ck4&yaCf2P=p&sB+L>g zD{I8LdA`00+usTbsWvIK4ACPpiX1WR#Sl!Xwo!G<0y<+kt{6(Yp!EyiF_DHA9;2NNlelHuxng-n#YRlI zLYuzl(feQgU{ai4^ZM&qiaP6`{`zOX_>};R4uH8{u;35#lv$|@tPv9uS+Is)cd0HY zeU+Ngm>`I|bg?0LXoT#$M{$&_0YL#q(~1YwA|b&C!C{x`oLo?V(Il9mR%^W(R}^40 z38HBW3fkZUVUJXif6eUGj-hyqY)CG#VrAa$1gYP6Zt ziIn?2Ql(P3UD6M`<3w={

    lW zga0g5R4nYc?*9oJe|y;Y>lG&^Z2V=g@jw1!AO7(_5`+H~Gv^_f!#NF^M!2gE#tA@W zjf*XG6_|F?X}nIjv?og4hQondq@9=~!-wYKz#UMWxC{;?MZ_hkXw0*P+%!zNnD`ozWF}tGL$tBY~Lp;S4yMj;9}K&Ho;kA%g-74 zn?f$*`O!0=!R=TWb=;oIo>Kj>tp}OA;^v~Y?-Dbh79M7nwdD%i)xqqG;ZFx3205n~ z-SG=x32)Ue!1d;q3i<^V7(m$^l3&30Jp2OTEJ>Snx|CiH9O$!q-W=QOH|ox0*9Yc% zJe0|S<8Dz4I+*PGW$gL~f60fv_kCg4H3i~!-LrL(N?pMqi|O!OiQ4rdxLYeYe6u3% z{dg)h5})(E6qzIcr@#$g(K_nc+l^d6vtmxAf;bP{N{DlzBRT^$aw-*c!sO&*%^Y~M zX2qOJJzzoyR;1u!*PgmRmAY8VV8#4pj-*mSI71JhlI%~Vg6m(~cj6~Ml1kmSlSD=b z%pr82AxnD^AY{*q|>W?aeeCxkZ>4ree;F^U@1fZ+qtHXWt&<+Jw%SjjJE^*FWLozd7af zC6;qT-W#B+A&=l!fKV0!g3*wbJT^UpL`@7;2vz}lG_JDOtd5d%fPPr6kx%D}=ptslLK@B?@+dcAVdE4 z8mj=^JR&xku7&h6U!>;r{g<2*%!xIzEzPi%WNusqt1u#XkyN^!N;2Oa)FxOxm9lZ? zn`=(r&%WO2SKnZ68Si7YX1+fl(DW?E0lb!tWWGUI3TY1hN?U}INU2hP4m1;}8Dtcp%O5@SUrd~*CtxY|GdNJU%vkw{YA1gKG z-=6G3lPu8Sf5?Mqvi{7IpHn`4;H-b!+bBa?^99Ruld=Qcj&uOp#gNU2>z|@g1jo3NP)leAB=Bu~+|nz_^sO!(A4m@Bn?Tw4AqNit1fh38;9Rdri|B$N zdS%}lXc|E?&6GkuH5Hi)#=tG2t{OpVEEhzw1Cxx9%{c6d+pkxovT{KT7SMT0F!)Ax zYrBAQ6PLv$w`(!RoPD%1z(SH_?!hH@D=vkTQ<8~~{B7K!mNpcLdo)EXg3U0nphVkCLu?Q#J_j4PYHnj= zVnym|7sSjtLT(|5s&0E~>gpnRIDola1X0#)PA$6HC2fo%DqeeV&9su#)h>ymFBzr) z;{_zF{eeVR7fH;lQNS-Klsb~s)h-D}S~4i%>}Uz>NaowK0R^7?MqA8)P%~wV+&NnV zmvXM^!)h#Jq@ZoPS77XR$e{>4B3@~Mcekf8U)S2-pajD~VZfN5+T zwF89%b~3fF=E=Za$PvMAy(PGdz#F%QA($h!9U?mM0-%CA!BCFaIB7+!Cgvq|rqJGW z#D;+^0^RP7u5!dS99=QVY(E1y_=cjZe0R1F5M4F4JSA>ZoD@dgtuOyo!P_u!`=>CpI~5ov9l+`?PSUMI;Tx3Jh)*;qBgzlNYvujP5rpdCNX2z z@dCyLNe>|gd;Uv*+w0HDmr1cJCtC9RjSLgkN-#a9yo!cHq3G;y>&)4VJ%k&X*xjLAN6Xx0s9BeCs-2hKJO$ zOWnkyl=%GQ_}ZM4E_o=6*5a3J@@0Dt1*4~oks|HctGk2Ap%N=%h?P;iq&4&H*&{@h zavaR9#i>cMx1yESbW5N*zn>UOg>Mx!)Kh_* z@?}%L?74S5{e@BtTg*QH<(Es?$b8uk|MK^|`BP8k%fK836+@~Wov4?SR@4Tbk=cX2 zPV^ueCv4!b=UM7}8Qfl-Q@7o61VEkLqAu_iL3WJ98*~8_qG{GxG3U!LLWxBXCWGeE zMxU=S=gYQ$e7d0Nwpg*smnkZMDXYD8cZOijmlZCq-5hH-U#8BNt(LHL{5F_XT1!5d zW-E?txTZBdk1DF{t|sSmY{!CYJ^#1Qo%MbGZ=Z}_Or3OURaZ(nHB$|=r#vT}_y{If zt&^ofm_6Lnzy~Qgb8Qd$nS6bnq}RDU=(t{nhRC|TWwyHWCV&FYYLO6Ap1zL!Jnoy` zMGFlYE2pH>V+a#4^;8sme;3J=bh;U2<7fTe_ipY5y{*Db9VTF)Q=j@kwwJx21~amr zFRBaffM=9iJ-7uryeIUliy*q>%sB6C+=cD3q*D<*Y&j;6R(#hPBS;PCg19uTC~e?~ zE2uJ7q$zbl3{21hJdWtJ3DA@lLGaKZF(%y+P1D0kyGKJJMx=aD$Jz=n&0l*fE?whi ze;LOj=cdg6?K5e<&;RX{iN8@QQ8JqC%Y~MS;r5)SLUFQ^#beGf=9nS~M$zefi)T;o z33Yny1k1SPTWw9J{oB9ccYWM1hSRR-FxNDO6+xYbPsxzbZ}CZm9!3%*Ytt#aeO}pn zu*uo7+x|wk+3oA5vu(X|we3{YzP+OMxLed(0PR2$zpSaKeVL;6@B7a__V<5g>YNfP zAcwXY>kL3(1h}GFvRi`qOL9>`_a%t{W6=ukGLU^~StSG+U-*hJRTy2tumNlvTH6Rg zrs`Y}1pq*R;pBsm1d}rItu7ZlIiK486<;9iw(v{*3VjIi<>cgM#>d=VRY3g zI6keJp5NI4lzLK|+u@0?pSJN25xB|wCdS310dn(^4-q8uL&QxblaYrAC#oJIZl6IB zlb_(x577X7`h?4VFn%~Tj%8Y!73l7&2v}RcqH%16A$C=#*=`1{pR(c*fNri1$VqMv zvCGIv4(VgP7b6&vbe`XN0|e^hxg9ZfhwgoK-cUTS!J}I(lH6c_UsXflTDo}Ij`QOO z%a_ye>*=o*Id6=8!xXp`I#=HUqEzhV6gb~p$xt{ni6Y;Qz1>ax4rQr&+}zDhfE&F! zU9O_h%OE=M#?Ax9JAs*`+{m%<3Nmm!L6i1Z$T9(}P72WYM*!U~FmT zQXDWqR98efv9=p$Sc7Z}Ui%Zd25NLuzOvHRkIO`^DZ0MJ=-RyCqR4wW;HK#MW~z6e z{ikRD!T0};*S+q}lW&le?h#WcBL+}DKdd`Jb2fzmgkg%iu}9eyg6-1gAb`EA70jj( z7LHRaY2ncC+pL&P;T9=n4WbZkwSw6cVx&E}#<3!Tt@hM8x<-4g&ZPpfraAQ}Qy9Sy zhNx9gynFYn_Ng(U(U`!+qxBA`ItEK!db*mww5^G3;_E`zio4wzvRB2|hs~NzcyOi= zw$}bf&Bl)LW+>D0K5o!B-HC;Bd<_Rz8|HSaZYoEs4yJ>^6;Fm4JR9gyZ~dt%zCNtT z<_1YL_E|gok@$LxL4@W}0jyO$!Dl{32!-=wgw6aIVe@o^J^C@iYVBjhKY8cfrRS3! zeU7Hi=Lck9pCarj!X_mQ>-GMY-6^qh8QR`A+=_=>JxBFy$8*wE9P4rRu|o?54cB>| zhYoVjyl^#*9Ggat=>u0Dw4;GoGji;wfAD|$)b9-tns!(?G{pKXkWB&0owU?|2&QeR zp&RRh8+ZXC2oSb#%jb3#y0I>}Me~O>>8#9Ouw8BEqh@r_&4b*JI-Ma%H@2);dMW37 zj2mV)Gz96!y5I(0DNc>tC6E%WAl+COM0chMf&ifvWD%qYg4RG*+@ohjU9UK`IB7-l z07swahKt;A`J8lV)Jg3FXXu{n(!in7q<;6o)nJO*mW|-!^XfHlM+?^rt=fmM{C~(Y~#)16N`OLO|3? z$`RH;P?%=9E`U+>5RU^eVMroVP$!0GL5al;zQqd`ZMQ?fOSPBE<}mY` z`n&o@`Tiu#9NLCj$$WzVg?8T2gP5c0?D-A_@8|-Flj<9tRP|Jds=vGS0R6l5BzoYg z3Or+(V~I`=Pph1D#Y^@i>gDwDgoe`Z`(%c|z3m>D^TZFz$2e78rL38%wr!b{uXrkg zA|Oe%Ni-h8O*$XwJPy}&Z9_0_`94GNC4b>pe#d8qAyCA$8v<`GFn|(TDthMP(wlX` z()UfRt@_<|!AYg2aX}=#46%ZL>7?c+4Jw)<2(CwOsp!mTpq?p$==W9(%o>f~&5Ber zE(jNuL}EzhZK35FK^g)V1T7eQGTBmbKyO8TjeJUqpaO`s_d+d(?ZUSfL*SBVVrO$Y zfjWS~G)oGk$0cz`l}Hjke0S6?Q;tOv$!GzEZ7|i5_164TiXkYHB(v6Uz5@;?OO8^o zqJUIW9pmvxB3ZYZw)K==RuV*wngLOzxq+(oW)0cFbW1SyDh;1uG#X+gp1afuDV zR5`re862AHT!873e_tEEYZRXKj@XJ|v}ZvKEA~{*#B(!2)Ex}+vBA9W=G3{)h0btt z)S~EtLF?@`if`?w#!y}SJj4|4Iz>D6tzB}nAP2ojir$Z}JxZymatYd3-Bu)te!isV z7-}XywccgyiBDr>YUwmIyi+lo(gQcq@U2tAHz;rG1af^qfrXQHaNWDTQz6bI9Z(YE z8Aay6?sL`K(JZE<$Tc~29@i0Gf zrTxd2g#BxpGhXlTkuUCdvo#S@v&LnbHNNZ*fB3sUG9nl4%y(p^_32}dx=>regzXka zOCAg(G_x)kJj4ein#F{LAkAzMoFh0?d%POtAx17t$ID`Xj7(Y0bSaEnd__Z6!os5= zU5#R#nr7AoH{c(JQKUUyBBw$NAA&TqF1Q0=Kyd);B@*(rAkAzM1f`+40wAseQl%CY zGwYH;{(^#qX6)nK61fyf6f_Ws!65H}foRucS>5)DTL$-w$DPnvEq&G*f0qai@I z7nTIFe=E?!MKV8OW8>2p+dM`_y^Wf)WgxMGDXSS>u9Pe(&mkR#DbE4?b~Tn2p&^KO z^8wRrCDOfw$N?8(t%U}s)OLJ)+mfZTyTSeCj53PGi2r)!K@xP&~;KPgqEWiH zkGmbe@S4}2miJkIoz<7nE(gxVU zg@tpmWThVAGZ;o|3C{H9drOAj*92$~GejAu0d-cZN1Q$1oejp9n97A6YuC)yYXh92 zpw2KP)rkN6I?qdmA{pHlAqO-^8Hi zHA~YzA_k*MbzOBrx%X+`5C5haPrYolEE3N!PmP8?lCGy1oz%t8Y@!!x}c5g!HP&UYm-h0Qv14K3^dw0oHhih zeO(Y0U0_3ajVmPgMF)i-wXX{T_{u~*&?F#1YVj%5zAngV8{+OlwRZSx#W{6Jc-Tti zy5FJL*_>OnZ;=Gqt0ez1`AMc(=3i2@Z;?c+tVkZ#_|e-n^WDMsPqaQ=A=Q~N`T&){E&9uj`Zz6ulUd+Ppq;;_X3$=NYVq_bvqci;d>90rV?&54Y= zle>SO0B8!4Ils{oCCTPKY7)bLBtZ~U62r%8$!q%z>LxVlv||3R3^aQ`hj+0zm-%}m zJYC&g2ir1=jmmYf4JGFY(;uIB()?fS>H9>x2O$~Czs(eF2heh`^NA4`RGN_RGzyGo<~HRD`Q^Pz}B6L6^)V$?iX9lN5Hk{ z%!SNZL?F_Z$lVf?f^jQilRS&4Q@DIZgKBXc&Pt?#~A2BrivssDWQ@ zZ4z^wIwyI-Ey5%(GO|Dut1S+}oaDuDtE~v4y;eZaxvEyxz>u=$bQd}T%T8{)O7bGj zYEuXvJk6@MFL&eimbC_~pRVw*x>n@u&HPJGto8)!sPZ6(6wLerw#!v2@m*7usx{T< ze5qKD+JTv}o$5dhK$>;5bBIP*hCW7{pkr;}7DmQ#6_NjuTq1Yz}NdVV@9SKf2r>P8s>i~gM zjn}26$U)Lz>{A6vL$OZ|k^p=j2z>y)XH3F+Cl4~$zw`-;+8D~c|(IgR5*Li^) zPu8p#YUR_}Q@{@f$P%6(x?GXzVV;>Uf*2LStk!7Ln(1ktfjf+tQX1Q}8Turd9_JY* zjr-%gl7_8FV2poAg;i3XIdD(dLyEtphZI}(kOuvQAK(uu_T+~YhxkLvkB`Db`iwu7 zZi0OqIK{@2DQ+>D-VQ)RFcV?=Xu8g+2`*(gb2=WzsxsXWs1YID4qFG3K{Pw0nA~E zHAM*wkk$8>?Q}w1Z^dW{`iTnB1D?hDcy7n>>p4jp)A@I^b@lxrP#rJZ>3AWuOvfK) z>&o?6i`t7XEZcFs@Mp%RK3>IjS;?B{ zFrW5K2&dap3gSZ(Wizq?nTz9tVyREdipv#gtD!Y|Mv2qVxZvPKS!z@l9GoaikEI9# zmMNWuB(=~W!FGAWpi2%6ls)O&s0aNR(_i>&-;+g{=r7L8LP!}aOtB;t!eCj8|cawRYVuUUsB+INP9zVM@~OFp|;Dwu`Y=5 z%*;u`Z^4Y}7E@F!F-(Gx6;~_pIM*v?C58YI3Iyo}WF|Y*JXwkD=jdWqL2ojMYs^Xv zlSc3|lg@Jt<#-ia`NGhQ3)J&cCFz<%8)QwZNCEwIXfBA* zfc!&MXm*0Wxs659i*R06RWw$dpP}hF%>rOTtePbdeV{!cePIYxUs$%20_EGBHs$9j z0f@Fdr+&foN+S-JhG_2>Y&NTCrS8&;2n5hod-~5+y;JG*_DZMYZV@AiJW_lronEGN z`qD4{9!UgwBpoyj8umDu3S&=S)3vSRyqIKXC?Stq`!JH1(FwmD*mrhSwOtnzm zzC1BDy3@0$1C}#PlYGkQdVX$pUsOiz=wKIKWcKgE&&e4yxG13AOJ+h7|B(Ts9n2|5 zn90hlDD?B)~o6){D5=Jy10eOEJ}Vb%;Ght$l3#buB{tkN~wJP z{W-CGtEZVO`TJk@D}VJDLdPhjh=&yat}s>Ao85Y&tg$4oZOdR)^#%j0328+!YR8gGvnGL!esd6QqNiRY880@HRc)=X z1?l7B0Ag6*$&b33aJQk2`lDcx*_hP?s4_AhGaLu|@$icn&S8xQKDYb6?s6maymNU>s5S%FAil%+)%W zCezCggMnW+*#HQ`IXoZyZ?DZwg_h%%?+Yyt|MnmGL*Ez^Bz2(&Q2Z#KAJe{BvurTn zLF)Td{F)CB&5{+%c*UQG`Ls5tQv54eFUe`m$(kZ~*P_(Yy=VQOlQoe1nj_EO2xi5P zv>e-=prp}~)!M~M@$ctrB^HM|$9U>SNi%h8zXA!;l_?#QD9`}iNM^;qL&un~enCk~ zL8VgCS@CZRMv7!9?V8o?+2QRHQwA2|+LVn{()XN55Um7W=r1U6{LPhhnS$7;YoNYO z8Dsn=6f}3M+71AC>Qb;>_bUj%JqH2!fmK^Hj^3P6+UEu2|N06h@i$5i$KKg|&WOar z?+p~o8iY3Ook`|%25L7&_kqRc(0SIL6*ep#AK2734-A%&+iN^_&6yf54)9-D#V%+;FUG^3w<9kU%eSe_2#Dp z!0eL1`sk2YFyeLGCLsuRNw6S*Fo~|%f(icm?Gf|jl0YJIsyif+X77BXq>0cIu^SFGAiX4%nI-f41#{+av&dm&6@X@@`-?8~1M9dxq`^cZbS*)^uB_ zM|0#oV|Rr+J@tFm-)P~B<@XG0;6*AgC=RUNGj8AXd-jaGN1ojBeP@C@9KyxF;*L42UVP;{nM>OF}*&pQ@A(!2#JH zSs^^mq@3(|sN5R})W>r>ogdem-8*zRoYlh6baZGC9NrfD0IF z+`~03P5S<_9oN6!&epkw1FZ$?$~}ZYeSGa6uJ^NbZsAyOX6vej0|NE&+)n4m_2z=? z8Z8`EL3S-^)42b#osOp(j7@293EZdtTH$SOlG40$7h8BZOU414_^rz(mf9?m^LZDG zZP4>p7*_8No~)`<6j*j&29T3s2VSEmtWzw}Su^TE-kDuck> zQ$<%L!%|oacfU1Yx6*6NiZC=aNumJ2H;sx9$8-zKuaw;uU4J$UGi@GiZNdeynV1@dCr$S#3l$%AlO4{DR8E$ zOB-KCo>pIRzb1<{1s5pS()SHP@l^fy*?=TR>^COiNcUqtw?Z)dOWh0qy&t|^bi01^KK(aH{H>&Rs3-b8x7}+Bz z+)6UvAe8vg9tp_}ZYjOr>N@isI_yAQF8Bd>ChZ-PIJ5koAbOHu0?(&NR%g%m2+1K5 zPU1Ru2OB=*_h_6?P&|TqZksy6r;{UL$a7vqTw7ft+kUtF;rYfVl|C0{t4CpMTe*(= zyX;-;ti&a9SJ)LNluwQ4ZH@sRL;eqmtEnfG4h<~SfCp4tF!9#IpovRf4BC@#fJ0T( z>YcmTLNX~#R=tU1iPI|vt1=n`&|7-}!fsr)>tWpTed&{LS^Vg~_&HITSHIqkl6m<) zMhH&DdflOC%>jDV9?^z@RebI}UI5?=$|!^Ssr3BRWqRCxvw)tg10m`>7aZ)PpvrT> zXa-viPcDugug$`U&}|Kv;+#H-9_(5_kC9rzZK<~gjHo2h?GK^gj*i2vYxh^fJ&-bz;YXA3Gp2^Fz+EqS)G zSIyb5+NhdbTJEH$qoPrtx(HOfeHb^6S%snM?L&JMIx1w()%NA2uc@l@f6b*do2J-Z zk#`k4SZJzg22&yWL?XSV?GcwULX(`SfZ4>an`)!YCVU-YC(l;w_Qss6*r%R~si)$F zcRnZl0i~gw_E|dqT}9lfyo4@E01vQ^a{UNnQ;vP-3s9ToE>dZgIg&ZzgxfBR>B%8Sufsh)~E z80NRI-P%IWjn6B!T}{->*A3=|4jO52>iDk;tG494VIB;bR{9M&T**-t*dxd(!-x_C zSR26{RP8}1FJ+hgVYhBW&nl+cE-;mr#*?f*&5BjjyPWHIT9j#Ilt{kD98n>yeXyt( zbEBFStEd;S21Q1rmLklsRpgf(-6t??o&5Mfj-!56$Fe64H!WF-Rpf)3O|#PA#G!;5 z%vEI}^=~;Yv%hqww(1Vg#Ku`T;Z^qaj{InBZn??_HPiU%JpN*XMb58jBV-CkIt?4G zU7zUa67vdvEmY&rx4h$Go(|Y~vb?uvdB@$NHY}aG886e#_$iwgKkH8=%PTNICxSKJ zG*k`2J3uo)?s!_YAWE}*vmAybejZM&fp~DQiRE>{#GhypVF-%lH9>F=ux^S|_fW!% zs=#3fZs6E(Ys)6q`%ppdLtAXXls z>PoxO7muB07JGb|Mn=nza|x7|IE1J9Uk07jBO54!L9u<-|O^&YJo5 z#8A!!BFpNlpKbb!nO3_z4du+W!Cje-))RFo`;|xCn=7?mXL{Xyusu9d-@+zO+W|l=!81%`ewqV6Ilh*aAbDG?6 z)5t5szS3~z40KMDW6CTc&Jo1uF~WOtR#u|1LFGT8mlz< zb^&q|N^a)xr<#kbz+u}tP}3?&e%AWyh!8PgLV%uk^A)5S0<}}Z?Koa~IAT*>Fn3DO@s_lJ0_Ney zOAZZ9&=3Y%?0lDHT=IIVFK9)1OxgJ2F3Y%EG`TF}Z_zm}%OCvZ7rxXRlm4C#^VelmThadTWP|JP^O)E*ijx}5$-Mz?#G&WvX+zvF$z4_MlBv>cyo zp?YP}-lFySbO3aAW&9ve86VjWYtw<{2@h~Sp}4i_gthTg7+*U7xzpruy#$jG{w@48 zFs8%aLYQy`sW2tu$)uM*#srrG+)#`se#^&;<^4Z;!`FUX%C_qFZj~1LUu;yx zdM!|&j83kU78+%wgo&1!4jnw>EPu}j>EN@{DtYeXltj|aVo6*#--YEt*mZvBVvqHSRNfT2jQ5K#9=eRXMN{Z9{uZ4R zy8Pg0eECQGM1(GiQSh3pAr=zi2~mN@4}sH>Y^%X^FjQLk+jq;Ag#{rf*;W@+zk>KA z=N}6}aY9WH#4TW_VC@lgU*;z>Doc`iCW!o{F(IQNwuNtrGVvAHAVT4a=(pOnUq+HI zE{OS~Vl?3c3b%4Twd7g+)Bt56Gm2r_b8t&H*QnW6mqdjb8Ap*cetZAgC#`FVG71Tc zS=4F_=YwPtbI`-jeSy!VWMXZunBYr2Il^gN)p@L%;v^- zkt0ldw__@1w4G1bLwv589b1qaw|r|N*mLpMzV>r|=E)#K)Z(kFw}M3|kdhN~EKo{f zH#u>ddkY|J4J2GLW?{cv*o6C@sS{Lh<<#1oK|Kh}h^UTOk?O4oE^$uNgB{ol)td`~ zc8Hl7s5cM^)Nlz6a*E)Blz}2R@66hudUHW^NV3y}$WIULY!^WtjIdo2^a~&|5=oTZ znr|aPj!U9FmYfYTzu2I$X-&rEE(!gwd7<5WWvo6)lFzP7g126S91iACQ{j>)m8*v? zqLzy8)4!TZ_4&s4S61_|e+%o5*J(nv$tPW;uhZUwl&TB<()>Dlj{boi9=-U#K#ES2 ztj;4qY!hVSk%X$1SykspE%w;nlsX-^e4je~1J7)KB{+eLoz=Z#MXFO5+|F5^MOqr(qEM>k z6?t!55bS4GqTXs=D+^Mcy5Q!(+449w`eB9LFa%F3l}3*=i1S+2WWTCWAbD3=vXN0G zb1p&0n3dy1lukr=T}SOO%Wx~`dt|3`a+szfRYu$HG0<~Xm0ZCK*78+`pw(4y6K^${ zjq4?>q$Hz}%&scAC{5Sjx31*U#3m ztkDimxw^x)%fba0+%7@sB0!{`VcWSTa@d0FjDpB^7&}<3Nc-k1g13^_2z?3^T20OU z=BH@iTyV2sF&g&mfTp)rFc)$+aM>jaIP6z8>@QAT)kNl?Yu9T8)i{EHOs-kgM7HY# zjWBBs-8sHhO$1U|7#YN&AgwV`()y8lo-yROkLXNv7(FPkxa8BF2giS!o;6_CQLA-FibP> zVDM9Ki#=s*2E{J-gynC|3Nt9rJT?FOFNaFh*rA(2x%4E^m|@g2;Iq!449xAUGAJvk zW9ksZ2398a^nj{F+X5l7@DSpru9Si?H^xvUy5N4XW|J)iS29vB3+4<8YN;R(rr^?x zs&VQngR)s1$bpCiF{XxVDmh8!rkovcGMb%UF7};Po18(x)p6<|&OS#wQk#{jGAMJj z?PykJAzY353rU&SzxpMIpcWP9dRcUvZVcsGjw$9b>Qj%s3H*+%d^I}Zf}kNYeEWB!Jf~{Bbx1d!N9h9$W`~sh1>&0Rp@&*$FN1V~ zLa@hk%?>Fj*R?zc&O2#_2)M|bpUMs?fHYc6L%?jwSvR4zLmI?@hn0Zx^NQIa4PL;* zrZaaUJETDi2%;p-vB#+^hjdeD0b$Qor@G{LH&azhe{HU)x~!vAV`L_vUN2C^0C2Gn z8F8=Y9ESk^7fs)q|8Naw*%0J?*BnWVl(K}>j45qblTt=|4omS6giA*MDGO4=`HJXdwj}i& z1Zs_7PAPB7xM&PzCR`w|m{ZDWT=cS&q|Pbj(oBS4-dNG%%TU9WYb@iUfvwaot`MY# zbHNxFjc-rAm9J4WoJ+>MXmFmjUt2LCx_4yDUqknPm+o53D}WISLPn73)w1 z6Wf?CVW^_{k`SzN=i8Oimtja~=gU-~3Xn7KN|?6C)Ge`)v0@df>{lzvQKA3JI9Wol z3RMun>CDrEO!ug}BdXlFHeRt5FyD11xYqpyV1buJ5x_f^&+@aMG~|uRwpw@d*XtQ_ zE@D<)r$;maifpRDtrWoBaJTbXv_x{8D`M!LmIGbzq@q$q(HC*3$A6r$xlubFhxosGcz*-Z zaqZMBDx1Ed|Jx@Mf1^~&&-7@e`3yOOCgXBgR>(M;CL96wq#F9Byd3dPx88W0 z(nf)-8v2ITsQP@|PD(&h;OTmW#wlN~JPPUj+)l^idMi?Da86f4-vnBdzb`FtI=-i8 zwCJR}Fs;{u-q`bbQ)*`1@_lONt^eI`JoU!Nzo@=y-L}zfpy6a8)6nKxbB1}aA2Mf{ z2iqaD+cww@ncX&|tj)|Jm3>XqAulA^g-(28dQ z8IEhNqBY6~R~@R9jweYdr|a6>&(JIJ>A3dN<( z1;*hv+u0`w;q5^Pujg`3X^_jLL4M$s&w1uQj5LVmq}-l*${ZC-5Tk*Wj;K1t$l0!@ zo&h%nbJSSR*b!?|XZBN?6VpKVXIDk^b< zM$*B0#s;%Ob5j<+h4jML*3z_i5Ok`)2$AW=?P6*48P2W$-aIYD>Qs@h&|47?v-CI z1s6icmQDzPDmant2o8|HfZ2@W!P;kNBksU6cdORjpG@#u(`hLK4v>w#!tl z3u3kg*)(18BcGRD22N>8u_a@a@|^m< z;s2D^#w25uGBVCoi}~cDYW?gW?(tE|OS4+>eYF3_)=Z<6fy%7D`AJnPx(=h1L-Czt z;Pm(@v~*UqX`#Np&WLK_Q(lmtV^4h2>%75v$y0mh4X9gxU@xU^ZM}2V?G$0%UW7I7 z7PTg8im)ydVZHAY-oE+hAP9fJjAf}vgC886*>xc`XDqk70h+m-u?+Am=8g;%nxn=I ziUX~nM1C=6EDsZL-K`MUi78eq+%#)zxbz_8I=XD1yP#`(bY@#;BvHq>7U5)2I+crh z60L3hW@CMjQ1dLQs^5 z3xe-N=(9z}~jN)(pNyQOd}?F{(f{V+1BSCMv;GADlPGL_y{a)L4rP zp~AEE!K~*|7D(q>&Wz+bLFpKoHzo;MyG>jp6`rqH$h^rAs#T{Dq{4H-z^?QFgew$B zfLD4D!WH;7&?`L<;Tn89gb|ihs9$H0c^|sBQNUO(S;)K(0+`FO?Zwos=+UBUMHzP( z@l-{hkwMi19&Si9k$I;w7s_50x0ZxJxlF~EJ(a2WN~cZnR1$+mdgBoTA>iDlG8ICi zouf||<2gv}p+BKKJ3-M{-8^pjKHdDWzxH!ar81S)ySz+QO34^C2J2T->z^z%-|HPWk=wT74X=i0;V$6?UkuaeVwp~t;w3oRF^4J{gL;4>{l)WoudAO zoBiUUmq-gqmNqV`LNe!gL7A#EedQzr+F zWam3c?;O@kL8oL!mK>4=eaae~;gYPf?`i!z{;l_DG7{VzU@S6pHm{gNvh8-E#(a-- zQC&(qX-;Wo)CxwfDA~AX_PgxiA^u<3tIjTpG`nR}&UU|#g+;I9f@A`1hRC;plgImv zm^RvdBy*GyZVJGOYDNbX0vEaR^#ya5eEk-KCYZf+LV>vD6N>krPRQ*P9JG)=gahaF z>u_+=Z<3*KlkvPg<7v8TGM=x#_>Nb!O7qSau3nuz|MW{@AF**Sc8wW&drkr8b>H*n zzwI>d8CVs?f-$|-TCmD^F2S_J_-()JlH6wFiQZR2*~!?u!W501Fl#2N?f`aYoCm!J ze)w828&762B^-tQZYaf$@t(ofwP28(&&0@dW(t5B#vG&e8Y|<8X(sr22rov2+gxLv z&s?sQs2FU))Rks1C^fak^YNQR%UXz8r|&BlB71%LM4@B59D;lXkRaxyBeo*AfF!v!aK zkJq0yT#SN-*Wbe3a(Gav#xVm`I7_mRo;6%hjc=Lzs#ReX9u%r^1g5P%${KFB-h$DV z$`w`bwoa8b95f-*hY&>hyH$m?hC?rp=t9uRbjV-oicR4;-yoCNe4V;t<3#5NDBAXm z*A<&WbiT)L(5_kCoLm2o~P?^t)c2T|MW%^3(51zOw8qZgjP4j2nG%+O#Rs zxq9opI-I%1Naz21|9k)6w**v8bGDu)ECr}i9R$*f!9gxS(GPIJ0>}V^PN%z29c+u|4f02ibc)Oy-#Vf}Nq3rW3P9gK3?8tK308tDi4im93gUi?NdR|lh*CIeRU zw?1hM)w;DbKl;}`Yv@*o|2Fm}@9Nnt(z@`&uA5CnKj$P4#X(Hrh_o;|Na5Ni(_Iz2oUGG>h^1FTWg8V_^v6mTzl1goyp)pZfQneEL+t5%4SY)we!+4mbvz zT(U=7_!)O-rf>5aRlwnZuETz7!&N;A(NM{wAORWy@XUc!o~@ucGN}TN!p{gc=+>35 z0uIMs!ISS?V-7e1dWG6a`}0%*M}b~}?O7CYT;xgJRg_Hm;Cf5`#UN7d(9ebk=s>O- z9i|IBa@{9AZu>;@^VlX;rt-y9zR=i-_UY<51WXK~_z}9(b-|s=V7}6cyLiC!ajb-3 z+q| zKQmfoG@xn|E`z*lRl>z<(3>7QwVZIl#Bo5O8MW+ydX}igVmahda>AvkTuikxviK0p z2^ZkEsLY6o-V6?$LC#3ayW91C5RScB4KSZkH`|4PZTcwj89>%B>qYVaP?%oidMZ70IM~tyR%u5(}_fKbE%bwChd}`^Rec+FO=8r^b2`?KEDy~v>3y?$%*F)L{Y-qPm z#D=yF?aPMxlR?~>HjOIPuMvFm8&%Sn{GnYqwhKy{?yd`Byf&%bP?$eK#0CJX>8klw z#%N_OG^$izaf^xGlGP&8l6kT$C@R$@cgvlg8>IkALRFHPh;6q5ZK!a4g5`}ir;?;f z^)+cB?2GS~l+3?SO~i%?Je-Ql+Y1Iz_}*G}zTC!po%n`rOvgaPdhm;Z`uZ zQ-jO=fEa2$hfjHvw3pY`r}pq4$vhlQ)lX@x+e>4OyG3oeV`^c!Obg5JdH28cNAHDQ z*MyGSbxZGnv|z;oF%eH7JSo+69WRcO||7T7K+$9tA>M*+e%PQW1(0@%ZfzO zdfWLjRT|4?ze5r+Q(Y-LX<2DAlQq0S6t2b(o16kVZd1eV#wT8C{xA0QeWGMS;r29( zP6eZ>U{rusFJ8U)%8OSoJd47D6ct!x(1JoK3Un(9EJBF1)+3}aQG(wS)rbiNcJ*TP zgruKe>N2d;s4*@-KfR~(Z_(pkFuMCmpZgEKGB{0@XQ(smtq_(W|E9o);Mt<3b($?u zM6lllJY;B}RO1waOiC6(CoJ2dw^Pks2-4CP!9rMui41DHLr@}UV+|{FD1b;GBrH2D z5XMTn&&bh(3alCS2I#iVnS+6BzqLfr&TNJzAc2kVFgIH>tZ|JRLA&I3q4Om$*UH*_ zlB7h?CJFQ#VAv}R3_k2SUq_OX{tICcACs&ixl}ZGS~K6B&7OnAMRL*Mos)u*uNlN@ z^S#ZLN^9o(1CWUktIfB@Ih!Q&4MG?7+7)6giR3jV8BE=AsEoB?%oqj zK1xuUjt6tvuIl-bM%eAH0s<8ILu`QHqI>PLRuzxIot9R5guYKZ=ez z#R{_?F@n2JW8}y`iU}YnYz3>xKOiJ%Pi}w62Eby?zhKblU|(whOX>avz`y;4s_rx} zYgqR$2IRDNrvk9xuCSw+YzzBNzC~yuJSl|4jLlHrEo87uWM;1IJBXQ8>up5rK*H+w zN|PViScg$g$DIp+t$YRB(EeiCxVqrv%YkY^ORyn6MDiKn6VxVDX4HnwWxes7KHb*m zpq0HX@QfNa%*HQGEH>sk2>LuG~RGh|nw!?2NkhSd7L>vUmfp8<0( z>8^kU@#4+os<>OHq-HIvd=JBf2e(i;{}M(7B*SN z2HuqR^fOK#Gp}kSQ66wxtcLQIv7-aVbSf8|=M0EbnQW_Mvci~7W2C3H@T!sVmi(Q^ zy&&*&-}U~Vc~2Nq&4zRe0_cmDxSCT$+N^v|IitT3rcnw43*%^sq;FLa0C}VcBHwM1 zdI;u(vvIT#t-J0doO8YzkUONqaq8{vuxhjNG^Vyb_HQ+=M(agKP$C@9-UIZj1p&mZ z8ZE~)=7IoH-H1IU)eRng@ijuQDhQxO6PRpDVt`9qV~_ycukwKT1iB_>o7O-1XtS#( z@pw{P_iLA@*jcxw{P#6$c&7;_m!Dvg#)_r4Snzg;*v}m+X3zME@QD@ghoSMOD*d%5 z#7ua&%i!Vu;n)AvfBQ+171#IWu{O$>g-!%H%>3GCnT83?MtO_IN~_X$9fxd`x68dE z>~!=&kJ7txpG^FX z=T5LcFQEIsD5OtG@kb#kKDi9!4y!oarb7BT*vrQ~j{n!c@lX6WUl-lRnjUT;^pOUNd;UOoT5Vi6UYk@9p3Zmk@BdCLuWw?l{v13X zumGJ>dEwwTme-V3NG~?B3b#3|d~DaUy{0FU7H!R!gn0k*_x|3W_?BSaeB@N~pvKLr zIa-VmQ?-2A=?*>-9Y$c6ZZSc*UWw5HoDBrf2O6i^Ds5G-lmW4beW39gm3IL}mQ>tn zgbzc8{9gnU@ky27!|wjY!#GXosqj24=$YH8=14?-+LXriC_w_zys%v7=1$KTfwK28 zm?ioz{OC77{R1c6$@OBRxJ(cL)QyBX*xp^6LB6o;uMCcF&KQfBL(sz)mUR~VP6Zvp z6<6RJ3m1_xzbZ_d$R2 zQ=`0(+0O`-y^^3?izo1|qK{3J@7A?$yPzYfDO_+5)?%&NLsO``7J}5{MR3lZFBaoL z_oB))1gXbeaD(PB(GwV#B4k_#--T0CkGml9?bh}N{`dCO^gWB<3LSEiqlch6sc~xF zY8M2$o&zi~!1=I2(>|412vU!`;C4?^8xcJ0+Z9ERyCl}ZDJi*}H^0wlt*44CiuR%? zihkk;S9PwF;%}WayQ-@`G>pq=hzdU#>nUe?gJ~I)SHLY{x3r72`Tp|Am!{C zK39d^5)5l9%A+Vh?iRHvh^Z)lnWFrkc=nBdcfVku$nlz;@82p!ro!T!$Q|f{nP}7Lr!!!b!dUgi=rJAV6ae#`O zxb|O|ylH^SG(bh>v$V>Z`Ql&r_SsMToezH9>yTq&LP}pUFXfe2ZoW`RF6n?-Es9r8 zefA;XUwRaa2{2G$kr=T#HC{Uc;p=G6TCsM$gd4=%60& z1+xKOiL!r@*pbK$%npZH=5;`F2sz%=vMBLLYFR`F?t+wQjQeJ*J8#-^hX z)E0V%A*b{s?4M0>KlBU*&b5Wz;7nkawY;ve9h?bF2g4=V+heol+nK;djPH_Yv9a31 zdIdVDrVfzrPSh5F;Ol@|^6e?L1u#x@rZyj`E$mkfOy{Jx;L(N}>?X^mJG-@oj)Tzn z4tktr+2};rAG}I%E?14}8OuLnIs!$%Wv1oi_RQCS1$J z`7pJqK8&U%`YMm}ptJA$;h*`TpAQU`WA0CAk?yMgBxI$B2!M zYs_^la90yUMfyZ|6?+0w(GK2YjKn1Z31(+I17R`z{QC` zeSYT++WV6t>(*2w6t5T(g^nm$u9lvtp@d{8eNGlmDI`-UE{u!mf+0{_&Kgd&l+-~S zPqwFJ{=yKbkLPwWwe%~~Cg0O!dBNSpCh>Elb$gJ{jn>Cj?#C_PMw-k5KJ(8%@Nr)g z8c$ts&jPw5K8SA0et1M6NEfyqd*z0s5RU=|QT1KWQjU@aF-tj0+6+OKswfE*xX?Pp zcrF17sH2J;CGCLug0dC5(T2eO%}?bhX^$@}su8haGno0Lb}Daq^+Dh9h7L~EPKLbO zUpxTFb$MN(hfDUlYKPaC|La>P{zl1Ej&Jo7A_B=M#%rnx#PphLpJUQXZHf@J+FMN# zKB!*9^^$4OGo<8ggQ5vVtX#11g=IS_qFT-A&*M2pq8oqwWA564sZ*_C2-39sikt0*U}2PcfLCUcC7)W- zwzlF<$BnR0VI!Q>S&*jPSKOmyB-xlf#;>bsk5fx(*H*+(Ei_TUW|EMaX`8$vO}nqS z*@L89j4OD%c#YL4OzA(eCAV9UfQgSJSKCNREM2}1D9dzB}l&IM+6BQg8$g)`| z!FMG|(_XG~f%zO<^ML;3_U>rv!Oy+`%tO99W|r2HC!GMbrk5MUsV3NGblmSNxrAMh zPkI>-8MwiG%chPqhVwVDy0H3Tg^?jTD==|CFk%9z%1}W74^#?_ zxE+BJCVtd2NG{nzY}4fZZM};!4Svl4Z=i+vI^^57-sB@Zy5Nm*w`lSaZiamO8#mjp zcrqG8HNQDfh*=muz!IUILX0;Q9S$}IGX$wkZ0m``Vhq3mQ z32iVoZEqtpLa-{t>@Yt}0&qaFZGc#kq>o^m_;oDZxWJPyUM0+cA&1*UkKBRs-JSSk}PVi{?Ka2te#YH<-$_Zdk>x`eNZha7gN4Xx8Rgj zB$9HB%v&bEhfE3c!4GjX8I^Tdg_E0GbQKpVfzm6?5)%57$6yn1}fad_l)^ zr-v=69hhVVBSU+QV#xjX*&Nm^^1?hXR$zM=$enIc?Zld>L}N5kEqT&B)848f44}Af zFC?DP&pJOrKd&kzhW;(vX#0!dLPqB=v*G9Gu&(iGj7%*(Y?UW?+IiVh8Fkqh>0*`d zBBOpSc$z)%=i1$|@U(Huw>E?Dw2%1WPyeMq6L}!zXZAdhu{DFSob4f0I`hSzYAkrj ztybitR-i(p7P4C|cZ%+?HM~~jf<6isQ^loLlvfme=Ys1UhPXpRY>^1k-kexb^qmRr zmkR<*c#RrdWNvRdtnwV|_s44SWK;BR@=F60)+1K11KsF|l zOY+J$l46d0O{A7^!?7m#4HO2QzEQqETg+`$Nzjwkk|*`DXwmzoldrnES@&CtDU^60 zIaut?YPtk2S*fb-_Ff*_vc!>|u`k^eDmOmmRrxvg^nIcPRCSBgOF5<%7(Dgx$O|43 zVNwD-}>EGkz>;9?5OHB+9Ih2x1a}U-*JgY?G=Z%SV$%w z|8%BMWL*GN&NrOB6YCSIT4*E%y=`q9p)@L04IG~Mav&Gmv|2E$YP2no159|h4DB2% zW>w8*4b_3G!7!(`6m6jDkuu|0r^g-y7^Sw2ABuOdj+b_9U$WJb+ z+UPS~@?t7b)zoWp#Fs0$R`CI%Jo%=Ngl{@ZBayl5VRXdtyjRch)x`f@G9osb3%r|e*(slkS$En z>md=K;dQ|sDklglh<;mjx?X5_T@djOSvomZT9M$HO_)Bo3+{Fc*c})(q@y~sDQI|I z5dAjHGEs1E7TR_pJfq=tLD2px5!fC)VCvO}APuhzq772Vc#+Os4Q~k2@Vej@-&U&c z2k<cN96z8+gTv2Q*K z?3?xwpHS43Eghe{Kg{pEboI7p-d@c7OD|ya>1W@5uat0R&p!vLvAMEwx2O%kCR=(L zTl(eS`_q5(`vRM;H>0(s4{^R#YOw8&t;)9aH9bV-9$-RU zbjQA%QUA9u0p{mIdaZnXxi-M}?_B}WWqYr-FQ=56uk8Q!ogw~4si9;Wh>#Mw(hNvH zbG1;9>d+f8*mtdg_5nIPbn`eFfjLy$1s6&HB`%2!DjFOqiD?*`mrat%BgEMW-KXSw z-93A92_cEL1Uhhs)z*l~)0)XAoWXYj)DLSS=i6x%7c^G6&IMgOu8G`8=esAbaE4Qo z$^zhbB#*QV;AcPSnaMr9s-HV-=I0KZ`MJa91)n>t=Fc5JHqYHvJa_!~z|Y+?t%K%{ z8pUxI&BBwv z^LMdTgh8~)O&8`S&l{D~wcHjzQRS??^@Zo3mrTypbC$|^>vQiAT3yZgl$WOnddlaB z-00Qm<&9ZA%du+u^}qB(pYm#WFMRrxNQu4TZQ`p1nu-h$BO{RrH>cAS^;~F&;?-$7| zgxE5WCAZ&3tEMiwynzlod1i5)8^B(e;;4G@^6dfP;a0o$<~tdJQb~%Iwd=$;rXA;N zjh6i8jw@E$zY!)iK@z{xZehS(|CLVKTslF?%geSFmR2qDKX=#c}XICAM=&MU5ih;)0+50dgl}@-o&5Ifqij&GPuwa=|j@tB-JzuCWV(qnU6hHqbc`%yu!9r}yfTfFbA8hhU>?Sb4d49#s09EZl zKG*VA5m$dKM^oVM$%*A#t3i$T7yjpWy!zGzR27e-4Y~Hp^NL6c-ZGIzIg-jDSBcHQ zEP|ncwP5z?8SILuj`lDBQES1%q_-V`4u0Kj z3Um;pZEr8o8LMuu=cH5JzUOaz&xiftQ|dNp(QbQFR=1Ji0@}2F^|QJi>{?1U03_K1 zT}xTr4t6bNbvxL#bVS{Do0hV=9q3sy2h;8kzRjM)PyT=Q-UisZG%E`m6^4P52my=} zQt%N9%Sn6j{O)s(MWBjlunK!j(ldgX*k5(ebPvriw?BHCE z8Yq=e6F>waA;{m5U;zRakiw`Kf+9*nFheTOvwq&S-goc2&))aD_x2BaroKLB-?PrU z_da{?_4BN?o+av5@VZKgR_b=UVShGNxDstvlC`=8z$WGcdbc%5wYt?(V0M75tS{XT z>|yC4Mcswoy`{D)Ri#4+le(Zz5+O%pf9hjcp_+%@@g(|g z8dbNC0|dQRgYz_v*DJueeTDjKpZ2|f@;jojRFf#~zgkKK5A~J}C#KECrj#-0 zbI>QM0P?CgR*4GLR~%&)r$TkX!l?jVjVy1S*rq~t!NVB6Hx+6T9HaN9LUq9rdhePC zJjN;=2j&$qV)rG>TqSsvD9fa;X&=o{X`#5*23iMd9-rNkQsWNtzpIqeIhpt#CC63R zQbYI(t|6SN!t6P?MhJfSw%`BS|0R4vU8q%I;LbvGI8$42(b|gOtik}lu|kD8Fmzfr z3MZ>DQ~~ScQ&L>Eg*254^B!>|J8X!FKlGaQw5?RDje;6&KnlTHg?X(uSjgIA%qk3x zoop(F{X6!HedrVVt`C4OHLwP*pk7(*I@cs2nD82GAvmuUvSdAd%~FJo&Q{|gOKYZ- zJ!o;f3LAa5bp;PR(tLHI3R}R1X7Bm(oK~Io@Z<6bQ)*Qam9Gz~oZyJ!M?yjzph{kI za#*kKTl9*GdQ%55l(TN1uO3plp{QPWGmMYwx6IwNjm$Id?xwEM%|KP>=<20ebY2>? zouVTVT}or7#~#r=e%)h4_su3Nu)wuHo4w2)$}^hx+P>+u<%h7<@BX+q{m!qC1{Y%c0nMJB!lEUhBZ1}>SN@s z;ev=K*f0t~q=4ELso0C)7Rr~qCVQDe9QKiClUx#wxom&szS*@}@4K1>0k%l?zXBG3 zifwmZvzKjF+OUH$;-Xq(7Q|WAO21HbRijqzgqpYxIFMAxD z00ZW$NP9-+s>o%w!!p}}t-!RMukHbw?|tG={nH-}Q>`}NO|^LdkL<@rWM?lQQ!`mv zi5Lt6ty{b)JNeUlQ4wN@8AM-bue&wFNbn)p^dP;cR!U-r4||!Mu}Ai7sHGsr7WA4{ za|}kyCo7%+qO~WJV=%Pt5E;jcJIUQiN=T_GisLE8+dwc6yCgZ11%J8 znmxhk+l#4w>^6u-{n>jQsC_0HZ8mAwufscP%P)4lG_Bry=aXOfVrgo{M)b2?eH54}IAueei2ubMMhN(yXW->~`HFM{6+95WI~C>kxcT8zXZY zM?>)A+9qi4F>t7jT5||qKv=-G0+~jDso1I?<6(vBZyG`}jw{G#ADLZu!H!Lra*V(^ zwN@(xa|nJyMW;|&f$O}-U7ka5@ZfP$6#{Eii1q?&riaSD_ngH|$t_qW#zJs&rG|;q zs}LLru=**m|I(Y8e<8tEeee4<#xT+Y0KuSPz;WghR~1RXCgRdCtZ!io3Au_Sz;?k_ zJCJMjBr`@*hH7=2O&?UqAPEX+F{1;&63ElVQ>o4cs5LxPul>TZ4G?-KduST>Df~BTSFJylq4Q-}$UY6u_pr z5t5B4RU@Rfl0+k;YzM^9c76ht-J-KGHFEM1PmM76LhgJ-Gh^Kdi^j_F&QWUO*gt-- zYLg1L^fx~GMl_-p1%u8>R70SlTN)|R4B2err{#@38$q@QU~!{fzHZdCOSHIAx6>E% z)N`w^PMHk#Y2A%750_Oo;Hts{cw8eEZ({Wfk94SoQFsI+mg#Th)Mkc9f;D6dO3Owt zr$7M`z~*AtCvqq>f)zXhkV0%P63Q~NIqy2dBL}z$npEvD(z01G!y{+_R0b}j(}lD? zubAPHJzO|h5lBYw=c!ZF;9^(F-MXJn&hQ9$KGi)r9u78w%B}{pBNaS?6Bcj==!j7d zyW}LZU(B*v;IQ}dff`ZE4C}^3ORvJnY1o^v!}16A(%749^W87-*Rap=&Oh_l_+;oE zrN)>|mQ2Qt!XwwtWY|r+nCh3Wsh)O;7E^sYruri`-}BA?!D|cRp@q9{s;!K-nzSNl zLUaPzY8M2LzXBO3VgTDzeT+2KE_g&-F4bh1FC%5Z`53E)F0fH? zJc88t8|Gk(&;Zj^`m5k{d9`Vq~pxj2Z<(S#yG+EP%tb><_pxJD^74BI3fMEw|s?4{q-I+;l!lk zI43zD>H+AKOnqNZn32DEzW124!9z-kmUV{XzGANMD*9fT%x*IT&NQcno z$Hlx0(__ZSYwj}8t)^zzFS#o=DB@K6(-`ZMiVPR^$!8CS*q>q-L+>mocAGN*b_4rG zu||*}38`Yjjs@IJ*fGKBiZv0mgLlfB2(^x$r8r>Nkc#zBtv~|Hc{2rAO|YDu_GnLh z;$nO6F<|Mlz;Xo9c{%-gqFh>O1NE^Lnm|xug$)8FBgVzdMz^OKiEGzKPg{P-2L1S7 z{`A+S#ur_v7pkBk7s`tx9FeeW{N}9XQrGl2_e3&Q8}VDScb|1!GFE+(imc*-7jS^2 zqSA04xcHsuL+wNLJ1JdCd*#s1ze-@NtxQB6Cn*n?CEnUNL{sZce@Ix?VLO#Bm*sAnl9`mXX!_&2g&{qkV*&4C4nEjN)6= zfwon;3?E!DvOLImXu(RXNM+)J9cnxE7)R&+?xYze9@tsSe;#YfrFyO8!7Pa`! zC6TLQ%8bRgn&PRBv${N}(NP>00Naw>kAoYV!puEIXJZgWB5q zcNc5u$4RPLO0oOSDHjF@Oi2(ayLm({bi&gj#P_;oz6wt%S!mpLJYB3!a+ZznNCuJ6K zS*SJb%kU}HR!Jc#L=12NhYUb9;JF(TJ`i>eK?##wP-llw&{?rVP~a~Y+<}2m)DDPz zv_vrk1^qI?(v1RM1OYtruEiHNL9_;_VE`+G@FiwJfhT>}2u?-YgD*`iXBHH2!vyz- zHK%o9FgO@0wI(!38PR-Q)$!UYeDs$i!DDoyZslV7rA2d|uot2zDq-=^-ku39JZ3 zF6bJto!-Z1G}86+F_V@bQg}c9eV_8~-yTF{`cN$jZ~p|xZ!HS%t#7@|{qQe4h39Ue zzoJBHQFu46@H8L2M@KEZYaqs|Zcs5L>d>>*6WlXuIRE;~PZ|D~AN31w|9eRp3fS)8 zg~Q~dIp>U$C#G&jfDBM=4FtXNGkUE~6iD@R&N=y6<(%7eZk7A&!0;h?-_^On2wR;T zcWy8O=ps@6z)UjgZO7_p4_hEGB8Y@?TRmLmFv`?Aq?~cIxl9M3K+a(twtM*5lI_?E zBvEBMat_1b9b`LD?n~dZlFV0UzXG_z;{+>NuQW`pzFnR@$TcN34q_5~rM`{=Uihs6 zgKn6|SueHtgX&r~vufmz*BG|YdXu`&+*;T0H{+ci=1?=O?gJSQdy|`Z z;``>gdOSbixh}Mf^O}OE&@#FLYH1mfPI`5vqyNbtdCl)n9N{{P$NkzeVoG^);n3Se z$UK9K%EARpG~Jy}g5hOBs`4U;2`&=x1057lG7D0byC6sS~$XA4jkcjqu!P`I&Jx((EO`^?hpRq=cA%VK~48YJ-2b%pGcKL9vf&1 zaZVy*F`P<+yVGXKsKR{3Jth-sRtLagn<2}o8e~40#Ur1K_EqN;NY2!tmIrAU=1Mm3 zbem($sWhbIbY=r4GMv=Yij%4GG+RzD#;!X|ZfRG{X_^lG0pbWl&Gr*IIh9uOeMtQX z^LIWQ@kYxJgf1yNZ%odqPUoz$3pExvr05ua(0}7fM-@x2oUmxQvg`vSY06TeyyTrq z-wE0Fh*!6S=Qkgo&&MO}UY@J;mO7TZt7DmViCV+4)Un)59n1IqxqtDwDG{hvyca*H z+pF<|=C9oC)kL6efgQ!T;TS(CQE3R)@xzLpxJ)f>r4KFp=2iRvJO(xu77&BeT5rFK zA5a(qK?w_tSR{5`#Sf_aM`}?7F?OW(_H+DzLK1WcQ1C$Z^;$5;4@XpHNHqltT7;l1 zpG*}$AjTmfJ`#Zg(U2Hl|0MxF5r^V=Yc)lzdDi%<0lc|L!>sac)RVg5_t(ahhdsX> zjy4<*_tym%e+)%AvkF*mClP4(@m#|@0a~Xe@X-Ct@~zQebMQxe!H0e9d!q6|2|Ya8 zFnW(i@yEE|-qYW1# z^<--pVB#yHRL~fyk-$(D8iG`KE?5YuaWc{ymCu?A&jmZ@qlS)ZrY22RPVs0vC$*}r zP0qs^>ym4S0gpd_H1KiS%Up7UDJzG%qI4=yaDC*j&`Y=(@e4+sx&#QRPFpIfeOR4r4j}d7`jgs^L<;E)jwuUza}Z?oCiClCQJd`;1bd=;yraT_H7LdC^(Z zmLJktfBL~c_~cFG>(pa*o#o+rASA#uTdZIhApx;kTPB(jlH$#QEC7XIb>(SBNQxHR zBiGcHsAh!Z1oB*9E@!vRBN?3PF-wV@R=PrXsW|Jt1+os)CCJHMYp3k zRuoYPPQs2`1*dD?M3ZK_a;V9nca%;onXWSZ6{>R?v~z=lcC5C+Z~m6OA6DdAbp5to zsKpmJUFDf4pO-lGZBKvRlV5~cE7w+@)0Q7no*((CpY@He33z$9@+&G>F=;~sS1j46zu2f8HOO0rwc~q*ZO2bEnRSyRtm+V+*R_Ft2=kzgHySeUB#6{yGaeLE~-XD zNo!?)NJ=@91J|f6_*0k)6OD;ld{N0~|B3!q)d>lQvEt5_&cEz`@n%U5Q`5*!f3o7v zhC_y1A?=^r>H9cdPFi(35V(i4{6Dd&X*v0I!haKXmE^z4$EDsXeLCHLvwL^03R=M4 zy90ZtU7}WvFJSM@fW3eGy?^*)fAU<@q8Tpu=omFI8b|n$<)Qf=IgUUZ$<>1$y?VJ# z#JXOXve>tqv2P##3-5k+cMc~*6E%CEVc!62)nzRj>e)3!+Ha)cJ=?d@hWBjWMw`>K zeH-mf&-U$zY0rXHbz9VTSGdw!-kfnVI9?`*hHvFkv-1S}m6R2TcE%dhX#>jdv)NmZ z=1^lg{dt!hZ%ik0>yjgl>1XY=8d2lXsVuV?T8~HN^>PwIre7HfO~Z7@JC(kZx+4!t z+t&pvyOl|o{-nI>v9h22uqPf%td*Lv@I-@W{|>X3sQl)U`*EmimotS?3xPH1yAVWk^XU{I8Zmr+KmvT z0(C+7tJ=B_3Qp@E4?!wW7mN`%*maw3r2=(9@O9XP86k*CP;*9;)wk;BNOdIT9lh(SYiEFqrpl*{;3=Bi-n8Eu9=qoOp1+1=7g7vU|HEJUjq{!{s&>>f1|e1BO!8X0mu6{P6yt-J zx6Wp2$EOR<$RLB~Lw9|YF2!7xo;~Bk8i2Lvq60XSMHjhs);1yEHCmkxnrhx1L=ol{ zE6*4cU_}ptF-p=ZSulIX-I|R_D9J;XyHD9vdB(>rr?yaXV76)YjM2VHCQK4BZKXFf z@4U(&W2b(Yh+CSABQ;#*%uh#k^+DZqY5_b+I=E!<^~n*|%U|cn#pci;n}x!~HqMYl zgs+Jon^lvP+({i~oY8C(KpiP6c!UN@bgQe}_(JX>&?RQF(dQ*&S?7ETqjcGMUE~le z=8)!(zj57U16=p}E4=w8hr^nh(Hfi{n z7`1w`0erI4CZFE~%Yo9qXffCT{JJQsby%+1BoXN8?ohg^RYdljoiQ;(HsJAJ{h%*? z>~%3cOU~O%y)7{Vh9Wv{@YxR=II^N&?IUyUUC=0wA-Fn9Jxxwq(|dG5<2HmsK&xn* zS{IK%vwq-iUxBd?y6}Ke+wHE!^qU||1^cWZ*zGL}h-2ja;JYS)lW1%dX7>ceJ+Kvz z$HPfiT&r@8lR2xj_z;IWcyPZiXS@&Qy6V&OK5MIaLSMu?bxiL+Ftnj4DD1xIqx+YA zZS??u>`�b%)-a(^85b*>8S?l_Y?z7GOM zXyyEUF$7U!f-hwAyzMUVsHX2@#(Cu}K%k2FWIOF&#<{2O?=QBMx3Cp!koI4D3*rvM za#|4M5hKT>CFg;@*cK5Zs05BrAc==hw{RYObBj*_pkT7{il6p3^HSSt<;wEE?b&CZ zk)W|8yrOiD#dGCpDT4hHyGm~I>U0^Vte)i))cC9b8a9)@p;bXa!UNC3tK*? zeE-2dPbdfvNTplT7>2R$U0vHK`g7OXMnr&Z6`(|fNyUl{NGe)G#L$VX=Y`IVx(o>5 zb_$&++o1$|5#+0B9s*Fn^u$Anli8TP|XLl$;q&d)TCB=Y$_nwMdv!-26QgN6OB2h#CM`7wfvms}K# zDh`qW2~??u{Se2ItV0BQ^dBku$B5=5Qx%Lp4n0BYX5TeNYU&g+(%QEjCKAvT$x$$` zg8fFpyec8S+wm7-AMjdcJmwRxU_T(E40d_uyK&eLbj~9HVZJ^i0O46JUi53CA{OPU zde!0?N~OPM8h4cgY!@myNe*do`NpMpu!Qg>H2fWE^Hz(yc84}!BAkbca2gLCo7d9U z_Q2kjzMi)HkiLHK(|_?t&o?J;kDRuSv^vzVra&w))aKtY;$4y|Oslt+1Zo{s?GebQIX9iCCBp&xEb*a79aqI1-&21Y1Ho9a2nZ6vNR`y!{qeD zZUwzA;Z#8mu!+ojYHs1jep|oVnv;LwSN{IzZlb?VPt$!&e<;x+06rMeSv#YF^xvbY z8S%`1v$or#g2y3P>8vADo77pTTWVLVb=FCF z-ca~?tTl`lUHUO%SM|o0Rb2Q1+C2Mvk9eM6$n``b_QFqJZaIOvhUJVy49eFp^ zk;k4p)cVYacBjSi%(zvYK#K*tI}0}L5-k?&$+tg!vAXyC<=dZn$3@95KmRPYpM2{( z?!Wll=e-~j_-yTBo?9M}V!I~OzwdnFTfg#=uwcsj=T&9SW?AZhhvo{<_~B<8G@2xaLLh` z2IAqnNN!S0IWGbLGORiBX5OqDfRh$VGcYm60c zCFx-lNx*utCTga710tld*dfllhW~V~Pa@d=;2W9ST8$B|(E%xSJX@~CP_-k`0$;TOH zVKom1Hj85*<7AAIM|u07U~D z?)J!y3c857vW;L)bfB=9B!KKl+}I%2STTc!-2v$c5k&I6%{FAv5RHbKzc_Sj<9?16 zGiZ1?v2BpMhPrJ}CMP;Dje^M--26xqx9OcK(cuYSwEFe%O0gPtB(#xC_yVxU81?pS z#A+1D6L3|P)EQtIu@uOtNFGpBEr+?oFyH1km&CM7;yg5Wk3xfnEf&m+`SKi(nDRl( zdc=gW7OF+cp#W5P6jq!-#xwFZAlu!&vr(ifR0B(|N=~bmY!tWa$1!#7GP)N}JTFP< ztlVDBjfSify;f4%?%y-Ybcr;lhcTx~VGN|E+Pi6&sI_+sR(dm7>7)P7qksPQh0ma! z6&`6?p9PGj&#doY7R4-i3x@g(E;!2gNuyK*eP%tvBN=a++dpWNir^SED18POjA;1a zoCEd|LQ?t+MG)QvybuX;5lPac3_NnlcXDEW(wf9&lNpxOOdI6fQMB(eh_6_q-l#>-)B%aA>us=mFNQK2V0BY+E`CN%{{8+i|#+fB3{p? zU&7$4h{p!&M&#q>KY3NYZ$54|DS>(s59iv~rH<_}4uq~cRUU?$;My*O-M)*KCX_shm8K!%6bHM4h{+++_8$We!*h{Ha$Ji6YKRuRgV1^Akh$KASoUAuG1alk< zM}bTc+ebiVw_ zV(=E*W!KEC4kH|IRXYmkbPi9Ez)DfT4-SKlR?d=AZ z1XBOZm;Ud&AN=5Rqz)K)2Yp0R2Oy=5hb}*#Ip{{fOhF&Q?JlxbU)mP>Uah&X8|bsI zobDs2Wf+-&I0lStbr_L@qWubmArkAH&}P&K=AZ~!H-f#0bR4t4{%=;e2+CXU1yS-AeTF~vrKuCOacD| zSXUH8IIKV>-|jtWo{Qs-WAS)KAl7hnF?gIw%xr1Nu2gvny{HwYIFrCL%$<|P zBmqS3BSF#>J^#s-}%RQ66&+YVm9B+1Q zNN`bQk%fRF@1Jgae7|8N?D13JbVm6lb~s)*+;RN-i(Ta!L7+N+*^d41HW#~0$N{2= zUDbyJf%^O0PT${M>@p$8Zhf(<&VMJ!X8eA#oxTqOjePxg+lyUA;kXkWn7+^L_4X|A`mRHfbAhGC;>v5ySrc0uHaHE={Pc5jFu?Vbw)l-3Bm1Mwv7NnVj=uL!QV!;3J$_&Kg_^V^lsNaZOxue_GS^B&Vc;4$kkD+O}?@+i@V`UN|-8G4r+nLZxll)DEv=eoSq5_HD(~)0S_MSH{%O zeb~SM4}URGLoK56M59+DTIC>=8{$lLZS5l{H+m&57}>tl2|bW>s6vqDxCpM$PpVDX_*ab%i*i!+!Aj(g*tdlWU zd)5+D7s(D>eVS-wxomyfbxA9l)3ri(yFqnnmOQHhRb6>>Fs24o@;twu%FU&rnBwA3 zkx>OXwHy=Sa6QXU+P9Nmtx<6Jbv>dAfRvTztAT6vh3p7&;!$=aiCI>GgbYYZj<{@x zBZ+vk;(;&AlmasQG7X|IJM+AV)u8gJV2LmKaiU-{&x zzT-nCqln-~)9UXC`%^5Rz(JHHv?z)MAO<%E=67yJYKK}!K?k;b)_#msAATW`)dUH|>CYK-n z@V1g)cK<<7=ipC))w$!9zd{0>yfEK4i_7K|nfM;1$6>gPLJ!d80|1*Da)V_YwJr-q|{6XDB#6JhE8 zO~gOm;!QMyV)bm%G6+LdUKn4&S(3G)qKi#{a5X3fTUgoRwl!g)g{^)+Mc#|?*I%n_ z;?q|mEo>had*S&fFW>d-3(w}MjI1_hJD|*E)D4u&mhXCd;8kBOBOUow4t3P>oPxwP zvmBqjd@&jm-}&ri^dydz^+52&GH1U277tW9abu6qT|W21bC=J)RnACG?UKh;B>j~5 zwGfmOkrSAVEz-?$WWN841qL}RR}OgSr{!08)HW?sFHwIVSAA8cYx2unhiCSRzbXvc z|J0k`|H~g4sHytG{h)ojR(8mL3}KlR=FtXvbU|_O{YVLIpsxb8*qi`8-4~rh3`^@Ls*0wRzz~^lkdh z7VOmTm4qKYp6nlI-;3`X|7-F(dI*yve5o3W%o79dDs!X$X!s1UTXhu>AcCslQO#cU zh03dd{>Z5=qJa4P?s$fAyj@DQ5izJzg=eOfNk|_~lKwnl)g^N}AJepZIW+&xG67Fp zo_amAQBXa8*)RRB@A`j(rA-&QT0J6qZlSo0X`a@o{}COvUt7_=9U8P=g=wPwTf(kx zwL!%~t$MP0WNn02UTirDtQO4bagUyRX?5r}giC9|jA?T6qt*$b7_-%SSv`VSQs;WH zNTC(X>JcF(C@Lk2TU+H(oOPuhafsT($ zP0{?`ruOv$izTDP%90A-WxIW4ZlsbT;k!*`#qDI2?6#h(eHXHxyCdtFc8OXGwzN>* zObg{7{phdyzzO{jBh#2^XRH_+qvFNFqTpx)G5|5f(HTH5zy(LAG1CihLDY+=-Gn3C z<36Al;DV^I(xwEAbg`bp*fqTX7wpDnEYk}pf@33==>-(Q(Fx1Mv0W0o)*M5B!tz<` zS|SHEj~2mHrHnV!522QMpZQ>PpO}1NZ-RT~y1`6*k0+M6s0VE6(ho5&*SYjV80`?_ zoJTi*;?rLfagHWnJkGIn%p*3O2yG5aCKC-0>+}83d}>uuN z^fH(w^)!%py3MqcBeVl*XmwG+8={pGyrFr>1dlWT43bn;<*k+wRPq^4QM@MMHv(_u z{WUqC)`M>09C6U|_a&*F_RsBvf8cm?U55P7Y(-}u`3tlxw}Kh+Lj?{~2qd6i zTL)F_IzxUaqGqiQSL}m`8S(=Qn$=^V|GF);%#a_tk(e+->SVpexUd>+tRTM*C!=MI z9EjaYQs4Pcj?+a|3xPcJ2DU$WlM&fCCF!-{Ik(zscq5L`?N@w8WCW9I4Dyl@c_n2; z7BhW!X4(|f8Q63&)3;-$fA!a%{jUErx(yUO>QSL;0U9Nz19BlQjGbRTE ziI#YnY0Mv%;sI2OZ`ty>!ZB8uoU*Voj@nq+ZwO{g?xfvt$p0P@+c&!wGwr91E-DV9 zhNR49st;0PI+p~K3d%ou-e~o%*F1~fsc~&{V+GTz-gvOAhB}uV*k8P~cPMCXqU#i5 z0Qf)$zrPf7i;=G6|8N~2Upfw6799t6GoMlIECtI21Gh|wNu2I0KJ&TPzYt`OdYo5l zz1Q-C<|x=v(`iT7yW+h zdcOwRU$2<89tIObHBs{%SD0j33udi%0s(>wcHm}e1hdxLuTh3Y4F#5akWthtR$4Et z!hypv8%YKyuJyt!AkJ&gTY?jp47-36YtKjeXIwH21ExH;mr-yrmn@b6oRfX3=zM)p zO~)#InlJnc?HT0@gs}ly-a*OQB2L!hs)?g(Ot&qVdN5;@@wJq^e(5DbMqQ=*D^z;} zH7Xxeh8lU3=G?!G!%WyFO2sNx19WS(U&z=bStv5A0rA2LTa{S=d53)D1B^s@{KL%# zkkYm|e$+Ccpgx^2cs1(d=XUHLKUlRXKTnjJ3Fk(_M$;n>Y&3m39UHahX|CE|g08y@ zx~5&CCFr`HpzD2q?OWgVoo9otGJoP_JZO7^>TY@!$5nquMs`0y8$lir=uH~##$aam z1H*tgW{1%kJ0FD*s6=N;Hvx@ZDK?5}4ZR4mazFO)DkLZc7uMYIwqpDqOdiRtwsKo_ zJ2pX*u4vJeZRCmDZfJEW=UetL&0m{EWz#?N*CCns8>Lbugd13Yg`r(4A#Sh|f+6W$ z4zaB|g+_+xT+a|NhI;Fpe(LxBfly*fc;PXW(O=*xF8n{c-b_%&P(`o|4+YB(@s1#v z(VFfe=o37lM}Rfeg7nc{u-hOcq9*BfopiF~73re8;9&(?dQmwCZST&4RAMf;KcEDg zN^DR6zuNU#U!ISfJbe1d-`Vg`-CdV8%nh>KxV9jc1|5q~PF{h)EW<thR3q1cj-whGeX+4)aMFq+JkUWe27|zOJ7#qWx6blKY*x`-@1BVDB)W|MKb{G!ma5X{9_-q8T!+?Yn24%{M zn_dpT!b@&rUg!91&FnCY%+>_`QocM0@6h){Olj`}uEU>`j%wRPhDx~jk^BN9Sp6fN zbuBc28bg2{#=meE#9wtS$ABVW9AZCGS&!zDZ09-q!-IlE>1iCE86*Ud?-sXc92G)k zc5ZxEs=btrkaR=NhDdpJq%TkiH;+s2x4^j0><1gyo;~<%|HL6|LnDeeo}Fb7RUsINbC>%U2)ZeMl+DPFNo(FZ<`e}kQs>Qb}bwYdDj`p48#*P@-5g(4dlldi02+zmTK4Y z3}*v>4i$d82`r+uRT}v?OyA%{%Gyra*28dnyN+pJkuzD^wr;v@Yd#CkG+=TGr>Ez( zZ`|NbyF`n@n|_Jr%%yz(Tb_FAEoZ~&QuB@K$2J1Z0UPSBK`gJ;kJiH#VOSNfjREyJ zobEsXhnRM_s4<7rG3gRbVRc-mWOW_@VxTj5jug>v@#^GqV-N=0%Os{;W3Y1_cjs^# z{7>XVLhy-7&345)oQ^^Tba6FHRyE%^Z5@h<5=?7yi(j(B-$A|4`CmlpSsq^>W?lUV zCqske9V;*z$$WW^Kw`gIiaB8IT5_^ipk}y1>orL2(>ImS)SZBE z4U+9gO@%H1HVGHh-5{Q}H`90~R2Y%9y~*G5mHo9jlxCAseUj>2slLS1=ca=3iBHK< zI}K{d*2J~^H)lWz8#O|OEe zilbC{gtX&~?Ld>HeuI3cVK<*Rslv$sh%yRA3_dBq%0V`iC+ncbeGZy*cO zy(nkB-D%q!rd`r2~t2J2!?~?n~fdrc@ zblOV~NJvgL@X0V+rvm7s#GdAk1vRik#Ei6@1IE;Ya3|fPLTvy z@<;l(E12LR+PG=r)M#B1CMScpRa-e?9rH(Lji(E%z4m&Kc&WUk?TVWM}CM(0>&hu(GQs@-f~;$=b9bIP6Q+V0YWo=5V}~w}2QH z$2XbFUYxviI`Z>)gCC4%D)EHz#IFnH!D2ko97$#)%#(ZqiTx?9*R(DZGnN#sjOXnp zL|I$>?|#+K{!hOc$vli%V_iB5eC1b>E!c_*A}H{QM8aFg zyfVf;2mc7iNTpQ-QP%@(6M`5jM>UuQ1s$f1 zO7P*5NA$Z3W(XQw(bf61<-T-D%;D4_%Rm>Uv%8Ya7YCU}?I}Zjj|3n2d<5I{H9JsP zN#8x{e}wd^lFXOqumRhbocek#&0>{gzCJh+_G=^NL;D%8PbFDhARY3IqN4Q{q#pGp zSCwHuJlMO4XOs0~Wx-tfJ*!J3k1a3JdL_YJwfB6DP)!ZOejeua<_(Lbb;aomP}`M>I@1HL zecb8$D8i}|wK`TT?U46c*p%)1Vw-I;U{$EFbife69T(r1?eu*d?>?RIc6EVUH-Epe z=@3BdT zhZs@&2-cRiu?SA*`PwV3F?hau%wZLTwE+`{#2QEKdcUf11c%5NSO~!+$jgEm!a5wl zQcSaqRum%)j+3b>U4YjqBiOOSyzAz)t4f!BhYD4$c>+Gx{yfcyO|pU9eai54&5b`n{i_274X%x6a; z3kD51m`BLEwPvXU7>i+O(3fGnm-dlG$S!!quuu!7H^yiXf;2NOSh_;H9XmZ3N{1l5 zfFj7CT!M3yU8v;>K}N_f2zNreLc2B5)OtmF0WPSybDm7MmFk+jYevXL@PKzTnvk>f zoqcqEDvz7OWVHHrP=qe6q;tZA9@@qHPZn?flRxMC=408MBBRGv&p7trc);2%y&V-~ zcmpGO=G!{EIp^BCsVLmEX6z*^T`s=>t^Un`}=ZIg?F>51OiBTfNFnvfzsbz!9 zZ3tHgijEP;2I(eb6jXJbw!N9@qGMd}u;JihXoPbE;8*Jv0tff(1*q(aBl^JQoS?^dKM*xrs6H#wvMTskM zMjEP%4T{u_9BtTNMl&l@H0Djo3~651xUB|W;*7^$(esTb>t21-kx-TVFD^L;;c zKQbWdYXFZEN#I(*h^ctht~O&DBvC7+02%<5L+Bh7=JDfw?F!I zK4o;$NVc@2gq+#ZjuLWaOFKFeBwN~%i69`j%9b|jmWTK_Q&}g{C?_ozJR#p$I}X!r zaxu=?7^|VS2A#{A*<1~F&R^JHo~a<5SZT|WC({47gT?HtaIKlHpY!g29(m(iS63*24@Glb~KR?1~pq=49oyz$6C!5mK?FX-N6eKFkh@48YY9-7Ei=&aS|A%ZU z8LXB7wer2k#04?zudzve;AtbX_qoE^nIcz|IiW#iI)1$8NW4oc-K*hc=A zcPQz%F!o?_GH8y^(7FJpi}fhmKTI$>dZPS#;lM<(c=!y$*>Pvl`cyp99;7p1KpSZ? z7xXP3|GnQcp(1Y%nd!qwBTFs;h15k;nxdI%zGsx*}Vc5}5QwmrJuEb%C!4 zt%C9_$)2FYwh^SRc0sHIokqbO$IDZ_#4%D=7eR0jXg+3*ma0aOy4nR%y~aQV?b|D~ zxKx5?)ev<5_^#wt^83gflKl%s1^&5hFP}`n7jwy|Z=tD#*p53%4OM;ci z&7!H-3&if;cDp|aNnsYGqwFivm`j}mi1TDza26EXXuX=J4X`%Z^=`Eyc$o#oHo73H zjFlV+-hA6lE4I-DF&Gh4KQQzd>MVuUxu;WXqY2WyLy*q=PRhXYt{KOalL38?)I=Xa zY~LQE#4#?31SaPc%aT$@l9#Odb5RGjf~l8CqIcdB$CNd9TU`?q8sWh-9kTiA98ggQ zV=q0by)#$f$W9%dgEpNS*R$?C1tyxW527gTQAHRd4n%cE`2uyjgZ`Z0{6|Y~bDa4K zm1*1i)k$2jxI&Yh5)C$1DR^0@_f74adJ?%fBVwKmr49xzx~H3@Gt|RDudBTY>cj|$ zhTid@`@m}UTqG!pm`Ckt0#>z2IpLH|Q|uaH0dB|C6f5^u^@XZORGMhh4yETbe_!sS z^nGpzlG*FA1(aCeM?usD< zaQdyZXo%WqxU>jmi*4Ieh3oBLvuKSE10X5YmhU=BixAScxz-H3MrqM;Wfi5i2C6kP z>>8y-r)_Ue5H(iWbzv($?cuG}*kguWqqOL-9&aFv(jvrNHJmxg5Lf7c+REAz7YKoG z{GSTmlI^|9cKXiC5e*5--zYI|X_wNXc`49WP-)Qw+<~g!AIh0lf?(yJe&S#J{Wpb% zQ_4Npa7MrfuVoqI{I(}wcv5smrDf8F4K*oGG~f8Y=IQo7`)l)YTGYxS)H}bUG0w@0 zU&c6BE<9qA%7vHh@Y!kgVoM|O@ZiP4wXm8B zx85i9%J=`;$NYc45JYU+S#x#M-LBuKM$gF_avq7MyAJaf#M!Wq;J(mva=}u3h7NOq zj&kQ4J*OgAn(lTdaJ)&ohcpIK_tA55!DzYzn4;rm^bZ~jq_hiB7A*I= zDt1x~D`IM(N)?wP9UNsVv$#BcxD4>((#wMA}0>X{JK zx1stKC;`|{n!vh7307>?Budbq7nD(gQa^nJIZPX>XOv)%B!E;2odC19f)z@z*_$9z z46R^B2@w2qst}d%zE_f#QGz|N0Vzs29d&qNRmzmhqE9FtkXBaoR&Lu0vZ^=>JTItj z!_G0=2=sRuqL^oBY4qI4#(Qm5(!bZ0(T#CbyF4_Xs1cM+mA;b^2HtBqV0f3h=$o&L zPNfIwIR=_9Rnv284Gf5zYnG?F{?nFkO$uHA(|iBWHzW>bjUjrFf>)M;LB1I+s`XD6 zdO*3!gmZSTuaKf-QpG+p*S`pMBzc57?6zBE6Kg@L!yMX3qOq_eyt)u9XSG1f(N z(jLsp)E`-p>d*zd^_F-o2Bx5Jl)z;cq&h5u$1Rh=5X5{J>aGwxtC6U9L_w4{swrCB zLI0XFjP{q8P5XCfJ)R1{P1i%-Kk2Usla~PCr4In??wzY?mH^=H0)T0ksMXd>0B|z_ z!1w(8>R-Ms0su{`yT$XunQH2Q>VEqOhD>T3tQrPxD=Sw3bgcHLc9;Mihq7X+YC5e2 zNXo@9w0JIvY$JL4QBZ|CDPmYzP#}#`gLK+MkYzy1)sh8i@q9&$D0Sw4pEVvQBPgk# z%$iLZSP@RUaNb05&0F4_Y8oEFcsC{B!nC#C90SEtZAoiN5te-Eq{vHFRZaWVo^{EP zT=k2zs;VX&9jO;MhvOu(1oDY%^SSLEZ2Fk%PDuny41GfKtO-{`+@N>Grpu>eTv;m6 z%T(&BQ^O0I-25+$alF$NhpK|~5Ws+MZ}Mo=`2Kmb-p*{1mWouY(*xR?av}nKa|~mz z1!Hd8WK*5Qw#ix5NitL>kU_Lzo}v8bgPxE@KF(=V9@@w)V1ht-HW5$Y*X^Q|ne8Us zHF68~K+iS%Qv-}?%eN+r0mje%;NSYdUk-Jvk&3HZi(5b0 zDpCLRRWRG@9UuN-AM~T=*hfk+9`d$5ru3{>Kz~jc8M+sqU#lszUg_Y#0tEuhevWq)-v%ha&dYG-b8lZC0w$PDqcpCzI|8vO0pamTG^u!Gz&PMQ4~jit%=*Rck*h zwGS;yj34F>Ca1S%R{Q8oWDbOYJbJaOy`NR5Mfs>cwD!N|uTzOiM0e>zQ~hR@z7ts9 z;jaPp+2pt3+MSto*yvk?GP5$$s-HuBf!ze^EII00r=HXw@1dmrTJ;TuRZV_^ey`Oh zx6}6}10VaR`kv!=OTs^v(_WI~H>U?(aQHk~7?vP!;=9)xG;ChSTsL|_&+ZOAn|6uX zP-H>RZU#O3gfID_FZ-kqe$8v{J^Ds=K>=-wP?ki}MoYzfMF2whoWU0;g0)o4K0?S@<%`fzFSP*V z8L87i%AM>ho`3{um*MItjPH5ZwCgSidS~r+Lu_NHRP0*9IhXAA2zq#s-S&iB6#d5} z85aOrS^FB8)nfG7NK#LiHBoFQehTcrkmpxxR##_@Xp$ZPuMRzcN-|#_AeB1LWe?{@ z=WC|pWVr2n-y@on5V2eDY`$iaq&x1CWwL1p!h-hR5aOgUm!sN3c| zJ;O zU?#bJB8oh);V&I91gZm;?eKdrj}uXE`u=I&08jDKVmX<|S)$NK-zeic{SJK`Tkz4m zoT1&scX?ya?p3tq7dPs~=RWTR?RIrWyU82Q}x}9Fd7yriZ`CH!DMH+mTci|GqB=#nJ2~%@WjRT-eV*xsBG~WNJOx+u%-I*BuIiT zxIM0bB=GBQ!j?i9@X37RIW54wcAL<&!Xa>6Cy@-aqb@gqwp^4=#!HA$H^ zfy~HCCbS&}6N@tx)Y$XKXB?5cl z`6rth=QM{D6pC;gl3Ls<`Lc(0!{2=-zAIeX<-6~E_VUFD{je2L-#z)v*R4OA5;D%= zPWkfp|NJ|@HcY!tWGfxO;y!YK9iCH~cEki2)W&!uCb`kH`ye;)eSw0Mf*2B~(L)IG zd3V9%W)1cs&8c*(6spgfro9NB_GF2r;Mzu2$BF{$7Rmhz6Xrw`bxh5YV%m!& z4${`#HACsFN~cI}WnLkEO2ClyiQkaHF1GjVXbCZpZc_bK?fzWIF>GzT^A-IyK8bg_ zx4L!NAG(3KXi3%HV5;_UW?yk5&V~)`US7=f%hya#yF_jDxMW{%Cj0sWKl1)Joi)>Y zwA5+K+-_rakapFqnAS}OvSuunf|&-ERWKe7nCtO$cI%%ouS zFrxI>bvDy*3-+l0R1cwbC9;{0QQwHbTT_|MG|1O9tqIOp=Ljjsn9cNI&DvD>95`pm z*JYohGSeLb55(2OzC>lFyDjpXF4;O05`p_Kw0{UQt)Z*|mX`rss;yfaE$9$-so%V2 zt}u*hymQm*uklH|^UwS>K8bgWnT7+Iqv1QOs9MZ)FU%vT`l=WJW$NaPC$*UA+sW=d z^Na8Lo9{apO;0w{qqg#T(R2s4Z-=#8qnge1euElhiO&bZ?rf$>WF+9g`d`t6wYAO59#pZYUrYoB$zFN!aKS+^ED{RbX(-@h#H9wd?<|3XbU=704v-3RwC z5t|QMR~PlB(6Vjg5^eiI#XWrgfw2z{*=D!f{yHQRf1}hG=?}6H>88E_RT9d@fa~D$ z!hLHlZ@Q~5KvT`)HdJ-&yrs{sJTN6OaJG37BVRUPuW#3+?JJTf6_;D)v_YR6Bv1Yk z*GxAy*5otCn+u28yJgayeSy*;9R<2aK}K)y>DIo$QLv{OxAqD5VRM|hWE^X5t*{=m z&FkCnEpJ8@Q92`dpSEVd`9KPN{oIlF(%x&d4D=p;sR1sMK5VBG8ozvvrauIi z-=eacWuQ0#DU3r6I-kXu-~)jQnUd|af0?+GzQ4J^ou9wYhdm)%TW-hqg#;rux7`K8 zva}pdr|P`k^65>>?Qp!g$bP%O*j0sn5NN-U_rKd*>~gF6_H?nUDj^|If4@fNcDsvR zE-c)wFLu@WL!kbCeg3=s#TJ|g<@euhFLwL<`$3@oeq9)YA1t4aHnw|V;^X>j`R1c< z+$Ak}?{RG5<}5Y;*u-yLHnG(1kdk*?YzLR4Wb+;$-C4yb^0sH6d8RI{c-zyT_v9C$ zib5+X$^%pcz1-mnxyh^32cEKemSc_M=l{@`{O2DVKAsj!dX1x%PQs{+lu`4!aX~Pg zU(Nc;8^Q%gSzmeOT@c;dC`6>;0zRTf#y*0H<5hM+P&R_9Q3UtGOq&@t_%ONPD32^H zp$p;|ujY}ZAuNKZ^%j2&o#PFaE6gyT%OVLr6RH2e{P4XRZ2RcmBvT~Hn%Y_3xG&_j zh4;bF#1GwPa_HoKbWP#yrN}gD-2Q)oEgOIFME{-Om?Th;ShH zj_%V|GTqQ{LB+X(-2ppsO&Eh;Dc#W*sM%F8l7b4D`ng~CJ{1>9ZX`G|=|07l-KUW7 zj2+)zeV^h}zfbwLxlh$^@;%+neLChTp}P&YUom`eP0x@FNHIzJ0@~{;OR0QX$y2H= zjiYt*^tH!n1 ziYpe!4^Io8OZN2mOy%!$I}W(#sllD7&i|aJhU3jGDD>2-xe{=_s{PA$>|gpFV^cXS z+%Dp0&cRURm)3ClB-B=0hkE{ zgZaEf0V_#~YV0uC+#+jY7NS80aXtd;lr=Y!I3sHiYFn{p{6uH%pE^tDcr@wYsEryz z2?|Ew2!Sr@RxjJUA@510@3i;MpI3iH@7w>AAFrv3TnG`Q_dK@wpbX(!|w4M7E0&-mK0epxg zp^*A)#IF0!kx|hW7}Ue`exMxFWACi{l?WIWzU`X%>U88ZlD#8j@9n+1JRmw(NwC(= zL~7gvQ2TzSZk3LJ*l_)pN5CHc>Ka%31I3ScaEIkC;d2;H<5s_Yg%{9HtrO0_6iu}a z@kktjbTz^+_EuEk)0qx-gdjC1>s(SlnRgTWC#hcrbPES-3jbs~#anQDGSJOZKyghY zSosJYw5u%10)w76k#K*M$}lNJZvvFT?Ri%|0@`EQ{z^Rk&~xA<_IJMneRq4g$`)6lP-l@Dp0{a={u^u4*| zvg)Gbsspb%(1YuYKEk%FTEP#&Uz+gQiPyScY6tf4Aynn(eCUgs02z`_S zUU#4>(lFCL@_d1OZH?y54Tr3NZVO$UYL!BeM#)z^xEBKEB};{epyUgD#T{k_(0X+s z&{Yc`g47_sB4{8`T!>v`B8=3!p8O*mqvQ*0MF0@2U=>ORn`#p)icZs1ttmpKK#6tTp`II7B-gDe1Y%$bYfEs`(Zn&@r~2D zwk=kJXT^Xh1=MZLa*KU)+sD_&;E5~~+WgEmw2)6%sfz%;1TZf+uxM|mSINY|P-5Kh zE@OAkrS9vRIdLnK;g!cU46gtU8oSGQl=Kt}-GAb#of^p7Eq^9TTmt#&QR0mU^3yKS z639=#L~{c9-+ax}-~CTsTflz37}qw+qXsWM&InJ*;zV1!+w^R0Hp-)BEF0y+)mE%F z%7}NhlznHQ9&=>$Gvkt)seskFj)(AkAGl#!}r6ro~n;r&m1)OLN^^DL-ZwuVPojFW!i1=FLZR7HnM#^e(=m)V<_wv_*I;PmTU;mh&K zRcn@(<|W(G=9J+rSAeMGP!pir=2Y9cbCR;nIq3#L7RWTLS1(09ckUdHH&^(=R?Y&X zC9x5Qqd!j=Q-Q1J8&i8QuF!u=p%@5+6IbmNLfRWQrqeFb5<5=!cjm;7Pkr6z{M++n zgs4`QZk%ohB3-dRL8QYZu=D7^L}>jN3XQDACIo3rLlD(f0>5@>UTRjPF)e}zaMw$a zi6SR8RIwtBsSBPk;!BFzVA`x+DgC|9H&n z4L(O$AJ`#;9i20h*hijs_0}dpx6;tXQY;$P=mff|PYX@9t)M`>-i4%OgzVEgO7b(W zC=jm+9zfb7))&pVs70=KEqPZHMAJD*QxG$)Heea91tpa6lUc(~QxL2~V*hfhl;mAq zaJ544Ou-e(*s)?3)V!-7OMmv6-U^S8xIw6LqZxp}fWP_gK>NK}qZDa0Ju7hp;{OY=F^#D?Da+vRUr$Tqq zr{zl6?YnO;eOiyPVth`8_b40Tqi@vqY`sB+Cto$^78l?*B6;rH6D+$Q#oP zd4J}a)o}FrZJ7Eev2?ilvW8ysy7~voKuOEGqrd%QB_fiA#Jo)y8I26n7Rra zP>D;W%gtB1%vXAL!=BMfJ*Bs_uHIei>aN*?i6a_PgJIF4Q?FmjOea zPNph{k9-9s#R(;p%mZdYsb(>+`-GvNFqK?!Bg2xjU=DS_M4=t2Fh*wg!;#OS&Iyh_ zOeI#_1H^20okN|&W<`<Q2=7NZ%L}UWRR)H4rVwc2uY!H$$eA-E$ zO(mHxkB*O&HNlyNGpZ!>^+9bA>29$mnq%mARFc&N0%4Wrh)*nIZhoce3ISV{kc`bc z_3wE$Br7(*5YAdjEqFHLGp9QQhxzV^ zJ&AMOk=u{Ke)zxr^?&(`QPik5xsAclrg!!DX(}2s<&U5cL%Z5Qntg1eksO1e90DmE z=ov6u?-hKpH4q5p7!3S$r0S*M7R*DumLZs9Fz_vsK9WI8*9+Eoi}*2ejx}~o!R=nv zQLLC_uucIY2x2m4eTLf7dOo&VjC3>Kb4Ax<WZqugoIT(83IFu_ zK6B~EXQqB-sH4|4F8%mRKfY57-XK`odJ67Az++hY@o%snANeCXy7n|9e}rH682O`S zlYt$a=|WXMA=V&V>dP+<#>;o`^iu2jQa10u?|q-Y`_@2KwW7!S1ihTl$bQin4}M(j zhp>+Iivaj2*e}e?wVp?i?MaRnWV=SB zV{72&5oFbu1Q!wUQZ9+I+2$YxS#?R$CE#>W>xr5d#`F2S1z9bUJAx7-xiUh4wD(+z zivii7UclZvjMF4qTdkQdk5B5P8<;)B2Rd*Ac{r2h zN=+~}qa7ZY@z6rl3Po!vr@$IB9*QCltM}Ld@Pr=8FlWX?quv9I?d`5J9vbBy$cl~D zq?BrpZYNA+vFnFaH}bghu`h322Q<&M|Jh%gk7ZMJ&7JUvx%Oz|mV1w%E;2nQtjijy zgO~%RDZfZ9px$teTFsJ+K<`+UO6fJH@!mmsFFbR7P7})<##T!mkE} zDM4sD-a3_nhr4dBL+@ObN)c_4_g|+{XwcKyu#H>ui<{lON8c!k7JnKfPX#|gcy_2) zkY@p8KRYhz2oiQ9(qL;RO=KXd14Jfhn*1|yXDt(yKRB@+1qvC zskBZ{_By6Jg+&1&A=A1i*(pTb8h9tgH^NB7#^cFOA#x_j3)3;f9H7?2%}(JSycTc@ z_ef~3Wxizgp|VqW*lds3HC;W>N;SK#oWf|CdtKVwXf9W1 z{;Es4pJZEkFyoCDXT>{}us0K3)ihjEtsbx?;vcvP=I6T=iI-&4L%X-(lUb;4WMmEtI=~^5_o7c^r z5yC1Imq3jG?zX~zJ1WGM)L?#$sAb|n9?dwXFZ`yLr@Lz9K?Uo%Yvl zPKZToH%~N}OO|3KOmGvP-~2;X)w+m~*=2$guZa+pPy$XIjDWS1dDhbt zUGgAlMbUKBYsots<`z&jloQ$MD?=OujS@}LFLk@a(#ANi7)U6OlMBe_HMxL7e{XlE z(AL4d4HpoFL_kYkJucuM|McJS;otQkCA1vo53}csJLTMQ3u>ya|)zGJUf zBS;16f}oEkMGKTuw>GaSR+J0kG?+UZFpAncDc%WkOC6W$D`FkcVABuV(ZwU^6)RGK z7D2RbC@#1LWY7pwfw~}S2&s~B^;df%eeo5~YNkd9al&@e7-hJ&DzN(x1}gs=edErW zzcwFNi&ybznWAm`x^$4MY(EUE^_5ZSTi#3)W53r0N+$u%@N$DTuDvfbK-KGgVf?X> z#8~(CR@0hPlf>{dw)DYp0OeG~*e?&zSZTlWH9-et0Pn6Lf`eqbe=lHsNhE-q2+(P7 z@974;3vR!iZ9QEH|Owp#C~^qsHGTh4QVZuDp+yZ0ti~r7S%?B)A8|N}(DPKGe>s z$GA<_trvU<8CrP6=?gNCGXX5kd``@X$Acc<=b-zl8&L+y=kN2m3VV#{rFdA<_c7?c zB11Yi?i9zH+3`8TCNyMO3S&{zW(6vjp`MpdKl!|LS_FF$)8GE|j7|R>mMaSmu@~?w zh5JA^zyMSZ#wWdB_0>Xsz^^{@G`b7QVGJB%mH!60l*7yJ+^=%#wy0arErjjSi~4eA=fKr9N>6@+aoR& z$ZgvdD;!{l34S8D;nMYr6%MdLf?FIal(lQml7~T--Yzlw4u`3dAbV<-tZ;z+4!@AN zN$3P_f1nBnz-$^4DZ%l>4Bz%Q7bng44j7t5Q^XcD5uSf^3GQ5S57*3&b8DSqenyjA zFZHXMo?-Qy&)3Y;wFyT@-sx9|&;{MoFoU6f>rPOHHn~((*fRF+M#tXKtHsEhR}qfz zpZ)rO^HqQBYyoo`Ix37Qc~}?Yuu}88V^9UX3PPFQ zssN7Z*`cEVH)X~BTAG0JF;W5hiYN5!$co5~3#CjJqyjF2M~ocfuEF$blTPd-K)Y1H zF1TNJI#dhrvQ<@uphU?oiNRz@$iuCMoF&GRBuQ$DB<7Rim*gN*w97(O=c5z_?2_nx zRTvm=#PU><)z!gFSc#`kNcd5ySCaYi99C#ol2gaDGR0cbapvp8G(RNCB8p$3U6V+; zA1A7`w62SiiY54(XO+6DQd8&yJ(>FXdEKsZ zQ}Mt@gcolmZ%&G_x0tjUy!jkO>sTk4b2yymAQglz| z2$8C}iV)At4?{#-%}--@XcZwspgw+X$MH)@m^Q1xjYR`X3G*_4?CwX;r@+v|!iYi7 zP!*=gP~XDb6b&&Nnm>E_;>B?MJ%v$t7G3QZ|J8T@*{D`g5Y?+y3{pk8hVUt1tQaan zw7|pBRwIY7#6n3`z=BRpRh;_sMiS7AL7bkdKs49_(zIZHjFGA!cr3Oqj!{&P9b;Eg z6<~zb;@}V*PF1WicCA|RtW7L&iW;npFjx5UjV38Fwp=62ReIv#P-VY?2Tb156kK7z zt%aBEWZ_sPmo|rnous*6^0D5+fJ9{!N=cyBn-!i`Q?UlZNjf*nnOC#u=e#Q^ z-U-W7+f7`aqIr4ZPOIl${~3QFa3^&{z5b+ltR?J0JAgjM?y;&)azXgjpyXsUbL@m> zB|FyHueP!hI9;H#Y(4PoR_{TiDGvB?14^34tshXB4^U7lvUdk2d@@B8+(FpZRNiGW3p8#cFS3Czf2Wa+4DN{IzYWkSDeClGOJgRYC|A@IR420!{%D4?SUH*x zt(on~KePOhW`6Bw{Np$M;Nu3 z6R*ymi7T_Xk;A<@B^(Li+7%tn!j{aqrDKPE)8X%y)VSqi%Xdd}vCN*AQ2V7H-eY=a zrfy!SGH-?|^P9i@m;T0&MC73t!y^wj3Fx}k!8l-*MxwgT{bu_%ni|jc4e1k|t%p*2 z6xG!$X8Sgp8n5izdUZf09edEg=PB;L9ez{!-l4AJa)c1BYA9O=BvvCdT{R! z=x5RM^+B&c`pxmPlk&8#ADA7Z(9~<_u(tMVYv;af+PbrUa7A#EMPl7)A&D*t1j*jK zD<$CNPY*z9X>Zy}ez88NWrVl9Y)neRMBaL$W?j#jGxzt^*z2V15x}x6+8WXKGmZA>)m}70PzDo1G(57N^+!WAQzjHdHC901FD+(*iVX^`ifO`-K>t!Aw8%2 zeu={}*fKPuSE}($b9p=Y6wU2(A{)(hryWXaI4SSj28?jLT{>aH<8ySm82?c1+I#Q1 z^l`#p=w*=SVvp{2o#djA2>_q;DQlOj%?YYb@E?1W=4#R zNBznh{^nngI86afkJCJ{0WK>R)Uu52t}{fViJzPyLhB#vuC|XHz%SF*awQ}g`bLHY z;ABuyrk!|po(Sl2VcckvCTEDob2K?aG@hf$8KRLKjkS;Y#X%km`736K(8N|}bF6*L zB@rcRYvBnxw@vg_8KNV+86Equ;xODM=S7dp*F=LP18(dc#m=?l>^Mzre3Ri<|6wFh zYrF+r|k2^y6|q@EbrE}dA97*(tQfz;G#E``l1xP;IE#$}dI!(|X@x+NOs&4~qz~u?#)%)0eyh8{Z%13I_Pp8X ztH53y(4sr=s;GzrFY#H6l{K2W**uSAEz#G_M_==MJnYuKE%i8U`62b#eds5B+;;{z zr6`xXvW9t~3Q|TeaWp2%FrX+h_)hicf(HBm&PS_%D_CLL@Vf9!Ll6U_+W9RR(g4ap zHNOsG!`-@pw3REucOCRStK&Egp|+PWLD1(b%qfRN-?Nn-k9^iS5 zy0>P&Jf%?+)CW>8or|?4tKe%!qvmPbKhAuCqEQo)b!GKgXG86KKNF_TK~x!t4X5Rn zH~K9fN>{PQ>ld^0VSn-Am@m6VO?N!}b!pUmj47&DY@_Dw!&guL&X4&wzb90?+F4ia zCH6re10V+@ zTv3nJ8*ao`W-7)ebV|zC3JA)|w*q0gN*rkHTVk{ujM1!sU_OLpcm5d{|0Sre`iXaa zz#D%idWhAjaTQryoWowHyrV9pNx9YidZQ`P>|S-7Eg&vv0Hg(bEp9p62kvJO6;V~7 zyG|9F9Tj}l>|EvUI@6^gn6t5%?7kL6{B*=YK&504J!fO#$)c4|cJ0ViteCxDv?i*O zLh-EB;pP=9?-xUzv=0uvCm?6d`<|rEhP=BdfGRrX(kPy*k;VM9QB&$3nxkhMnbZ=P zQ)sfw{R~1&?kxXncHC@IGWET=HuG0FdJF6QypmX{z)NLNl7{m#C?HKKd;-#hUl&yj zQU=AT9w?`UUoe}}*&dGD(s9$4Z&4CvF@NR@?tkUqIj7@LOv%aQtmfESJ};j39N{1E zltXo~nxhk}JU{KVj)Qk2Iu0tV4cxPi0}CCf;Eo!!Dpkc23H=EiNBeKa}0gJPg^wH4Qe>iVJHWG_2{sF z{TWYx-FaSxEr`8EHK8O;%L3vd%{nZhl*$8nl@23|r>xj_STvNi^^uA!yb65;F#BmB z{pQR__G^IGvQiH^*TYwYFG)%_dVZcajg!fKTJrq%0zPJ_F6%JpSshmiJ&S22Su+89 z%vE#PA$Vx0k-?_d!!t8V3Cd0OL8BTCr_(C=hF1Z&fJh!z4F^I~HP<1=7fC2@8`K$R zURBM3lpO#Aj}r_GHQIdEpHy}C@Q7ll)t>g%UCgbFYjt*L(RepSrj{OWSz34%$RL-? z{H4q)^=NTBIh1^)(tGw?5&SCyhKBiWFqDj}XR+b1q-QZ;G)>f^zYEMRYnr5G!(sQ?nv3qpt{h1_q~4xET9ONbukv;TYut+lo-g)MOCc zD5XOdq-HKFYHbGvF*UAPk(${BQTWF3QE2o)ZEt&wqM2Rth?9|hpH`rGZq7zKl>EHA z^-ADKRNbKkwEiWvL&+pTkw&|~y{~LgsO=6XF8QOfca+;HbLrY4fh3tP&jA@80b(&J zMS#ov8);XHA15rV^rQh}5hjgFvc5nY)?MQ`H)~--%J+s&iS#pCqruJ2Na`Xh$$W|6 zA{ofBKFK7TYVY|Pp)iQ|5#Vp29kewedDhm1jaVg&wI0@|#=-`_=*HZ{RcjSwl}q>b z#U9w7Jjpc|oz>$))2#2x{_so-t%UKBcN9+uN8kTf8nEcQ(w^3=kQuUP3Q_4!N?$l_WPS~z_l9HetnNto;a-ITtMrrnA{tsSEw+hH;|b|ZJTZ7>=F>MzE$LZCWf*^cky z2g?>n5RGDi9(^N*Y!vl^K@;dALy@o}91zBRJU=?HXZ^Mij%mveAsny&_deIZO z3c3|4iOsM0A0FUb11&a4exEf4Mjcekbx4h=iyqa*qU1~vx1(|Mce`Hswb&qE@woPZ zLx@b8U5kqI758Ahr$c){RbYFJVuMWZ1d9TL3c(fX2AdT{!TGLFC`k~(HM#HeSx;A6 z5ALJJuP8BWsp<-i@?grWA=MT4A5?%VHWTEErCnW_^zFm0ri}2wXknkf!uW~)*B|l% zWS<1c@Z20RKC=2DEqd}o+5;M%#QJnQxC*VLJea=b>7>>iY*W2CYHFiR54BdG7hAUwr!cfgdsRGcE(G3b)!Vj}c>%?x)}L z6(8~4=Xz;^z6*r1iW696g~2FZVT3rCFbaEVHq!5xtCr}(x?rO3TK86@3+sYG-?iHc z^>|*9F02cpk_%1`ca1^LZFde`SQm^DSXi;Gf}#uSf-wRMgfDG{8eKFOjNwus25YOo z1V(Ym7%m0yp*_f|mnKNL%*W5?EiRg`8Khi$;OlUbLh`JWq|UAluGS5!X@!@h+i3`i z`alzl9I`Jp;7=tVeyEK`cQwqQHD%@S-Y7i|LNBFSH(08*w9_m!oEpy#bK|!#%F<4A zGwn40>3cr=|9OAdamBRSbO^W>*|*P}#6j|_8K2JS5Y)@D{11Xar`1LV;GXez6ftXw z;^Ei{8CqVkPKRum7Ql*NO=}Y@8Ev=0DL~sX52ejCOJ2`65W7l~ zss=iWgUSbPomC*`rtL4D1280|EcReOjOP1-{u-t|-pSG=)pQ~IgVPoqtfErBp~rE^ zd*j!}orEP*JulAzGSQqT&@`D>LSB39q}{x8GXYCi?cH_NPP;^l8}Q`YpT1b#yXo0v zKk&jQf9KaGH$Z^&9&?ae8uGT*xtV%48Hlc#&t~dM2UTZGKWC)Mv@@1jw5h9{kwV=R zYZ#EtIG_WnLiDxf){+E>tvhgRAn^dwiQW*ioRQkEFzJFO4g_WEcEy~LLXCr_@yXk& z#buFROBolIBd~@5Ij#+kvN=YriqQN7$col`&5oc7uP2!@E@<~!Yxe_wQmJ*wzhut1 zu%t|D%TVFg?0vGyRtwj(U#Uqni=7CuRk$we4a+L;+^YI(^Kn>JQewD}?(a63gFI8# z<~~qEzr^x47|UO~?aDKKexZg#!Cu?dVy5rVOk0X|{1Po@`gY9p8~)AxkNoUtjX4Nn z;$~X6&=?I0R>`Pi;DoUz*hhxCyI_!^gB(w-ZwS&%7eRFOO5g~VJt+)4`A1lhX4(a# z=-|LgXI5{-iuCMV&=3Wf={2T~Hi9(MF1Sa{G!O-vX^gOF1Zk#=AYgu}tp?fNwidh{ z``5E@jdgQ-=C94iy{}X5x-x2ukNSNsl#18s@sFG*5#1fZExz^kJk(ZrmDwj%MYo?Z}{h*^3mt{ z@V7_KdI8do_GASYq9u&#(Y8P`iV9j0L_eA;vk;_uEP_XrGE(qdXCI0q$v>tId+mx; zkG>*0sI~ETsDqm7(FIX$iB>tThz{!ZWT+lpkc_DSY1mu`0yfcQ_L0@5y5PY_rU8WM zvvuXpK4?dsZj2m^speQx)T6K2Vg50WlD&19VAJee)MJrEajHn7H(G|uY-`WbM)86ytK}LlX*vvk$&xek0lBD@6dnYr794D%7>tFMBlylF~Y@AG0wnJGJ z)w*7rDqpidc`oKoV4GG)yY7Y~yNoWoz|7;+g|QI_DD?qyJGw9=osu@ixrywGRIbj~ z)pl#2@ilv}%~V}IZTTTx{cr!{KlHvwgHTLe?q0ZzDlLsLp|tdYG~c`zE_bTbfqokn z8-h7pMpw7CUUeXdP-PH;Ib23IoqZMzZudgLbyc{GzCE;nh%1YhHc>CJVh)!Nd;Fh* z7;b4AD+F`6eApauvLeWt^mU|8SJuA9VU-22SEu%hQUa^HA#A%ARul4`Z|^;(>NRN> zNzSIGHU!R?Ru-l1gwf|j)@>IZMk(IyBuN}^F4ae)8Rm_p6Bdf+bi%To^d}$Yv?Khy-bfbpA6Nh3!Zj6R3}kz z1KNs4km}S0qvjX1W^Hls6*a#g-e~KMMV02I(5mY`R$ZQB+h5Dk`$Fy zG-P?xYDZUid{s4+YkHF2gXgK=-ABBCw^}mx#)BQN=UEbkH<&1Vc@_Om^+)YtI-{S5 z6zIh#e(`U6Po!_uv2_LN0U`i{iP*syB#?vCQAi-irlXNQ6{sI$Hx@!?>|iv4&e*|d z08Is2c6|f!bJ9M|FuC-}`QFZ~;`l!FNW4?k_EN{mxEb*af+oovJ3xLwlA?KE;o}G! zd!8VIw~DPm+ij~aRGv^9n2V#8cgUM0IaY08+B%jpvO9lY(Dt-{ZigqvvACJ7fQ}_T zj}tyK7FWz`ET=zDICgLo(RMGmyo{x7=^4wX-IS5r42=EuKBH7#f*ATYf9(Aq{b}L! z4eP8uXt$(1i|C{#U+sCd7}}rSR{|U39%m!bDXP9V8XCvRWSw>BPAubMjkeR^gkYt! zZi0=`3dH@F1bXPqIxAt;sSw9>Mt?jg$3A!$J!_5n{m zZRP~>a7{?ZirEK@X*0m)+H6nu0b|+>Jm)qemGxXMY*BWDxS>k#a%YLCC;j`})C~8P z|AnTDclmR^k57i)QDVHJNF2)KU<#6${4MP+O8#2wAc9Y~qO%f*@D?3JFice*@m%B) z$GcA+HT+31R;3eGf~z=T*-joMq3g8CM>Wx5Atzt%OfWFw*9D?4{w4{(LHz~jd^WCV zclunFxK!8PU3KlWOVmOOOE2ur^um7bSN_lM{<8tkXpM-c3$0@0kpj=4%cEB;#>dtL zkDE2IW7etlhh59_ZiQXYa+ZJuQ~g-w8%EQTLzJ~*taW9A&31+;OL7lhDh7vN1yKRk z3QFYdf?zI|iVd8Mj1|f&(lq_P4f_kp>+jWn5t;5B0iw~%6QbmJBf7hM=}0Zo`} zT;4*+Fpx@HN^IvO0>x)b=1^Lo0&fvxo$!{_AqDH0K81CxHz#1a0>9XOKG!x*Sf0w- zAEPtNw|bq`xc~Rp{P!RF9ifxdK(yfk21_e{EQU1p<~b%;g3NhW7l-9=VYE0bhYJWh zP`8G-0#hG*Q!{e7K!!-sNt}76TF$H;lvfTHP#S>B1!y^txeIM>cG+{daNG&#L_sFs z>YA$@E{xWtRpG)&VcK*x7pf+>a8&hdk{YTT=k)WOA+x`DrPWXnaFwgh1Mq*>m5J|h zr38-*=(=SV#=Noxfs>QA6R#n(9p?F5hwXNn@AwiR$e;OvUwL{>ARek}du|nS`+^{! zXS+o;zhv6avfb_ok)<(CAdHI7#2AlE^frElKHAic%*iEy|0u8oK;&0jMh& ze+`8Z@BA}=jZcQ&Q7S1KuS@dRrEdwC$xGu@O>lD_#*11IhPy);Ota1OL>GkNh7g8d ze)pd|KfW`MTx#+1?9GqPz{}n|iqfWywmYFPSIXEp#EC9-p3RiKdCWy26v5P|8m=su zz4=>6rUEaK^ei~ZdYO#Mn;)5$H_5t+k)laVfSp?8!@c6M>-i5b*|&lr}muF!lz91@z(?c~8T-JUiphyJ(P_|9MWFMjwF zfBU%sOUEteSCZBbJrc~eW&S_;`J6G&83kEkqV=-I6b0BoP*f8%MghRB;3y!zkXICU z-}&+|3z}-FLy`mNN`EL1&A`hMHJU+Nyao)F-F}Wm~-pGuE z)7cy(6Q{D$(;B|d6)X{N$MFf$cU zex+rkDb!Q+HRnN_u|PT~DP@Y0c*Sj=D)Z$2N~)IIp<6fY93|A^Rh5Ylz@41F&+YVm znPwOJ=dgz=@r*%B_4m1*zAuU5^nEmW9INA(2R`ke+wpzjnutxULoC=2)5xc5q;~De zJ>%718~d5I{1AM7_>k9qQsR}<-V?`utP|xCqSm5lI}ppM!)qT|^`Hx$Hk=6rb%T+c z=K6&oje!e-CY~d0kR?ZDo>r2CAa4j4jK;dnN{H33>cHzT@&>!+@ELZb)y-|ZMgD*G z-UisVG%XJzkl+mekZ6ShQ#Tl=Qnm;8@7jA?#2M%rOdJT|PAeqB+}xh&9xgL|`qJHW zhDcIq2qZ>+MlE7#7$#PrOsX`RSTW!@QfL7Nh>;*>3KFA`p`=8DgDF!H;`6*e-}`>w zT6?dx&pqe#?VbhIbN4#?UEf-3ueILa=Y3vZ5#yGb`w>B#FdB#Ev*3c{d%#sg5UzH6 zjx+`?2xGxR<6`yzBsPZ@W8jjQ4aXcimOS*^1nUmG)q0PEGw}rD)~-ooP}VGcbxXXZ zmE@@Er20rYuEAQX(m5w5E^Beo{GTo}>^!T=o2o7Is^!!hji^VP+)+2Ti=3z4#b*fh zs4(-Q9m!FLir)scE;UH8FEu>U#20|J!f>i{JPIQKHcfC$BPl^WXtx zX1LoRm;+rB&2%&m)}5Vjx*z*#F3~HB>TugLNi*$Noq9#tU|wG}&9n<{!A~bxnk{

    Uu)2m;MRj-S9 zwyC-+EVX@jF@+oQr@=fM!d;< z_RW3Hcj_+ab5UzQ71LIH17F?#-~bgz^vbE}F+d;h!v1gg14ExE1s}nEf`LruJjvy} zOzV_ME(z0{-fMt(B}-d6Uh2)MXcT(`MyydUDLOk0x92cSyG66Za5E0WFaELbefvkE zQmfuu8})aX9uJGiDyZ^R9*z34>(6m5)7#S((TdWR|`GUQr-wXaZV$WcE?Mp&O_h`yEP*0}0X ztEtw>3Wl^RVV3|ONgLPP?_yRlSd`2Zdc57`c-yU_|2t$8<8Q;(5&4Hw2b}v|t{a+p zeuJky%Co_H`T6&}q$=~d`|o}EqRhp<|K5A?)szuhn7*!JzQhX;F5mU^{dc@O*1350 z8Awg=Nod7f5sloU+2Gxb!F$(JpZ5OeBh9TfhqfBMEqt(>ZLc)S2-z#6;0Z83SVWaA zH)&Ok_F<>2Z`11JB6F@r2XQRw+e)cm2ZMQ{!Sh20aqOB#w_Y(4-& z2-4t{6<0ejaZ5FN*DEsTYBa)0e6M9|bwvcH_MtVX!wGCRr2cOh&-mou`M>c^eA4TfTwtDw7tYIkOBN*+ znE9R-;sv2rK*P}4)C%?njHvZF)SRx?uif%ayG66*oqmg!lboaFf^E!q|Lp5ud}=H= zjW%A^MUf!J*qS<3S+gD?!X#~hB(cpzTPDFk!Jhm)nZ(Yy>CR)){Q%)o&P^XSOWH~B zC=F!0Qw|GsEqgKoYqq=1<>uVDfi_@9xmU~GsyeEp+3hGVOW?){=X^&_zzeKs-D&|+%L*x93`MvI`87Y&U zUT$QvffwEdcT>GNA!?-0;4j+jUPSc%xCQp6jYe+K>|WfAd-1J5^PArBrt!dDyaxF9 z7>&|mp6kH=z_K!6=6mo9Hi2su*e?)Ch#=;4w;6yO*l$<-o?-Zk^StYLJqPv)X1+w1 zQZ1MRdrUbc{VQSSOPoV3m;?L6YEK)>41ia(BVMlp`_+bgRSefP+n%!&bzrXpW_dLW zV}A4{AI%ukbcrG32ertPfM&;yahS#U&J@Mtp9nyxFG8 zyNKhj#F8>C44fnN>3VvO)Q=ebmtMGk`Qn3@9z1_}@1+ONJ#)c_YANM{@)2s)HO8Ii z9$dbBarw;s7X;Fct*9~RcV2_2znW6UI44s7vfuGr|I)WkFAAfXl-4K8qcs#9=p<%~ zff+|yy%o$w;WCRHFlwR9$t%*7dI=YO!&YYA!Ui^nu8P7a;Yx4`!ea|Aqt=^?!X@@c zKd@lF@}X%;{m|&3wOQoby#&iyFhjw+Ejm&e*LKKM(A;N1F{QqwB`5?6F7#=YBvE88 z34TUR6M#`c(gc-chJtTF!Ed$ePWqNBNjf^dCV=YnUwCxZ#hdfYw?Ji5UBQ-?bshbSYA$l9`Ad5^ONhO3GUp*7JQ$Aj%zltFGH8JYZ&$lg+UUd)m0G%L~~x!`WK^A!))#bjUMs^y7w0tC%QlTc#WW1bL; zl$K;I7Fv0+k%IKmV)W@}Wqps)yi~*In)y_=;L$+xMEI4P+`|^=L2F zN>vmdw^BLziZSpNA)Fdi`wCXAiUq+}CzVD-m%S$59BQ0w;?0pp415Knb{ps9STF{@ zBB{*MWxg0%UcZ>rExhy!UGhW=ug(5)$r$*`RCaT4soJ_^415Li6m4{z=BSNUi`e3r zi}};9uQvNj_kH{Zz7Ck`nZe_{V(m!=kGVB?i4@-IUDlndG~XYx?lJOpZ(#_3`4FYzz%#iO5i7Us{`6{ znL9YZz`$0-j2BJnx?rJNL}#mwU$d_ae&vD)A*WHWBcqAzClZmE!iGr}^`Rw%_JK9K zH6vpRqVCxU(vbV1ksDUmfTK4RS`_CfA+)WyN1wBP7>^Be!)fBcK@ivib~aquA5bDew8C8AQMe8n0U+E-0_89gto5dt1L##g*vXcsK` zinaC@E9Ufa%0t6cNeCB$IlT|Y z`2c<@OIV}(w>fl9FJs&ssCt<+Ml{SrM=BVhD}!7+6Tp?WD=dj5z-qheN#^u22JMll zglmFLwOx}1PhS&1Ac4_bbGc)>ygE8l5H1M@Gvxt3td>#}&Q~sxi%TL`p(C}xzG)%0 zeRXHlEl{&O#u!X%FOnDun=QZo?bS(};ckNe3wL3r%{Z@;d6G5*@pF3PfiA|co6yCi z5!+p%^k+}@hym&)!l#!0rY+ybek}d{*x!Eft^X+E76os4++t8JrV}|3f;Imwh;nNv zWX5$KmQX1Y;Gn&-6|8Wblj(zjn^2&~Kp!UWR5?c{2LxmiqdDtyq;7UW%-=xXkpT|+ zOsMTM3X{6o1u-O#)i*Nuc0B+nb#oCsETL#caEVB#UQu*&kpv+F>;jiuSmTC$1tV8A zY<8d@GRc0os3ahLO#nxzn~5r2fV;UldcHfr-eASJs#N4QbvxrW>@U4?q5ge{*+{;*9Ii%Y{a;Qh0zdgQMMjTNLsCzKa?;fAa4Ra~1LOamvtD*5c zUX#59nUF*vAQyzep+yQo0RUNMkN_A7Krob`x1vO7CWvkk(D>4BZnuaM>lJhMa*s)r z!o^3xW1Ez$vzH5GH@PBaps2eL=a{pXh)$Scg&;yc?P+nr(J-YL8ns!smc7)&fsM#5 znp&;f>3y7eiYi#6j3Y^~#%4T;xQBsK6dy3LC8WvQTd{I`{(ZMFKAe9UzNYR%^Py~`SRW)YB;LGR8W}GSlq%4k z^Tmj!v)rZwAH2d+iaY2ar}fQ(M~XWu8OoRiQ>qtqGaKza!`xjPLlTFsiaQ`SMRH7< z41}L8ub7KFM(ip;f2oD!s!1;HbPHlKSct&~{ybT*D(>tM-XPgW$ra{THj-6w2fY=N zibb=UOzW)H%*7ozrwG2V=3xoXv-v57&C7lVWEj_s04d4nDgWrBv5=bO>nqtL!#_kM zH)N#s6wYnoa<-G=?B`gbUhm5Uhv?O4F7h zNW1QW2aKzhY~%r1$5xPby$B+rS2Ku7r5Y>8inQx4h_*eTC6GHjfEq?q1R*GP-6eNu zD3=ljWJXT9(9AlpZah z6b8%D!80qrI$A)*NvhV&_Xmks<P z@3MS)=t+Wmm*tbQ!_!J92jbqtk}kkUV{?CqkKV<2?=t>+8M17NRHx$J!(KrWe=6S& z6eS@q&i{>XhCWd$wMM$*;s3JEoF4iMvNB3D>TbywuYNJ%DwGHB zqU*Lct_UC6r(Uv}cGoV@T1(19FgRlJE#2AtkjOmdBDq4sUSHykaU%Pzf9h?L>~zW9 zLb#K#=4#c`G}6rNl7Kyt5CbpW8sp{LqchlW$pe;>^F*tWjV4Y~$5N3`=hU2@z zGup119(zba-^kGyXoGGglU};mgE~T$!(t)uwCY#N_h`FY<4u<<;#kAx_ssW*JP%T= z03xABV7W@F33n4zpmIgPachkxu3cK^{a1q0R30pjtLo|aq?#@mljtuG{aHUt(_ZAu z@*-cB7a4!E*B5^)pN3y#$ok94k3N#2{6&V~0J`92U*xBc zqYKt+@(@I1u-;zqAqmfV-FVjP-Nm}gJAlZb8qE%Y$`zOG@V(cEi**h~*4@RrDt17i z-k;mC|7Lly!RuFsDz5hzn~LTV0@ePqo%YB1Zqxpo#l@x?%D9oZHbM#6j{Wh2Rj0GL z*pLALSe&k~^csL8C1~&0qmrX3S&0*YKEVj*1kGm%iR#l_k`QX`SrT3{c zZh7;Qws;j&SOgsgJtkKc9BLzDKK0TY$#et6UAFEa>p>`R|a7p5So#(|jCQD{Y2Dnsjxs%%+Z6&&pJ3VmeF} zLds?K4S=LrRut5|yec20ux*HSsx&3@w3Tg_U?@2QvK{+B^1x}FFG=Fv89_vKR+?5q z|2Q4}dE6M!WS%{y$hrJ^`F!+$B~vesh}iGr?m##LwWHOyz{&pVN2tc~mRB9>!~2>6 z=Du=bWnXb+is^sF%Afz@#Sh*6wJ3jT(Cp<;3!%|9g?SR1=0Gn&6Tqj81bVkRq%0WJ z4GhUh?(`FI*_md5;J~Ekl#f8>5gl6+=kFK26l6+AJaNE$3Ki^VeCs4b%0{4B4EZ0) zMu2gk%^aj;#1kinOs8A~qJR@armHmR$tly-*bVU2oES6Bu*h%D=`qvQ-Ps&ie5IDg zZ_xZib((Yy<~EnC^F^bXkYYdiL5-%RJv`~5_WLN@Nxv=`jgs&vsS*FGpR+89j)64C zO=c_ot!{H2b9dX9kl3?IO01fsgls3n-?%lB(Fs4`iX9Z54D=gyr&8THlKYw$Ukn9* zG)%l+BU6RmAFKQ?({2$B=__%IUh96qumAY^-*|KMxNDTy>!U1#FENNq&4PuKD9hxK zIv~U7>1&%A=?8ceib|z6GlC<|lJl`56@?21wis9mbwNTfhtv^!uUQ?T9}t2$qz(`= zre3t?m_zCSA#YaecEuc0m)Ls;q?XoB;?Q+S?ZNkMiI&vnB&$Bk7^X=EoK}*4K>3Br zFirSY>er;B=erXD{d(EJuSc!B8t0bsP5*jMcVzJrBGlGJhQfOJhNTPp@L~$kxlsNu z){9U1`+)O)69C6Zm%OicLk{L{nZ*-zBNhAP=4g^f8K{C z&}l7zfkY4lbOnl;R8`&^(>A2}xFLc(8$qg5+YRm~<_Jm7vs;>ijupl4@`kj{9#vBo zG**a$niZ)|eMPJT#%c;~7FLap6~#BR6}#0+XZr2XIj`|o2ufMW1c~QF-5AA_1$aBE zLyu~o^75*#xV45f9#;?9Jtx{mwFMf|lJ3A)vNc89)Vk?3;BszAt7^2b(T21+)VM`t zrmCVd_4%;3=FcM z*hcHPNGw_Cd~5{8Hkx2eYgj6dGOsAM(R(<2S_7E8Y>bYb6~ZC0jV2h=8eqrUa}?X? z=eP$DmG&FyQ%PdxrU!-^e$`#aL@Znfl<@>}m<1)&a7he+uxSnO2pHy6lDXp|rZoT_ z%CoE_8EW{NI5!@heH3eHXV1ToR&M;aT&~Gs2Fb+&0NQ+auEW?DyZjnp$ZB(kB~>-I zT)e7VE~W+>_HvzRlo)J$^7}sU6<`1Q0(Don+jBSW$REhFM2&%YFoseN|6CNR`RAaO zB8(h@pu%e%`5bH%@H}FOlk68efKH_}({){s)FKBP`_+;K3Z5fD;&nh&1sh1-NF@U^ z&kWBR7n6ex0JM132wwpIZQ~qKs2-I7-;b+?;BF(-h&dKhg=&(>u5gYJBta$Eo}}_0 z_%C@l>@;>lXjC73RQYMNQShWdTaN+5Z4Voa(~CqR;*d|izQMlBW(seJ@Xi4ax6cT1 zt`r6xRf2$hiv`l37O=xT;lDuGthd&W5f6Y`Ad>_$3-V@orn-YSWF*P-JYN7K&2U@* zLk2!hk|}z=*zII~JtVitTQ<+B;xzo|Ab5~|gAVkdb+Btdb~^+i+Qx*9(qBsvqu32w za|e?y=%O8-z&0D8(UD7Pm6bgv;GJpCQ-JJ#bc`V+j2;k1bdPH4GOj(dc3cFN4JdEw zYoGQ|1CMNvGT_MN(-DxgJeQEuX-|_LYT})h0NZwch^ICjh326qB>JWw>Jt#?-r#$g za+eUTz2-tGfCbEkrm=!RuS8D99x(6#~8Z`0xg5+63j@p zKT%r$a<{Rc!>Oyj~9Hn<3D|pjR*{ zV7l8K@&N(a24p-Pa7RdZI)4aM`^$EmKM>u3jHmq%=Rv>$*{&e)grrxvG6>Yi@BLu; za`f>klpa`eA`@3(yJAAieOKx-oraPKj1fVw!xOmfdGWJ;0m zR(zW*m;+iCOx2@1faS7TF$c70&C@(H5HiwqpI6KQ?XEk(Oh~kL=*mr^N#dtJ92*wqO?BYeV;+g5wNDyM~D_z?xIIK?x=DucqioQ!~AgJ<<_DJRxYkf-U z649sZfUFN)>(h;*9QWv8ZuL*rr^rnzX&c7S^|)IqeY)CdCh@TBB$UqQSnJdEk|VyU zc{jp#S!u~ipMuI-LMd=qN|Sdbne{2yE|CzGHP?b#s3fzsJM0$Pm5Vk_A#bT9^X&n3 zKMVubMC>J%$m)vn{XsLjrYHAkJgp7msE(#4PI|SeFlt26jO@;Vt_ozxqAZq0Lf2Q( zbqPa?iY|f=t?1b3@^qRQ&>hmd9PaFL1b>OInnk$Vsdj5}7NZX#999IFr~3+ed@YDD zst7^D$?))HJ3QoGNF;-^lZP!KK#CBWkN|i>@Uz4TGeW9Df)M~B8S}G;4<{I;&H4E9 zil_7EcAUSor>9MMech!71!j8hLC=q0cOPwpi1VSIW;U4TFYk$E(atpiTl&XW>v+4X znZvo}cs(`8UwYl=|IibkSX>Il4S9;(5p;mWAnK7+;SN-4HFS_hD+G~xQ$cVWG=j8Q zMR13_8f_M)uo~-weT51kO_mEHH%Wp9WGM%RAW*-!;Jyr=7ywLCwYcE6!>}JYG}TFS zj?_smxZCb2h|qnr0WVyA)pRL};F85l5nMH}LF6)-_Hap?k&7kag|uMJ3f>6j6pnO& zBqFr-;F9t6HOYsK-<%oBWuLY+-yM*(1)ggynbzpa7<6mY5Ami8vQcoU#(hwsoNukOt>?NpdL~!LRq6gyQJihhBVC2l zt_pmCoNi>3W5rm}OV{#o3ugenMV0-euXB!Xv4Ve)vzPRBs_Z2Ms_dU^r{m*%Gvfx_ z4}cD{ZzC~8@@=@C_UBukHYGZ6--ZO<$PJ(Gz1U+Oxwpp!#rf^O^3gB+#ot)0hEAyU zK-A{dK5+n>5MKBt&=D)tP{e`T@1WHd28wqqD@r%|!q*x7?+#;y8>pq|s znn z=++m@tOJzLGwA>^ktx3FMSy5+uS!l5@zgtHEuj%(1Z3=Vuau6~EJNwYcBN%jx#Ywk z>9m%nT1xxld~?YOS|3U`Q+h?v`4G{R3m`eoO$hRrbiu=hokDPx zIp0iu#t@{^b3w2M!vBM-jNy+4h7Un1y&_mR2~nbI4^5?41PdkM4k(%C9I5nNFc=A; zMO#5CJr@i@!oBL-eAS}#ToN;?m5>nRV`#ahDzmTXtnxv2$qsdY@zW0g^tC^bxXLbx z93s^t%7VyLsso(Xq^sD#oF*a3Cw#{>h-G%%P;%Ot+!smWXw><mo3CZFXj1!ddxN^5t4bAl9x!wL17?yY zO|LRkrxaE6TWZp3bE+n_*LXzLUA^F}Z|cbMLz?u@{fXc8-yA+^EY9x;_|Q1N7s%47 zD$yx`k>a3^#rYT*k5+bgvS{KEa7+%ibDR%h+Zlp6&c_sAWrD$>_ic8giu3ntaLPc5Z=p%sTtpS;qwABUX-ERR z+mocrTYkwnOZ-IQ9LHITCM}ZE!><`q>oZA``R){ly8~%*eL>S5*$Hs$qD)ms-W%#r z!7`pv;Kzrir-jbf^{@Zy%XmgLDPlla3sd()%Pw#?+vOp=*dZ0?I+H!(mn`+Z&QUP~Kgo(Hit|@vbC&$1^PEXDy zJCsNCl|@X~zKBvKFOr8n$?&lzh@r_(OLGbU-r~6hpPj=q@oOWz1D?7DU<2`7Wy8h**e&Tc0L>ME zSV*Q*Hm@O_1_&5xHsU$pvquoueAy#_145fQpu!VL1b0;efdD-Ibiv$?{Sn0FO~AD) zJh$Sr)9=?(diMa>0B~2?z!45^0GVsjZ2+*Vcvz9nasCbIw32kk^^=3Ux_(7fr~T{e z-w5D5?GI3Pm5qf!_4~i!t%8M-6?!u7h#2ktPDPcene5FC)fAY!oA9*oFD`$c`;082% zh(1oMjWZ26%vAq6EMCJzC$ZUjYZnc$(rxHSp_-H7oH^@Q=Yv+R72NuM3_e#-;Xk!IQ+e)V@XV z6frKfuM3_e#;uE18<;Of@aS1Jjy+qXEn1zX#-;Z4qo1b6%|)xz)VTTfoTkRj_oq8a zja%KIN1(<%uF>@yOVp->tpr5+rAIntE>IcoRgwr14V~2TY6u2mqFbcM&57KGxn3(? z$%Sw60lktIVyMc6A1UZRa!Kn^QCv7*JoqI9Vm7sM;U&gNAy5Q_YXTOV0 z_#-Kio8EC`Zqv8jovC`?UeXc8+|&Crr`7FoT1~q}t?iug<=2BRzxQ)*{K^SPL2x8$ z1p#0e2^%cIX3?K*u@lSE_-Nv4lcyk2}z}c;h|ZP_RUu;(=4~Z&b8@7nl~5R zA7tz$GJR_{QBjq|Inw`dK@@W=B?!~0F;EDmtO1j?1ORdv&f#IRL5`tc{M|qG zfxj9MC52M8U{5d$=~OLpIBJ&BFjrn)GBD$AgTjTl+Gtu*s}q8>wYCLqI+0Kw29&4+ zHb`y^A08{x)|M4_;EWZ)jRM{?(V~=>>>Od_bTkOEcs3&=R+RFRUraePxF88*h!rOp zM)kGHMMqoWTT9szJ7a%(XcMbW*d~{(+TObf z(;xC;j~`r$Y8}*Z`}l}2VmIId>2d2P+id$3gi?O9H4#Lz00yuZW{8GKUh8;Nr(rCMSm^#SnuLnP&`6q0DC~}@JcmteDFN5fhR(aB0p$q%;AN;^izb9zQHCya8 zdQU4OQNx5SKsamdGt8-7Isq;S1TaZ0(RRN<(gyBA7NoXv!NTeS)Q%yf>?=6MIY++5 z00cM-I5f!E>RjO%^9=$exUShP z2l@u8I|Q4FrwBC%AiCNDikKBY`fi2F2qlq+>y&F7hc;>aSd z_JU38FsiVv%4DE8sxe@wk@EzFYh9KZbx$=YiB7Q+<-k4F?;;JT+mAGwH-|@YD35s} zpep$59{QTtYdMk2;g(!IN#ndLhj_RfZgV)ADs+F=|AlLS>{X^l&^D*!RtbDQyLByk z`(vApTYnz+mfrlNK*#O1fNO>o7fjB=zK_;EkBZ$nlp#f(^A)-Ih=Nj z=FH*rTXat5@ZGQb_5c1a1u$L7mOOLl3}e6|X;U}SAJPhxxya~txnPXb0BMG14(%&= zQ?%Z<9fSdm8E1+DR% zxkyf*Vk{kkbi0b+0WP29A|=;Y3)1Z>f`B9li~tlIVt(_A;&!2?*#ZpWhw^_>mcNs{^Q;DUj1=g}7{=`X0xGv6NciL#|s*0c_} zv}SdGK=2|zJA9C}=H*;+)b*fo4vP=wxwLV<(-D?DEn}(Ha05zP*Rab$!TjGTM-9JRZYRJt^OvCh)czHjlpyJIfKBC+1MH?U!U+8w!c(oJM4^I(5>yV*WrG)Ny}0uv z1gZndb}DqVg%%VK&MLIvd^3AKySLBjww8!GPDh&Zqa1yV=GnfZhSk$DG}UOb4~sp1onzd*Wepz51nHb&Mz#2RD5~G9IG4_d*+gHXkZVi zqq1O*RnRyLq_YU3okBWapTJ*WMq=ajBJ?KkZfwPs-MNIH(`JSXk< z%p2bOML!XyQ~|agIU3v+=qDo<14(2L&rVb7f;;pDi7DN$*F8KtO{oh`2Ipgf2FE!8 z_>MsdnpUzkkVvE{^%eIE^em~MXBG;@3?BUYkwQ6vO*H+pgPdG7>w?cKsE!>(g%0Y}cpX zqI2x}lfUxIPmSAkhcbcK543@`IXYt3L1oeirq`YL7@a4HDUF8X4g<%ejoY`~4w+!H z>sEpa!P>4f))ohV(^Beltn4~~SrX8~U`n@dwwMf&%c0Bo;sJ(yhR806pqy|kAYM1S z-cW+ZuCH|}0j^py=K0u?5%x9lODlv61o_fwTuD}T9ZePL(4ZB9IoXh$?fN@(%+x8S z(Yt{7qvtG=dK@THQOZjSJY^A47Q)1mv;!KOt1P0_k?I{XzE~Ma(*8o=k+O)Ap-%hD zc1qjHT&cAGxg7jB-<(Ax2Y;1C6avtEe7@sxeCdfuo04P=n9gk1=}#0CH9zJllhrh; zAq@5sjdau+_fCAOV&o+J`xD6MJG z9Gy>m=UosZ6_gkRsa=!`X=6f=cHISIQUcH@YK%fq^n|ujJ#n%fk9OTxj84E_JDyg0 zT@YlCq+SMCWrYNNbB?s@F4)QFAPR0c*)dY50tvoC*&3 z!)6%kf{0$VB)mg}tPyk^TJ)Mrc8EA7)+R4aPcrpu1Fd^lD}od}rR^`7`?UePLS~*N zZjcRFE!>Yj(JJ42=#UxqO{>woSA#_FJv5jo+T_b8^iZ2{le!KoIoYuKQ7dB&_W!zL z-}_Mfu=slN5#prGbhoI`;)ynG?tti$q=8QsAXm*Js8-q9+yj)2QMB#Ds==^HVg?xD z$;3|R5R2>=s-^Zdw-_#~9Y=fV;Ho6kgMG0F3#?Q$U?xEcSHFB3S^Js?%=pkXIYX?G zOpo@3;n8uPz+1PDu14Fw=AIWq=a8_IW=+9^*;9Fe_BJGYAc@8}>9)#Oq?DolD;)qS zlr=FGv3&zI);39dU6ARObQ9e^@B**-4~;^Fi4MrxoX zymFaudZY%aoA-Cw!jBi;Cd3QL!Ri6D92?HZSJvbkPoX2kD@Zu|{g>;TC@09Gel zaM_Ln_C{(PZh52zU~m|lT0$O<)Tr`-n+8=V+j0IvRuG%Y-B3aRr6MSs_!jdzoL=`X zzQyH zP}AKC$!Vq>hFmPJI*ADispi$)*Q3qVbPrYZIQT->-p0Vq#@eS7fH7C;Zi+Ozsa1Lx z(t0wxOFJukGjy~jA?518|3y;{GBjB|vwBgPmtOS*py?{50}%K*Tsm}#Xcu{2!YBT%62j!INyq$2PpTd+_Y*^TCyGc3!6Y}>d)hvA25uh1%%E9zh>#G zhA;r`S4ddt`x4r`N~vc}{xvVY7*ds`IMD%RFInt3xO|&zp{e+y-OqpTxBbR4n^ViJ zZYsR^8sjxkrPff%zOqDt3&wa2v@5B<9)h{vUB+vGi(8sa^IUeSB46=P#%sV9w54`x zA{UJD8V5w-&@WN;)x5Y1qR4I2HTEkbSBrDxlT!o{fJ3vAI~Ejq&5C?TTrg&9KyBBD z9#w68z2&v9KB#IKRcWeHd>F;>oC>a+|2w8*u0tl)=4vU8?%K-#h1SBuh+nWcm7q64 zo;fK2O@@S1j5r&K^RxqsO_dkgt4i}Rir1Wp>s;hzUcPeM)mo(kQVXU18#T+ScohYS zT1j4fU(M82b!f5EYMN0&IzH1#X;Zuf*IIY+Ye6TTub1o*9#I^u>s?7NOm}WS9xiM~;Gt1x&b~6eu@`Bx z$_Ky=r1`LC8Eznc-IPm!@<-0>e06K<-IThWw)~K~{hfc~Q$OXu_>|%Xsz2%KwuEq) z_AH5jJxE2`*g40fWxOV8Expl|IV7ch(2M~_2(V}qL7{dA`k@bK?naymw;wo)HX6?X zDdKyK7(kebshP$JXKTPDR2hRkXy`O@#pw0s&^cp((vEf%AZVA1$-!`n6;PCL*e!Ha9u6+J&}z+`F<|&FSqLB{jZ+{lT-2@q zmZ1Md2f9Y$hx$jKsBW257yYD)uH@50_mxcg!$C@3+pl=6I-b2+a+u?}(TcJ;MUnc6 z8mn2^OeuJr17h)f4wpMi!N*Ze4WFtprRV#iL%~Svp-X28OgAN^TrRrBf-_H9IXy^~ zC%*|=IkFHEM0$h@BZ7xB)4mEh<#GW|R`M;d$hzx466qPgKzksb1j&kXW_kS{s$*+M zCw;Rde1O=MpeUcGhSDZk(qfq6ipeAOnra(da)W9y&l7wH5;az9ric8Z+n`fO-)@AO z?V3piT^tr$RI0hA^%hlY=6e)ZBw4TKJga-OM!r&x4thqGd1K9KFAj=6`NhFzFO5}R z9DF&zWi1abibExZB_rD7p~YVL#o?wt;_(Q_r^zo4mdP&;H`9xQ)2Ut@ZXbJbPSvGa zvOg(1Wq(4R*zhNMb)|V!doFX$quQ^3RJ$whSM#X$Qb#JF+#%34L;eEU)(zt$u2PG~ zb}fi)?n-R1;?v3@fmUmA!0}y;5k3y%zEz6ldXP*1N6b0g^Q(5k^js%+hkjbR?uOFl z539E*_Vvo(v0V+Mt-JCTz3T4@Q`i^3{X@U@nbC@^)!`QF2ILwcT7Y(A5Lycl%g}CP zH-sP(GA%4f{07CQzy;iZs}OE9AU`(#%nQ>NyO`i%3+iQoyP#RDMTbf3VuE{&k%YfS z#5Sl`;9q0}jl?b{*sT}93{h~oH@?I;v{*?$^m3uST>z2U{gS*d30ZCAsQYaF+ zMowB1M1kEFymM7a~(MN zmv$%kxo8`03z(Tb^H#KtApdFvX&YS-@NN)#z(9?%6Bov>_1b!m;?T5>zG5(bfk}mt ze?CXr#v)i4zrZe{);3n8ZFE77p+L@q6upiE4?*VjTo9Q=Gs~EZtY$d`MFqN~F@6!V zy|>2aBuVY)lDp->Ah4iDR`QV~$&`mn28$RlRSK4M$wxHdYEF-LXzBMQ)8LbqTa&9| zx7DeoM?1+ThF`CYSe{#%&nv35-Di^i3X3k#;q%KL_P~zV09y1bRhg$P-;K=!4dCh~*UCZh>Y0ghPN0~`fVW?3+09MKi( zK*`NQMh0fVT=oc{Bw`GfW^$dNDti>5B;bv0ks_spf%kWyB;uSp*=b`9{bC#_$@wwu z#nfew0+d{AdLYeXKuNSFHJ78v5IcGTN-~LDUwB>iC_u?2OGJ%i$_S%*qW~p!hGzaT z6=q^b51`}%m9BQpe1ifgX`KxDPUfsVkdzLT1dqlHltjp;I{!?dqy=}`7&HZM4LNdx zxxwML6>2owoL8Z4J1t&IK*_r(_P_}{-xyd9^;}~x$867BzVqI|lxZ0hH(}5*a6ts1=))<7F8(kXF4bayDu0kaFNc`yWN^IWi7fhU6*(mtux zq|in6ejfSTK)OVrbn2bOFA+(>_|!%=@lAZ1B2!Bv;n*e`BS6_-*%|52$ZaK07f7nrJZ5V-VC<80)& zDUpeo_VaC#J*gv#t{0fhw&?b3(X?AM+oGG{k$CFEex4m?*vNUJJ*Sn-35-2{Zpj$q*A$dxb@VDg z)=V%v2~pH+f2Dkfz<^1p94QJ{;;6*$IqFuZ$B;o-Uf85zSBGP??IuKf$x&TDL$FQ( zuv9wJ0yrr^xDfG4r85w#hGEqptfLStcRpIDWbP#V5;ULV_beh$1?i zhL8h@%NdW3l87;z}~#9Z>8d!OinT;<=}+vn7Wm!wh#()?gQGF1^a7?ol!m zqXHO=Oe~0NA64tr$f77!M;fYDH%y%uexm`niUwKT8vUz#7N=2#$MKx63{Ro@v?D2i z*5J*#&g;o_zV+W*zx+1>yQvLqp6j%BHfT4WtJ*DuUN6t2q**G0&dX11hX$hd6_TQ~ zN-h}VQo9c3NeUU#VZ4AK;};inadX>h@4$%Rj#%{w6|PJ>Iv$j%kB$Mi#zBo(?##>h_O zc-6osNjeQK8Ew93B5r^4y3Kcs{uzRTwi{adeDs?(@L_r7lbYY5(bkP%k{)XBJuYog zT8R`N?z|b;qqJE165qv2+$CXDU8nlLh|=ap-)n62y-P>uDwA~V`5&*0 zh^O76*|bl;MdvW?KmR%3_sM@fOuObI-L!jlGV%d{Ottyj9C4<6fKS@CHO#d8ir|6c z3|SOLfp2PYOF1=(pj@YslgnStW~`X=0cbf_TGjnQ$Js<+TDO!RIu4_iSQm8uO{|+! z$El^fLw`n{jL!K0upfgfjVW0GO1WYck>}Jgn3uI1WxH4j+kYjQ^8w)I!&0)QrpznJ zDj$HJ5zBDJg)zGeg6>9{ zQ@d`35A~sG*j;c3gg7iF8Z*~gwa6Dk!|sCCWlBMmnVS_QW-pQlBsFA7bc$%I!oGsz zBQ@b7*=f6u)AcpSd(x=j^69K5yS41TL91@DNQmqz%U&>-yRfYd2~vG z2Dd$WzCT+$8u*cpbjjo!1Ue8M<%c4+MeG{-av2 z=LtgE`d$jviLbd`bL<1wL^4Di#5npSr$$`|qn}5aS+@hirrV>{W)XV`lE=oW(7z8Q zF?3eSAhw%(xigH)ivdSBqPMGg|9DgkZkyM}nZ9KjY7I+9$1s2(k{iXhrHpNjd1q%FHsFj`% zfU{@)TxVx0ka*hiZ3ND0^56ZPKl+|O6VanC)EXIpOOSFzzy;DTDOkoE+87yxPk5DK zjrQ78kp;6Bi&jVwU$=TWD=`)+1*(HFF1?<~tiz&b63mmWR<4bV1g^LkB!*cj&F7dk z80Zw4YKE@EeA8CbW+Ss(?!ewHg3D!3)$wt2RTtfrJBG0Ju`46PVJR@zQ1g)Nt(lEX zG%kX=tzL6feX5o+)metu^i^o+HYZEcJu|ao3I4_lyp4vH%^cwI^HpU|sYj0i{a++` zTdb~NZdy7L z6UE5)T?zY(mvS@uSIxUq@ed}RRb)6UyDl#yyc4c?vh}F-7=YM1&Hv2wY;He70FF5# z$tUTBY<$kbCKHlH&^E{!-hQ#Z^Cb)ctNx=A!e*j#^WZIpj9qnnzR)=W;GU>Fy=3jjm6?m}haU|b|maKM1B+Q=w?tXQL6hs3Ek zAPZ?H%C;~YxRVoc1{@G7L=M}nZF}W_Y{?iT4hRDLMlk6hur*AlJ4m>rM~ zc@*ItM7q@y3TK;EF+6G?8}xPhW&nB}21`Cov4eV)n@C~C$(Z%@fV}+|3LP&roTy^V zkToA^#%*C+Ft=6yvKP_wF$(JiYx|m?U!&%7dk4lexu`Iv;(53+osfJa?8-gdb8Y8@ z<*CdkF5l{X<~D!Z|L_?<^nu82Don|PCIc87vYl8wvMh<86Cm%K!>;PdEeXvD2s|A!&XBENynzcJ3I?)8#oFjFX3!X&BQfIj! zitoqKvD8^b@Dw_>0==Gw$7axLKv|(L+shHwp>p&Us+Mx$V05KHiJx*b7@=y>P#{V} zMfdeTxJJ}n&I~z1p~a_HKz$BiUFQ7edHpdrL(FE+^SLT=4liymyqI>2S~D|;7uOSB zeCOxC;a~VjgcnL?>t#rzwtWJ_ESZ|(oD~qHibw7&+iI#^5S~4GyE)`#1vUcu_#sGB zT?995bP+)?s5XK$)gdUuEJ;G%$;jorqNGEVZyDaoQjw&)1;|;O6=|w{MZh@J_@l5X z?V@=_nrauc$OdId3^USXqSvT2EaZAIxhotW5G^6Cz zV*eH>f-Ky5jj1@_Dr*QF#yMF_fkVaV=+EO>Kh|lH(>%YhXpi-|hIeXgGHvs^E#q0vqF`pG9fiug@{n70+MNAq1quQf*x5R zHsjyV?$pQmX3{z)XU-|(NS-SxRQY*ApgmFjx0ZreC#XB3S7E@wImK5t3+57R(Lpfv0D;wlRH&ZG2F4crAy^Sp%!PL;ugH7jf*ttu zwM@MO$8@t|PEhYLX-5;(GC8w8bd{i%yfib}7;f2?iF1M)Xlg>gQ6+{iSzq<2qEh`d z#Q3D&+~g>mq5+-ONmZYB6WNx{%A;`pFPyrePn1mEL<4#W596GmuFAs=z!r)x>s2wg zNiT^_4ZW07(69Lf_1}8pb#M5p(WnQ#LZ!J4=k7OO!O!KK67I}}mos26WH z5VFt*-PR{^#ELoUJ*?2;U~!_se?m~~i5+@(IB>=XLeJISz&atAqu#>?ln^4gCFsA7 z%yZP+E#L-?K>At5pPD88wTR zlgX z&{@rZH;u47rtA7M1GAdJ9Ar>iQV{)DZ6>TruAvzK?i)26GR4g~R>?IS5i^M>2_m+h zWKOPiD`-oR1RZIeTtmy5*hSN?Ta40x#zrd_`0B2x4OLw=wJ_CMed;z9uH(tjN0U|9 zaiypSxB(Asu7TYJV6TEOFp@ooBW_9!EI|m;s|*N%bO1PnpxK;|Rue>lz0@O7AVsS= zMsvCB_R3|`Zc!Vk&*ie~DVII{pZ%6!c*j`wcwgpep?1~gdUJlV%+n&RTbs!gi*9LD zrYJNNL7KD`6g6OiRhqfZc-C~`G5u<}=-PA4+2g%WzaqJ}!gMSZg(_Ii9(QH<722b@ zVou;z+2h4Rv$B}NA%092th2`$7c2n3?cyM*n@UnFy62h8>?`Did6t!Al|4qUo;V(d z)yl}^;(9917vzH&2|fip?j}TX&UO-K5-a&+1QbDrknhZZqOk zv=)oCy7&FklRq+^rrCg5i(wHsETnLh>-klh28A-oY@q*GYEfA*$4?QP03X=a^OMsB z#lZMVVv|KLbj$G**sXYu2qQq~-=>ms{1l_qw_uTIqMa&!Dx=i5Y7ydM&Qng*K_#qE z#dBOSHF}2o=Kpl}VJLuUp#gQ&ELu(`uRJ6Zf1~8-T=ATynbS1)zX8L|ht$l!_0gaG zkG|pcWk{;lgB%jz)uHI|+~K3Vnf6uN<5@Z~SG!(YB-M9Zifaa8zCZ$Ul}(&FUlJXyT#`kxMZY7GPMu5ckWG@jI(9kKKhQ)SckiLq z#i$`v1Kqn^hTrw@UiQMURr_I~VdRr7;JuG!^Ep|t5w7;AveWlGKkX+}C1Y?B-J=xD z+B8h@#VoUBKkfyRWJKWM>D%lL(-(JE{9u;^?v9iA@c4mtN%M|LGCk23M3n>5Pf4YH ztR8~&NMCd)tw<#Vl0srxNv3D|V!OkU&fkD~*tC6CMApCr7Y z(%a})gf?UF9r3ANvx~TX^8}sXlDK4c4&hN)IDs0%j&Vl zDLv9-{q)i2nprmh-U9KbnXm*<>9yUWPqxcDp!8WG{zm#_L4*XHS32OiOi4>JBc=Vp zlvItPSW9Rd02$eivdJ3fn-PB?QiA)NE*JuUOQrp}9sA=4%bV-X1z*|H>9y`I;Hl>2 z#OF=R;e0bdX1%^xS5t-7;;B|U{b2cW^zkZHthM}FWfR|SP?C^(!uHNxe7ivy zV4H7#a+7r3ox9j7!f2}IrVDdZf|RoIraDj+jlJ{1v(LWt;JIfmE}yyof@HpYun~S) z_&~)d6hUWJJR&!Fb^2FRR?l(_^`3b8FZ|JQIxI{A5^dZ;fvYw)b`prR;l=^bsx6**y-N1HU6-B@^1kR)+%s8x-sSjH}UW;szOBBj)O&3#Ny9%u=E3GQpN#!~;Xb3CB#r1pFZK8ogI zYD5bl&}z`ZU)dslw0pwV1cNULsfdypkQ8^=e<8G=n3JGWm#&aFZq0Pcb=(f3CDJ*V zQlMw!(Po^>QiO&KU7~oFl$AcR2*BjL~(FofjRaDlFCEs&YlG?qsL@DvjP(k zGx!ipKW>SO6}AsAYCKa6zRU67u;uY7e}7D-mQG!nmaajPtDuf&4xsDj0E(Km7Q8i| zC~*dwoE(HaHLRG{#?mv&6PBlyc!a#n`#AG1fYMiJ;$8kMnRi^MFf>V?$sIY(S%2Nt5tUl(dpkQ&lan`dL(4h ziEQzVI=*hyaZ*AON)#m|t>dnQ?y~U`7zX)vdaA?T#W_%Tj0fZD4IjBhbD(fD6YqcK znf3dAAZSw*-|96BL%RY`{3@75u-dY52Z)>H0waHF05DT-elc4l=M;UsCmBpFNZaUw zU>#i>_;|6>vVnaCkANvR7etne4Zh>={?b?fy-zOPK5F!P z*$y2}K))T(lg7)Iw4^!D0byC<{~E#U;By^;upnfx$tw{FWCtHT(FI3h?G_=J9sGiI z8Hz13ge9;ptA({L#fsU%-)%O=Cbb4rRdX>J!mP8kqahW;;1j-=A zAVD;cHLPjPwu7UmNBUxq!j^)qHUpH~>6yL&&o)kvkJV<=zxMP{W6d?&&Df8(?^;}z zRzA%81XkQZ(FgMi;)=A!VUifeYy;jG2-mA?pY~9rt;S)|_xz#8-|SJAwjX&o@i&KF zdGk;2xr*mUKKXv_Lye{Up~m|Chnj!9!H0V8^oa`C-0AZg>-2Fo@%VQ7l#Za%I`q;D z_b*>;z~uDXE}x;Lm;dL+VR5JI>u~I2yFQzDeU`gEcmKUFN4FBT?xiHegUkHggUkFK z_w&OI>{h<2_Tq_3eYadBw_bYw^1(agdgRi|B^|8-QV4PzN6bXcwlBgBei326>Z z@2DJliJ8arWIAAVltd&BopC~2IB)74p|ec08zD&F$X6usfV%O^mGK3HV8#gnORuSj z-J%mls|zV~BFH&Ky91DsSnI<)q+KRXaRah~w`a07XR z4g|0^N6&W%g9+GD4@nH{R7W>mQNBgWktOFjux7qTK&xVm9@jj-|Bms5x`Q4;xr6C3 zlRK9lIa!%T1Ebu2XMcINjdJwwt*;rL=768+Ua0q(m$Q6$4XA&;+#1T|rJR0JNec>r zY|@_djEs^Vi$G>+6MEVKQ(3BpK4o&McF0gJw4m2L>X^fFt3B#C-`tS6LeDqOFM71m zbY4NZWIH_92HB;PvkR09o9K9-pmIr^{u`(h(*z?~_#2!7avTeMuz z+4-W)SA6?dhO?tt(&H5xq(s!BSvu969oxdx(={8)IaYdOT<{@CXQv4ISZUCl^#tkc z6v5S+CX$60xDsL_V@29h7sQk{cBtdfsMM&13_+Sw7X&WN_#80@q%Gypk`_VacjVBA z&Xb$5A`PhvZkOn`qaj7FOrM*EYR@Hi8yQZAS`KoSnzD=~CAnE7ft-+D5e$~XPg>WJ zBvWNs2?2Oo^3F0IL2ol8b-3e*JcZ4_t6B};MY0EmY=t!d4Cf1*0I$FIKgF2 zg0YjuZO10*(CBb6kRUy4@Ec%UwTTC4f<#{jcG#{LceI}*qrLgcClfD|jN7J7h~EjYHNQpTKsy@;@|(l4}QaE1zVz$C%cPbRLUTL zlQapsUr@`_&dgw0mV%QLH^X|vDnk$>+iAXoWcjJLNxjJgF~yKpSyq(LJ_|~@$pm90 z;}+n|W<}9Rz9LFUSP`wT)@GVHqovs7D+00slT1PKQP5$g;o)rTGF7E&rwQE zz9JrB$ z(ZOvX*@sH9x;sFQYX32Qe4b||nQsrr+RK_4btXXheCf*0QjRWn94_Di36u8P^9|bW zWfmN-Xe|y-b@Y6PKorT;7)ZRZCf%#*==l~|<(i=_ri8ST^*!2vPMEGLoFckHvF6zh zPRU%98nkg4@rxtQP3)8Xnj{RLMjfC8#1DTXKB>^TMBuvD&X2Kc!TviR_=|7iSnIAnj#6>zG0VS9yG65ebTbIq&-kpr{qKBlw9;vJyE{kL>;43gPMpuR zgx|0+3us?i{>25YDFlwkZUa~jO;8BZ`W8VB5D^b$tHjS)kj{|{VwAm-FY{k@JYq%K z-Xgdog&?e7hrxmEp=o-H;9<`)4h8q9Kh)<)%j<%B=pwPF-Fk}-`dW~N*9GweE0r`> z>~nQ6|57Buv@69X@E*d8td|t$s7US)T$5}jns>@SkmO&AQpU2s>{jN$1EV z0pufAidPQe#rEj=_5k~5P8{%Z>J8f>L~7;xvt2DvUz79POZF#@KI(LY!w?x2vBzr4 z$2|^}+dTHAo1T;5PK7xe@Eg?GxN{ddk!m6rvI3_&;#;qTGd0d>Vb{V?@@k}xlD!)7 zuT$NJ+mXfS)s2MfH}5a8PTHT_X@3w$W^P?3dCp172+A&&lN`%7k}7+K0O$J+_Ozet zH&mF4IyK@r2^ungBS)5RZ65RG-}h6$@Yg>0Nh4t39g5=;1#zBY+j1wjQ`{_src0`6 zdREZ0nrC&uj|H|^wsR6MR=qJ&NLfpp+{R^zB-Ep8jdXnk+1^QQdQ;2}otW9-v3HSXxS2h=-JFrC5 zoM(E1FZQ5#G@u3hg0ke9kCDJ$<1rG%Yqfcej}aF4fSDhg$B1P19{yg(WAyaV=V&%y zk7&SvTY$w1dkmNY-l=R)8G9{}?| zTHp~&Aj^PnLF`{PF$RD<{}|)4;J5wFyc9_M(`IG)3rMnnAKm&ZZo=Q5KGJbat}HlI zEtxT{3Wkje*5tcFS8K{$8J0VFSGdcJaZSi$y0O3Sb3gEZeQ7l2s=M8$9q<~mg2HUV z4&7UIv`ja^16$A%1Dip??Y>8Wnd90mqFM1J!2v4J$cR>z3(r@CH(~xR>LTr-tF*&r z#la0o`2$BpH#V=B(+I}*i> zcywRXeb9aoe7MNdYLFLMLc>RyUmege2td>>^E@5k5~}GaJ=VH9f<-=~CV@jgZiRf* zYEU{}9l`cOcJNqM224WK1S{x=tTpQ%BI0vM@Mwp^9O{qb2Jg*J3d+D9Yor&DP5}N_ zoMKow2!nRwH549y&L8^WFW-)(GrJ|gT&gZsG6geU#hRqpsMIB$X;n}EB{e*Dbx~Mz zwk!1HHCljAiwjzXkeaH`6rA-60ND&?Aqd*zQ+fsb=};$(%u!Knvy?fV*+Gk%;6{37 z^HuX1D(8rfN+bn<%U&T>+FXo0UM>l0dvBD)Z`w*S_;bnKVy%)ODF#D)ttRVqCUTUf zCsD<&BuDk6DzAn_K>j#X#?&v2v$%q34JgO6bSH&Pk>&|w*T~`HzDi$rDraZ7)AL{! zWH_t%L$;H`q=S$)B^MC-Q@F$IF@EiH6o2CK@Bk={Xk;K4M3H1c-VhfAb2)oPaA+_KD|ptvLI#Wavk0y> zz*UG6>ysJNFLS}&R>rF{y|}jNr?DdSXA#tz2nAVisV|25(*;ou;;=^c^Z>!z2#WqJ zk{B!sO-o5op0$#>_Xyxs^E7?M?oE+i2dsV#JN zr;T8RSDl!fZyr_CnwD+TU03%~^@8dyn-j{mbseR8lU-FlliBr?a!%6D@D;1} z4p}?(sy)aS-D7lxn<`%nb zp8m0a^80@4SXgxE)+GEP$^!$GVU2QtK*QnAJYH``5)6xWLXndNt8@!O6G^vVB#Uv3 zxhK`6Tb65W*F^%S7jopVs6%xIhvw`S*zM*VbGijXW7xk!M}c%I^~L0{Xp6R5b!JF9 z(+E~!5qee>XMq7+?axz%MetEL+lu}X8G`C#S16C}uxI-(vPVcb^(1N5%Wv*M8RNzp zwK5tOm2kxQSUiJNtZ(&!8?j5qa;k9z-a^gpP>;&VoKm&&b@ar>(YW*}f}y)}Tm*n+ zl@@!Hxacl&VpkeiY0vW!?RwNySBd-^0akqJ@BQ#keS4@#MJ0Mh+AxY3R#(9P7)vE@ zwtZzlI2R1?KS1!B#Rx&FNEZZPY$XT`q&+~6OGg3~{sd9a67 zClIbk0$e9r7wG$KPf}n5b~#Y<%(7rtC0125OJ=(TWY4%pWej}wwx z47R7*t#JDJ_JF(^L6oN95TRztv(#?r(|U-ED$)TQfT>z9+I!4&3FYfr)c;k{VLAer z@qbm;6HyVQarB^`3jp+$@WWjXUKd(dK2iL%>Xq5R5j3Dgdpbd`orGxzD4VJRpyXze zk(ccVD|(HFXsxSC;t;^InD*y(+W%1-4P}f?oIf#kRYhThOF>9F;&ilr;87CBW~J91 zDJlHAyOh#Llj2nnZ}w*1lwzK?JRRs9qOnx?k+=NM|LkA+#DaL!UOHFI)>-#Ta`+xL zhW_0FE2~&3J1a{`m2*6BBqDSPJEk>(6oORDE?7FmQGc+8un?qTE`p^)+&Ys0URVQ3 z`u2t4xm++h#1A`5a)qGyt}YoJ;`^1LiSv@;yB5jPA--Sqd4c&txny*RgDSMw_sz({ zC8L{rh-;XN*(IZ!8Imo8$_lBg4D-s3gl7K-1lt=Tm zVD6Ik*d<4ek}CP8L=twOa57G(%~6vw7$&$O@qblx*iz|>WFm&KR&vMOO*Q0ik z$ijai^gM$xgpmcJu-0-*WZ{xf;mn~ircyXizVeFV1oeG(>++RD?|F4aqe`4AQgvz5 zI1^tq`rDOi2n;H0Ri}?}UdI$QybD*q0!;>YH>FdQC8G8xSWEcTvTMgL>>lQRIM7y zineG`o86&0!@LYVMyLoDWRv{Rw-(*PO1ik9&WEXE9xCG^pYjtGq>HWSSe~W zUn(U(bStnyjStNfQ28a1gArAE*y`N!N~z{Z11(3)r$eQKwX3&gHlt9#oSIJcyX@Va zN>T3+-^rS=%#9r#Rn)3aO-ZNhyDIOcrCeGFr|#t`pNG)Y!)iOZ?L*WGdJuTq_Tk*- zp=>kwxk>vpo0Ld&QGJhkR@66;1#*pYU0EPoZ^X-;$)u(?_}`*AMbDws*Nm|Q3e+njk8doG;*OmNHzVZvL+g*#mnn(@b+=c;Oh$zkTFFKcm>?O z77QVQuCIw)HYvu~&aw~^_;`HId@7E1$(Fl(;Y$p^79+5a865f^XaMM5}oNt$o z&-M>K<-vH)cRF406?)tJ-MfntH~OB7b#FHD(_X1J!FU z^dq8RR}rs;-i&|vmOp*xAC24f9r8mo*8?@8%B~lX83rD=SgYEuTkrO$T|YH5B-`~} z0fY^V49Rvq@L&TIL$X~zIWQ#K_0#i0vRw}}*oLEf8xNqe>je!4nzGiPso?>R2E&Zs z{`{4EcLEItnt-~DcDo*c`2-I7eGDu9{))Gm6p&WT8I)^mx&)j!dGV;}-Jk5x z3@)5CGNH|qRfi^$m#m#g!|7?_8t~aF_5|(gpf9aYGuKXF(GEywa82Bux|b8!bvkK& z>6kd;>|!e!e3CC+f?b!~dVeUU&d6lkN;2P|AnieRrF}M})x_5f(jJhe_9XKy+7{9t z^zXL!GBZ%&5yo14Ja8J;B4&p}@<*a+KRUpuMlQ70#8X_+BwtBgoB&Abc3^IFJ8+V^ zeT)uBzwISaa-M~sRm>6Yw)I?Q!#FpEB5OP}gu87VPt!!|hG)~qp}~5Pi%X7F zdB8#51lNgzAc?o>t073!>nmCtHC6RPFYn2K=SEy|Fn2s|Xu%U*z8Jp4g6C$_Yje&e zwW`gINvaI#p{`9NbX8F!8W`0 zC#u@)wkR5_B$}+L_T%=9>Rwfd1`pC4UJtESDcr|GWi+(>sMR2_y)J?@mWpYxsn>5u=zPZ*)~LR%ci@W2b$ zcfbs1qtG7Ib7h(#S(zttSzYH|kfFe{X#)t{jdA6@mF=iy-g8OC1hDc`YNmfB+5rVwQ zMbJ4{J8-h8gCBy71YGcd5`k6~_T>1hSLAJXK@9(5`2lH2+?{qs-d`64-6<~yiTG7# z>L(7(TknEfuskER4?Om=>&@8UQ&9xb(IA2yq72o1v7$r*MN&O%5bOgZs=j!VB=oUJ zg6KkcyMf>^wk4MwRcXh3aZwlgWiuV3rri`>3F zts^R_=Y3Drc+-|2(s)1e{%`rj9~muXI_WTrnVQnDOt@*hQi8*9X1x1a%+xnJ1gY_g z;0nW+RW*|Ehl(r&b1@SQSp*!(Kyc%N3qdh_UZVtv8_HH{l-A1>g4B3^Xwa~6#5h&s z($sed=3*w=-Jz8zh@m)&Tn|C9gI=NpA+%&^p+il5hoERYKX!Lm>eQUw&>(4QydsH_ zXu9UoZAV&@9)?SzZw{$pYH+A>RrM};J-Sg9P9K-#J{|vUG-Up2;OnGC4Shr*)y2;H z%=yrmdaC@-O~_-~U9=ldEgc#xN&ClPZQe6`E8r3^+>_N4r^V zTets8F$}D$B7eXV(+ebW40AHr$uZ0h^;Yo_b}~Ar4xe%iV+C4hCwM2C=J9v~fM^!W zY!i|3iTFvX87_G;fRY?Skvthe<-21wiX#zJzC8fw(~m;<28XJRMFqHBj*h6!13fGG z*jI4&gg?V2cWdzKipvFRAax6qWOav7$VM%N=eab>^CX#X5$fSrj`WHXae~;eb!L() z(iUFAjYvZ0Mt$bgJaq5oW(NZvj{^a5sv5@?Y@M=5=;Ve^8CfLd7f)#;jI5~ARuE`+ z$cdsJEe^;AZ&uLaJY^D|%e)Sv6U zOmL1Q^EGYx*77p*^|N347e4xbrZ`Xr(|Eg&56J;9G&^LF4CQz*L~VIM5A~7@BC*5z zG6WIuXrqRG1sx*KjSaqG&RP;$z`5AufDjbt(F9}K5Q4FGK99tKCb&ZmO~SjwVhz|% zeU1_b`k`@b5yT(_YY~Ybs!b`n<1!+GQ(azRYTP*@LMqgGJkK$Eo6 zKbHhhwpmg^tuDF16m1^-08A^RyZn=D=Gi4N0~b1$bQBv&`&W|r?mz&6A|)~2f~Gno zCr6A)B^t6Qv~PrpB9%inRlmKi71feMy0uZly#=}Wkc_T~E*1OG>fN2Q(C#t|ZLGv~ z5jna-wSQB$%G~sOos(9r>DOPtw(0k(UB0&7x$1Tf7H=_FRP{A-i&~R42aDGeEPl#Q ze%T9uCd|J254~V<1u8Ac!6N_L)@gD$f@wu);AFCP>$F$l=I3YxeDSnuNY*Y$lvM{} zIarJ?QgoiQAx18Eb<$VN2m@)*He$=6NC*5E&QS!76)euNi9~!Bx;Dk;>Rk>NZB{%k z2B3iUVya+qyIFBwiG*v09*ARC!Qu|^0sQ1l>aP2P*qQ#d9nq}p0TaeG))zi%%!~0< zBiTTN<&T%z>!P36Rr*!`*0bf=-1D=F4a3poyN5#^s9}lYQ@e6}6QA^GldEHM`u7_7 zyDa^CYf;f`rf<(oo4T3JbZSm|aQX6uQ-9Wz)Rkbrj9Gu-!R5Q2zWKKxfl($B*4bB9rFB7Md5HNyi%ho=n0OEw)MOl6 z4C0mID=_VnG|YlD)4t-a12B#eB__5vPLOo<@IoHyr4GhGA^GGB`;t`W~O zMzybSF*MUI$kAUC%!0ZL$nIK@X4(Z23()w=ioKEUVy25EinNdvIt+~2s+SZqT_pDh z9YBU(@}SYVeZ^cU&2*7mV>+66JfOqSj7XBC6XlX9v0Hr_lEoa7{^RW&@jbA77uZd-};uQ``$f9Xx_)xTSRZ1EHxZjA%% z(p?5I?jn5Y-?_^Wd);K&cp&(18(;+pm$IoXjVF0BD-a%NtEyp!z4R;djVb+z>}i$F z1ghnnvMpG%>S2lq-Yd>-;oQpUU%uYy_|l=9vZ?5CJtv#nHULY0tz=X2Yh7tXu)PQ) zMyZFYnf->_^Bbn!qB*>u-ga<~-|&$yzxVxL7n45KiEG1q%u}IpfMEm|MGGp;;eGH$ z91d#*ZAJK6h4-*FFm?pKu5F522`-nwwZA0$j&{cSk2`TWqC@Gv3^^#S1 z4<;$1yO0Rj6D-?E=I|a>5t4a`q*PLJ7D77P>|_9ETQf_;#0DLRX3cziwixYWXxdei zTp0BR)M!uaY*XR2Cg^oz&@oWso-+ydmuYyfDS3e@GJ4QDci>3c_Fu4mwz&NL2(G>@ z!NNnkUG8q-rARhh1}rD1Wvrr$#(~V*@p$yL+2en%4{-Ap)t~;RFZk67Yz3PI;vr!x z=<(F$sGu|p1J2)zA97HTGNuTjpa#O#uL%@`b`N1=8|6^!QCrI&rY?YE&ISqsPh-+NT05p;~KNr>8T-mBpIIEbSXZaOI`~-`}x{W zb@NdQ^85DlZu|3RSBIIpRQRK&OJ)k*`ov~MS8)3x<|h#LK5j!!H9ISlc7`z zPGG$4%Wle)SHa!e3vsTWHRoDxFV`~d7R|Yqo5{8Ok3aeeKliPHyI1eo?XvsQn8(59 zSk!2?n_jh|^7nN<>oAR3_V-ItX1hWz;e@}RBZwa9SncmaSuh`ju4QCrm`JeGhf9$C z{SK4pCC#(l0NKzSI@f3pK!a+Ov)o8;K#oarjV1`wfqHB&rmE3k2%1$%P@C#WGI{Pl zxw%5D<}7;$uWB@CE@mkSl9;s8hE9@eDQn_7R1*Ew?UhSEf05jQTwT`e$oW=>V|9(@ z6wRFA?tRUZJadw156Kfmb5f&l$rDU-sAT1PWREb?g-Bwxl`KI``A8@~vd7((zx-gy~Z=Q1&*BI9{z)C#L^P|MKn&|J}&`D;U-- zl0jpi2u#0a{{sxJY!)t9F#VV>3E({MynSVnq6_Z5WejO(8wwJFj1*lkF#XIdH7n90 zxnNOvhb4Nl8$ntm7X&w-V)~JYH@zH(mS@wz@|dNm(=-A8NAGrhG1PXxB3c8`b`Bke z;bco*bq4>7MRG|%9}z$^m4`{>v7*! z-fq9315T7jhgr0_DRJe>Fk_OtZpq>d(Enh~t1o?2E2}D73}os;!-hWY=~q>$Sq0l) z&K>*JD(xZlS=6oz+aDZWfDVAzP0wSOF$$)BTeTbNcP;<`lyDA+K%AJc2Fg3+AOM7| z>6M3ZLwO~S_D1_w9_>9rduqG5KJ_Eovj-8Fusk)czo;Y24=LwA{2%}Qul=*3oHcfH zPo2gqw4Z=XwLhekX5-*S`w3gq<9U^E!6jpMGxVqyansTB-HF-Fhm8?I zCCPky%Is#`9)sE^$$Woeb~Etj^^Y`JjjPrR2V3-^s!7x0$-C}p^U$7YKZA4WA`=Kn zRqz!q#y}I*^9*JnY6W(nXEUX3yQ+h}i>gpm`NDW|5+KUlgT_g)F z90)Aio}UUv^C-P9s(AW9mZZyOTDVXEJry~Re0c(+7y(LKZM3L@G}qZh6?^2*)p&DJ z<@Smy({52~HRk@*>*-JZ@Hc+?Prqs0@=EZ-jP$BUOmU)GHRv*1-qfGElt4c znJB6(eA|2?+u^PEaHvSFR9Sc_;-urtcG@53OXLg#ZO%79b?B^K773zbIsJLUluD<| zY)YTMyeH%9K{kd&)3az7#0UL&NU*Wz?!WhCG!x!`LG&%QqQ~%#d{-J2tFNXsC|>(~ zgV~f`zVlw)D2lDy>LGpVlm7BIryy3V1DGtPb`pA6n88R=_Al9yDL>wD=w?=4wzBc`&w| z#yPJjDGM)&pwm(i59r`P6{Qx;)gVyuf_w_OPV}Ql{G3-5QyNzTK18V-Ej9v|tSUFT z8iZ06^QWxw0RDi3hvcZyEf%bNP>UJaJAN_E;HuQ7-*TZ}ykfY)69t%gZs}t&xAbZy zmN|&My&%@K(ny|R4q|Tx8Ti$o^rt`ljZx}TdSp)@8(H!brPPlG#)ih-a2UomzbhR3 z2zIrUWotMN!v&pVA7nzXFpNz@P%N(r7LI-J4NTtd0nz_?4xL?!FybeL@BljP=#~s zFAvQC^idnF7I`GmtTr~F6S`f;$XAVIUlFnd{LWzSy z8l56Bj0@`QSIO`TFgRB6VJUFgUwulOlH^hnqLrAhF?Ld8w@CgkZ z19~rOQt;Z5{*{6&oBbMBoi!=@M-guz9eCLF=ATrWbSu?Fl@vmuxa?7_7jZ|x3skAq zO--sDkG6=%G8r{?t=T>vBLCN@*=CcHsj<_76JYj=wwu#|*Pjkd6GhSsEWJ&j#qr*z z3HpZ#H1~o=^iL0GFb6ER7_eN)M9fvU>#4fE{&j!t=YKuStRm1oRp{Lv(aHq6xwcz| zZ>bAzODoeD-Q1p_6lTIOS5Xn>wuYq&eZ^=K0xFs=el(WUbaT-qv_42fa2BMQ^%V>5 z4l#p)+vCtQvqf-Cd?gJxnqM@h7=kpjE(pZ7w+J~lF$BfT7RhK40?BQ2H8tH_X%PY^ zO;0k@&6Q+ow_5dBAgWd-8+8JJJEkxamy>^@x|IooL!{P;l&(|r%w-YW z6a3Bq#D`=WSmW$TG9BXQiMDTGf{|+2??FCa zo#&_{phml&4ONr9G@#Eke?a%?B?j~m=lTUxEc_Iia0Q0FfSf9ry?|@<0<3||--GLF zAhY#mAK~%!5vJXu*+;k;A7S}{AN)J-dHw&--rE4{mS$yP6ChY&s!$?{1H^DaN)x+| zeE&Zb2-00b!B7cz+C)U>+B5W>xeR^!(%sb#k&2~eGy+95l4uDj6R6Zw5(5!1$OsV< zDghZMl#wcvRDM7PrWk@TrIDY2d7kz2uJyiqpS|~Y&Ua4V>Dg1&bH07QwcowJ{j+|b z^{h9%0i_5Q*VJKiAHieX^K;XeqU9FwnU+|CK7t>5ki?$2A{T@Q!GSNZ=%7;7!m51* z)1Q+C#hGAJVH+}6$pVnjD$)J|BiCRkBVvB`Ejd{*$e&*&3oym=Y6AT#S+E~s&=()U zfB1IKYA)8u;+HxtnSYs+kU=5pnMr% z+s}7sJIJ=5Z_zN@eszzA*!C;llkMtCw*6<{B1G?gm5w0o(QMLc+ezqv-XmR45GhO7 zK3IN@u)rVAQJ;&Bi26$1T8uhNffv*5Aubh_08UJo%QhfVqNWulT%WI5oGUynAC(l+^|C zngx!Hxu%Gm(h0Bm9HrySb~--JcbE2GW3(xBU?OFal1sFLt+^fh3u|!NlzM2XR^w_x z37ih+wugImyPjOPYuYWE-LBhlyWaCx{@4$Fe$;3bTklW^L)ydmu4%8?8MPJum}uxx zP?-xNHvsB?3Ytrk1!>od;Mphlp^5kEIeRqfe(1qDd(^2eI5=jHCfx;xr|ji1@8{?= z79$k4xW+-mzn=v9QWNReY}+!%YPE=c)Cyq^U{=cI=a$P%zeTj*a$ksu;YZxtf_IYp>pf=OTf`lBBGS2LZER&VNvQZ~x*S4|uj_lRSZ8xg|`AIe?2oN)q^3<-8jvrUS496zt;<%2{+{ z7Uxti%wuU*%tel1Uf;Lr_PWTiKdu3I<~gEmxjn~RTia-dAZvI$B8s0Jy!M+jC$j@^a?Oq2vuU3=`R$gx9vm!u^iXbsM3O$If# ziKRf;Dw!Lg@Y*tvBrseOMGl}g9b6K1BElp$sP8O0j9Nn6>XJxGaFUQz zf*K0_(a>CNbRVIfe$x%i#TvVj4zJjztGcIbnI44nNtEh-z656w1@YcqC*6V5Li=mf@rqc*09pGubA3h zQN)&%ZwN|i#CuNHES6Ji0HxmcoYw8GYn`nMY`IJT%RQ&m>VD`WlDF2yx)l?Z1tkaN zhem!!*(p!LyQ{DyeKEOOhqE75rO7wNK>CD-52F@mXkc+a>5XW8M8*992%lB!#&v@yx zUVi_0Mra~ej%8awfrA2$dP35!wTX3jKthm*rZR0P>-8M#v^5Q;=hr>vPN*p+Qm|(Rk@3Icw+& zRvl`*B8iHPNv@VHQI8bjiX`ZXpuxH361b-NG{uiCl4oG*5hX;eBOh8#s@p?_pt5Ud zGu`R6g5Jo{FYEM_`<6ZFDN&zEor>?7)u~wFsC$6trkZ~Ub-O%P`d4gcwL?`XX=gyg z|GLu|&^X_HvL75DP}z@>`HvFxBwtf5PxzWLTj2CUk3G?+s?)g{=kA(urrn~}B+bn@ z=V`|IPk!ase`Nv%-h#OjE%YdO)9xCqH3Jzp9OoyWO#??4GVUQ8;cV6u1>QmiBxJ#C z)=`6ke?T+1Uswl!{FrRk2U%*fSs!Gnt<5@8Z0gNmc13N1N5d1feqC&9ZD?sW)l!Xx zD9JAOnba`5@rjG)|KgkYl)vZa_$EH}nbLu@&D`^Pnkg5rFPTzFHH0ahDe!u#M02HQ zuJl~K<89Bq{asNel48_7B{TF0{G~@7DLy*P34Y<>bN|+}qwOrE(z7`T#xeJ6@J02! zKc?ORv&V7^a0G3uNUrn%x2Pqd1BTkQ<%wMBiINbq0BvoArqmA|C86C?(17_It4dEP z2_Xn=n>tc97)TMcu}HaN%UOMO?+Hz*9~wbC>mo#mZDA>E!7C~~`@JMC^GRM&=>cd} zBV;=qyqCJ21v87JCWP)|=~k`Q%y;LANhg|L#q_!M?o^eYQaw6ggi?EZs!C5(kAT6f zFVfAN1N9sx6nnx#%O~9r&Vk!>`v(VSIxr-JFSkBxsV|GEX1~Aa%~$=p>2u0XmZf)3O;!!O@S7arFwu z11aFX{y~#;sHh!LeUF#J1;>uI3yqZ)F}0~H+MK~gFiB4Z!RvC?Rc0G6B;D>aW96&M zy#ejxxTaE@qGQHz%tgm1q3CE&^@yK*ZPePd> zk*SURe$$04FKtq(Yn_x}Um1p@2o_>7Frq4IEd;YlEe;>rP$lP;51mzN(2DKRJJXzF zrBVy47$A6ORV?ya@{KGXuJE1wpZ#yV&+$o>0rZG{c)3owTq0+pN@4eMDyaC1{x{a^ z`$VZh1laKc18xKVg$Jmb+oSGr!XGsL8|DVlXdXFbV!gQcv?%zD7vr;&suHcPLY1c) z_bj=wyg#3mw11o75&S_uzJwC#e7T+W$NA>QR?bbT8fz8pn)as!j{Rw7V@ofN*I2++ zkgPC($G~==NGhz8z`{ZpBau!AGuTV>m9R$|r}brsdSOTkue(o*gl(1@CMw z(DK>vB648E&>z5eHVanWf(0A4+;mF5d|G_Pn4`8{cN!I`S6ncP$lxAo!Bl*HieQ!PfcRH8!juzR)jOqvy`#fKmOZ4jT($MR~bS^S`?5siccn=|NwWfBR(gXoFa< z>~_r*^yjCbKV3cAQ-53PaoX~IZrA_%q2;fSH&g2yNQ}*@GjK7hN7Mt+EG6OO(swge%bPO{qN(muC^QYIiQ;kl%wjz$E*@sQXi87uNcDc|dY z3X*b-#wP?BXt>?85V$Rmfqnfi=Q36*Jv;;TY0z^&fn1`U$ zz)TRsC25->NP?2)(6pp}j>pvkz$3P`06EnD7>#4gNv@H$rQ~iaOmz8YYulYmu4U>A zB+=8?o}~mDe)I$S7In=fV6)X}PPWc!Hltz|w)AlqoN)gN?eJ8vqwzp~iIy_x6yW-;w=9rz)TAyr2rbND z#X)ExFMnBag=tqjG}HwY1~q9Mnwr!FgKYwGs!2nTnzRTOwh5fA>ZlN;CUwDJn}D)! z5qs36E;xv46iw=q1F*(XtyesJe(-oi{w8e~-_@E<<$F7mb%`e#ATZO3y1M_BJ-lG?uH)^KWGsDxDe!;hV>Mut1LlG}-N)7*l{1)+efYAZ0ZzG`WD+8*y zU?L6KEEO~nf)bP%mTeGkC!UOh-d0G234#x^ZE4RkCQyPB6D;M|KD?a-C4T75M!7)x z@OBcE_@OsDMi6iydhm7 ziLjiFiowDdP0%L7ayBXk3q!4I?I{jjWuwYuVYF>qF*`{LBHPZgOcsWuO<78k!dGsR zp#CG4k$Ecu5bJ!M0$6;_rQAjo-67c~6_pyv*F@u*X1H)3onMsi&jD zs&m!i-EMGIj7tp^5g>|c%+aClxN)cvLc{nr$BAXftlo9?yQ;#=DU|c*VwZ9Ot3?9| zn@ZAaEt&^xx|JdX{O4}zQe@70Qp7MU75u3)2!KycXkO@b=+?CV=KTF$rOu?pYe}nUiKtT5c`PZ(o^@UIc*-Qje{t<+e$8 zarOzaSUsJzyrI4#U~}Mu;GuguX{oA5> zI2RXQ2=tX|w)oXK-GywJ2_i6Q1*>!ys{Uw-<&u~~-zM+GNB5s&^?x3G)g50)Rqc`p z4Ak!jsKJUgahjs4T@s;|&c=nt7I0{!4oB5$=)$JF5MNk{;jvAX@2ba+7FCstOq+cO zbZ2O|_@qL2f(7+TgFD3j7mjg@&YbD1#05)^F}Fh>Pob|$m4@_6!%r4+tKuiun-knv z=HC208PSb%nr?b?CJ4?aa6dq5!YvZ)fGMbvThyAb89Q(u?7*M+wr_hpp`YyB%@44y zm^RPM7QnjdB5!Z*XZoS^Nf{fORV3{Tl*h1U$Mi;;Z zw?hpYbOBtjWC;5jG{gmPNlSm>BsXNyth-3W1#n3WuGKCvFljWsUzVnGNpyXXUY-49 z%AJziL#lo<#Jko{hHI%wLR;kCBo{!eu^Qr26J=q@(;_#w{mrszZKTKaO!whe$Uj^n zJ!T(r*GQ~H*QFa(WnlB{1)P?io8kqW9#z?+J7PCFrhTeenznpvmKat2{5Sm{U;DR5 zqpG1`DT4?GW1t*Wm9Ex4Y(N!N4FyZd1$$~RSfWbx*kUkP%2Cx|uvA4=gRv4@bjz_7 zD}6<*!~~d24#!G4s=8^cl=P4(N-CA~uR-zqIt`R&NY)u4S(vh&UUWo8xVlKWO4^4* zB(QuthGE%dPj2ap5ffOmV zX_Ro_>!gNqJ}arTEmgOafkQ=Pn|4P)xZG9y^U28jb35(dU;&r{J*6R>P2R}gm(%Bb zltCYgyi!P|F}y|8u6T` z;2&4OFlLq{)@my!4+52wDcj-It(V|N%Ev!k5EC9wE(EImWjpOJFrjcV*NY1TR(XGc zw}n%^=639l3zj$6n+rUPX}R46-i*8)!>)Aw);Qk`m|d?g)|HbCfp&*{zzxnf2L z#RlKU?}tFOzih|(Z&nwZjK5tUFE-Whhd{l5{rfjK-+cc!%Zp9LS_Of6|IP)=KSv+0 z;-s(TYWe0fPj8Zfymud4csNTk3!AvsWfMznax&{a7TfGnc8l3BoSLuB@6%?L?Ek7q z4SW_2jRP04Tx zEeh1kV!?I`CayLeUL5KL=fycLmW26DnPxeak_TvU@e{_TSpqt&L;`FvRSp9?D>y!q z;qo7&7;h*bQ06KQAp|AE<$_1F!_e))*VdsQg0yb71q9-TBtN9&!3EMRoUTEii+bE8 zcYq>F(hSEI%2?#y>@xLm2 z{Yf3Vf1N0F^=952?6O?T1;B9dt?WZN&K~bM7YfZXInZ$m2nam#juuI}nxXBAo zp`MO@S9vM*FQ{h3r4b8P9;xa~`;B7pHq6A8eFx3k)sBTi1&bx_nG+@6(0LJs({2&X zcphMXSGOp69`1Ks@i297QF1->BkpwEqDPn61F5_?@eBPS#YS=&r`#g=Hxq7=4*dGe zU;Nnpe;#zo8uE8ihZ`A*%_xC&DrX;O7BqjZOsSP8w5aBoR^|LGB=QH9-(@ zTW9tZjg+ql&OC6FQjlq!=Fln60>il0UV$SCjvB#~W_jv>&ND&a9nsd-CXRCIuwWGN zW5DB4|LT-vK?dqbHbe9?fc90L2>;cS5+Z788nC|nqc=b4Mh{xQv7B!E{BQHMZ0cHl zeD8W5ZZU1k7~FkD|J%26{2QgZsJv`0@j~Pr0t;PL46KApkpo~Q3l}&lQ_!7NlrQPI z6y?iy1l=9`j6z+NG=V@BG|P6{Uz)Mf@uhbu1^rS9%g5&v68j_9Ruu+m(5j??B;(Tl zvK{*i+%#=UiooM&Ku%JE4j2<$UGR4~Xr#-}>vnrExrSYr+#{LkV>d;^D zk>x3x6+a`NzxJbV{_p=}L_XSF>q^U7ZPDad#t1DXSja8uk|D8v_(E%d*H`kcSy1v7 zCKzLcw&+HMLdb%W$uL1)I0?E?x;NmkofV=ADlI?9W1%$wDXC(7Lr@+_TM-1znwdtv zS%`n~p(S1AhsLorg^lrk)NWZ&@_N1^2vdbw0HkYRC9MS|^6``00~!JKCcFkVfFn*) zp35S+G6n=Nz6qmr#TOutY&kl{X;7_WwuiKURg(Gcm`MR(da)Krc_o=|4^sBTXy81L z;CgC*QNBOu!a|>}WDPZr%oj+`igtyFTy#(j@A|6XWx=TsND))hOCIrvGyEu2!-)tV2_~fMx57~Ma(>`9~%*- zc8+0cm=S{5SIpQZH<-Nb=*2=0O)DggA}~Oy7OP z`cO2-ad%~Xc-&IZwJM@NW=fLoiMDP6kNdtZa;$cTM{ZFYYTwl@;&H9Is~>Zow8}Yj zZxMH}@~(c&`wrIEnSb?Lf4e!>f9H36{8zu6IJP7Pb_bzEn(G6K9WtXBQ>L2eH3!5c zU4as(&5g4)U;uMG%$u%Rh@&<)MC@uDTNaF`Va5QIHHXgW3gmR*yUMyYNp8Mm=iUS|*C{2s#T z$>M1-XvYhf;ABv*``dk@1!J=KZ+^!6{>l$VgSU2yyY{ud@ux6Z7>QcG;ACkTb(_+P>!XG^J{%rDa|x6AXq@l25L` z{BQHMY*rf5HuAV+*N~iYUG^J@wm18JLj;CTJOi(OR= zCXB7=fFs4O8^>VMHkV>3#9*+P7awuP>CF2e8vxnPMK z#;|rM6oIk=st(Av4ka*M8(*SBEDoP6d`2Wu}FoUH)O;XZ(Vc>MMKcRs!%kD9jppP zgWy5&Fa11kf*!0it!`LUgLX>YHhf9KnaICi-bSH_s#K4%?+dS{W@mfXPu1C4A*J zwKc9x(4*0zMgK|6X)7M#wjq;`6@l4n1#^NPz&B1~VA5$Pd77N3t`hWHB>hzoV^5(R zv!Dd`o+ICFRNsQ&)bwrqn4F+L99fN^l{vzt7IhnfqL}?c?v`853L>I!BPsHH>CS5!mojF!otF;RcSf>6W*s&#T?Agl$s;Lcks2eS6Kutvl zfvCbC5rnW_C-A>O6f{U~7U+@uNy#CpCPg-^ZO})u>!fUwHuR@#)Tv`K+J1i^3{Jri z0tZ;ldt$$TmCb`dmCcjw08#aK~vl%Hc6IB{IBkQ4j8_# z=zp67H=C3iE|AY0h84ZdNT-(gs$uTbAuZ+(o7dtnv zDfBx8Y3_W*9VT~ZXpLczEd+w*&IOUS)yBFV!dwm9Vnv!e7Yy`;4K2$*hUU%%4}h8o z=zND*T|?_wkqDJt= zqJe&l+FF_>u~r%|UXLZDEE0Cl4Ws{!Z{m}G=YQjy_@t+0vw3YJCoX+9ubTF~p)+EC zt_{;W(C;Q~%@O)(7jud=HQ^AkCQ<+({7pNcq*TRvnj@%o$iZ0~+!E?>it*E6I2~VN z$8^FFsAENLhqVN9qarY7ba)DSUUKNMoL-(VzJjek9h+v)@~OsmYT|L)@~z=uMd+1( z{@3316`}N$Mb~|N z%PcDbAg290u_Be8uNdJ4iY@Aqhai=n3nH7PS_1vf*1r>iRC+GBJ#s{@{21tR2`@sB zO0Nj+K@%qd3-~>?#^i#ddPiU76Z>c6wRUa6zww@TzUukZ_@k7G_gLi`zhUNG(wnub~2?9`R_}BFs%mqwgSkWFo zMs$n|-hctkb&S5MSCF^pmsW!9o3{Fd>KMYoO0Sa7mQZ?!$QWM z=0nrgxnQ*7k0Pv4@~1rjDM8l0M&JBV)AezVv~|AXmQDS%p6HvGYIZ(!Mpz;B&L{$c z7<8afM64*jlP`&W6apQ{d$zX3ev)Kh=#ofGkgT7QTN_Q3Bw5IJ$!OXK_hU;fP$8_M zX&)#RjU>{V`Sz5iecYbz*!AlEc+)J>?!yr>=PA$8rxXkv?({r*wVX zxK1y*mNk>a%k{ZxW(_j2J^f^x$vdsSd`}M2WqhBg^*%F(3Q0ANOs)8clqtxt4_7N%-bRA63FdZ>i$E**sU(k>ID+ z#rg=TLm$Q`l{SJ+o{;^+?RQ1vdP{^rKI)b)Q?zl`zKt^KWRB4^cuK@`gmlD5-*^vsr0?{ z7l8VzBuBjfHMmXvM+|f^$2MS0B+(1QfA|0N-);@`tjnJ<1RE$n&rZPkodAFT&Smv& zy%Cc#Bg0-xWSA=1kz3Tpt+UlXkJbOA4}8kP$Ss}J@s<)`sizot(M5_jY_hphhbu;$!#k_@dS`j zQMUSMP*N5HaEKW)EY2}o{llI^J;m;>1gxDs6N&w8KNMF1*B1X^xdsrtK6cfe1)3pT z7oH_Xi*~x6veh>#e)t^L-J5@SZS@ZvDJpBimuQd9)J!?g9UyFao^X;{$?TP(Uyq$> zay7+=s*Wyko;@4rnp5A19ehmAR97kAq5T$z=g~p#Dpx5a&*Yt`4|AjLtm5oE-YTjs zauo4NkJC2}BxK@~O5YeU=Xr|u@7$gB=CgKZA#ahi01_1-vjxOT+9;T}z$8;3Jx@*( z+dDX74?8Z))HLz5pDM8|yKc;Qh5mVvkxaW_hAjYC-&f9;?sK%lq5gnkfKc_ZVo5rB#-IX=T zsb2I8q~tk=l7MWlQTjzUvT|SZh-p+xTm)A4_MsFGiXw>`suTwHXbjfEKw2|BzZVBo zG1W*dG5xze&-4Ia99IjD^p<&0J}}W@YX$ViF)@sP1$B4Rv^Fzge)J%-{v}V2x|-EAv5I5d5{AH^FFZ z*;$bq+y%EV3mRN*0Do-`&4wqj;xXFgl*lW2`w{ z`wBmX&wvYVH#kY!BeoN?=O`A|C6VG+4sT?=1^<*Uq=c(331k*q6cB_GfCrlX{kaOpHuo_2~EL7q>yhr03B(OkwA4Zsk1fY=3j@dFGov z4S6JOPNB1<6kW9P%s2bdI<>c)yyTC-H8k4v+BAt+9zWi?ZNy308w-lGYo zs`RmwO%{*M1WQ#Ky*r&js43xu$;j3Vjb9DyS(8u|chZkthKw%R!`w(##pY6$Myit`C2j;1!BnP66Nz>)vuWR!LG&YJoDq#>in4h@`4X|me|@7{-u z?iZ3zI3bxFUPQDYxw{eH4Rj!>aHrbMee-`Rb}HOBGA!{)J(IHy8SQaD8uof*Ifs#K z9lCiCZdEbjZIAo{UTm9UJQ9voG2#etm}9$gj7T7J6(d5RiZNw7`5Sz<(`FTokuU99 zSYms+N37RtkR-nU_>(^2v!m%+t*k3{j}{WsgieXiaYYrN`N(@PDq5M))@TB>n4y6{ zu8nDOfL1`qL=&|kUk=b>f(G=umaF2>IY5g68kk~SuQ(dePzgkquFm|X$r&Z2aitM2 zeCMmOWFw=Rp|2FB+6PHG*~7MOXIRGZ0zAPb-GT@bbLvyNMbo>HZb9`Dqt;^w=$@+Q zK&zXTeD$ui2p!>1$j1|tlJ@6zdRN;f3qir={hL=`_#@K(IA0Q#dU}j}5fwLJ+oU1e zu|HKsZ0W^`bdo6E)5}Zt5Z{*On6`ZDRx`EpBY*MzU;6KjwwGbBfRx1#;HcrtmVxfn z9EKr9>SwRDZn#sDh{=NVIf@{m3QX-Bm&;D~6rYD8xLPS+DvC!|NtVaEEGy ztk`#GJ~1wc$vl=cSzz2MeoQ9OrrB`80|@4jej=<8u**h}Pl*d+;t)J6Bv#e#$R$ZaE)^?u*L54bau>pO%dxG@%d%^tx#SgrcX4q+W@9sy($VwXiRL!INwpx8 zB&*wlo(@S?!O0cWK-|Ys?W!uzT(`@v3#Z?=dRG7SRI*m8UER~*0J3ojpDt-td^K5L zyQ=rUjXG~ODK%7Mn@7FAZb!XVId@57bh7zWx2_{b6n~#){*L#4@MAwekm1^e?S+5q z$tLWI{i+j4F5o6Xg|lo+I1*KO5J>RV_Z0yPKnF4N)IF3G)XXku$Rg5jE|=Q&6)RFR z7eNex!=X`M1+Yk)v_g=Y*#*Jpsa;Y~yf)oB1gV)_(5OAQ;?b-}2vRe-UrNc;U$Z0@ySUhdHgCSL}B3EhS$QzUAExhnahF z-}ke>V|>h7p^HK*u{y_LD)^$hr8od2EJfGxF>6llwA@IxG@IPYk|L#y@-=J^=0G!z zk_9VE>a)&v$IVW0QgM#ik^;)haxV-d;wyzog-QuQed?7*Q^Y9<;Q-4ENM2U-VJS*G+EP!y;d??(d$^!p8W1?Nk4)u>C{-j zUV{^@0JAj9KlJ^-?~@}-qd-QF1q{}hi1R^ppbmK;9>vu#+^mghCt7$TxSEW_mCBF{ zt_a*jmS&G?lWK=pks8boeOPXe1}jF6T+~PiQiHi*aP}i`X_20i*Rw-&!kP3!V2{qB zslj~3Aoo|yHUVXR2RDRZO?*Hqgf9pRC6U(zJ^+Ehy8Q zqi;O0X#XP6n9(<9MBk7|13VI^C$a5;9noLcFMsK)GO~PMs^kkk>w_kha;)T4t70@nacK(nH#M-#*Z zGo5_`L^|kv>O+fqEZBk#cw~^yIW9fv8$U+WqaPY{H{z`#xw37s7or|b5Fm}xmM=N} zsMuQ)+b`r66v}dJ!U3rCDzrkzHI(U_+ZDU%v*f7KD_&Sn3OT;JIf#TE0); zed4dY|6Be{(s!abTX%5o3AF#-o{A(@EF%!M^@$|H&IK$IDf_g|$`O zH7EPFB-v1|(t^6Dr4&eDTj=;;IZN6Bol`IbbCL~>90WVCZ*VD-dvZ!FC)svz4-p+h zaHl|mESQsQ2t2h*V}}lqHV0WH*)Tqb08+Jd912_Ynxj6LcubGG zrF?N^=*5%|ebMF<=Yi=7^;frCLwfLupmTP@PQ#1}E-dLr@liuJUUSfmJ;$diyt%qH zSGOL$@VtzxwPqXIPkw|VEpK_%e)7so&t1M;&AsIg51+@dTiy3zweJg$E?>oR&%Gp7 zDr`M?^~JAwMLC`1yNA7By>$7Gx4kR&d+8CjpL_eeVrMzUdn)Ej^hoh!m>d2*fB2`r z=Z8{mSfYwH`B8`g`+7AbH>|70Cj_8atl@aV`m$-Lc=9CZ+i51^hx6EUg1jg)lp z7Cc?j^$`60dGQl4e~nQ z{pGLz=uZdNkGejd*KvnFjjQ7LiyAFZ0TrC>4XxiD+#PjAS#gj5Q3Ify)xq6SRk$Dq z-2n}Zdf<)&u$x0?paN755D!E&0CvX|dX9K<47CMp8*vN|y%U|E1#=7q%r;=q40x6( zN;WH2F%%9chX$7#GdpXXh$f?DqgcDRk=&< zKnZV>7*5hk=DUMlMI5i>#g?;Df^$l7n z9X=vWn{;lA@VDnXgkmuW5O^yOC~~&vnQze+lVb4O84vfYneP$Gs^k#DnrQQ^@6jZa zqXr=gp}M^^D0sIPDpo~xe@2+wzjQzz`tN=90X>jgqFDBF>s5t542kaLtv+e5-NULO zu~<_PiT~66>$vr56V$TS6M{Y5`X}YUXqe%E(`{}L5~BFT2P4}N=&@HX4|t^ssEQ9G zy?SJD%MD7Ti>q>SEdh>f$MM%P6eXQMC|{}uCkOxr5Y-adj{PM|mo~*UD{(6tCE*R9 zUM#iO=~N>#$E|bR`q2@$zWJ9f{?7MD!dSrt?(8^dhnZE_6d;h6_@7uGht24q;3Bv> z9$`|*SLpM~LQn!D&1{1z5y&I)IM86)f_o$`GC>rtX?9_xRtsx-ixnkqH9_mEg%1PV zPz!#NxV5Z!LX1<@s@?#5;%?EG0!<5oXO z?aYI5KH>^B7g9NJU2+XWE@u3w{14Tdq8wdPhmvwlpXcMh=SF5cV!kGJRKwhB!qrCh zmis;0^uJ+PC}lK~1UlmfmF)+r-DwZ>P@=v!{8s z;VH5C+`C`B@T}wu&%Ha+mX@(B3DKUExJ#NnPzvnPVi1*Ee&NHrxdVXO8l{cfrA# zqqN~JxJQ;${EWVtqqN~JcwBGTxXz|XpdBf z#J=iwkFPlGPWsH(9m%SDd}uOi)jd8u8a3aY=pOGIjhb)Iz-ZJ_zd%iVLpCV(Rlk`W z+|&Mcor1K|sS`-u?EBxVjJ|)*zkM?CZ`SVi?w^yg(5O6X{!p!VsuJ zVcD)?U(6>>`y(_T&K=MnO#4fbH}+>PqN0{XZlFFsx6}UM4yjTB$X%S0iVzN}SWZ!o zC6vb|5*5isNG~>Ag!Jun7r|cDQ(c6q=55;Yt$AZv@UK7n&A;L20wbvD2oJAH!mzZD zk2SraJq*DR!>gmIp2!#S9{P$*W@?HUyzyu;_&qaYNdiYED%eCYs^Z3S&;{ zL}|`cf-r*>NrYEulWQ}oIZ=seDWD7zLF9m&6{|dD>0Ae5TorEo>MEC9TGvTnRHJN~ zTyiv;qqn49bFy+&y%zb;q}5KuDXLPnRHtp|U=2Nnr#fxx%uE`(YMt2hT3Y*e2IRb% ziP=-M@e>8enX2*Z1shSQeH!n}zUEuM@h4-bl5!%sGinS;Oq*X#K23M02o7;dQ{xrE zA!280JQp0~b*9F1!9iMQYCIQ&E>XPTHCUaCd!lcBQ@R(8QbhQ{{|on}$oN-9zLC)* zpfX|~nvoZG!{9uVpq+~Z?U|>+pI9D3=;b2`p;mf%Ly)#gt@Cl@)mE>e+^1E|}OjV-$5Tgi4*|5Tt#xEzHS95Mi|% zgSv!ZE|~20URgP;IwhE@U{We8djR;FA5#@f_NBD4*WnZSXV(Q2FRkF`7?vW=Qh|P6 zF!9m~BmtGEFQw9Ix}=v@5KkB`Casxo573t+_CnMHphnyEFX#}zns_vH0tF-uLX+0a zHz;N&VX{Vh%QdLB^8{#zV;yny-PWK(zUH-~8I1}8%~AXe{i1KQggO=*17TcFDg)_i z9^lA9?4O3P9F1#J&TY&Giv1`#To{5qt&$+pD3rQCJ4qZtctq9(X`)rd*a`?pops@M zq)D_m68n=p`jo88jR7Dy-<)+i>%2ft1cb^u-5;^%_*8#nYMe7|`PP;)v-1Vtw0!hu zqj0V%B9C({VMGiTav)5)W9aBm()}pYdXUg&%2;)ht%3A26-`-j#qp&SJOYO%$}0q` zq&o-!acDe&$j7uRQqA~^7%|9mgdk~(niW%S4p?hw7it+)q%otE_cIPnJK!sBx5lpu zU1w0a5Ui5!3lP-Gp^^A%4=r}Umn6NkCEalr&9TJ}xFq0liabOup`n2t)rBQ=Dj!s( zMY@|`sD2t$fT3sPldf_ql#R#A|22!sX8xX^`()zZD3Ma#hF(MRSOAxcIk|J*H7QT#Eq2p7c{y;vAygU5GaA7PV$&4$;mNqJ8CW|Foyyo$^2CJ~*lA26dZ`n?vj>J+C>M&GVR#Q4@YCN1Au zECxc~{dfM`H~eY@LRvZUgqRnkt=@|AF-ByzJu!5WToBEqn2gDSG`I}gf;6?Q3!46x z<|csv<(?(p02c(=0}9sACE#_HNorY;flv_~;-<(YZUBcBle}LpENN$JAXv*KZX;xb z&$rkZs3-)fZT-+lv1uy~{=o)nhoETNA~{G-!Pmwmhsi037;(vMA+$vzu)R5=ZHr`K zwB373%Dy5>D&L-S5MGE1D^ZQ=(g?+L+rqHRkDPE!Re688-F0+|$Y$`-X=$)2;G@&i zQ1+Ccs(t5}>+WK%X}74gU~|lMo|x;W{@L$)_@~EUAII$qjWlTFLxvD+2XyPLmgOF(-w2L53*@VPMLF^a5Pa25~~LXPO?p02hR*=8AMD z50KRWu*G*b^+k>=4HjZ7o{40xgX4N;KO?dZ+Y?LI#!R?8*1;$87; z|4$e3a-40A4!Tjf{#aGMd)!C%5fN(NC!SF^R}BZ(bJYyms(O{6o>SD?skgP$P!WZm zGz}F|>OR4;S+J|nJS#p@De9H&2ou>Mjgm1@avBKl*D+E;;i~ z@XF|gydph&7u;jOut7{#2I2E_9QD`L?mYlWuUIADtl<0)FdnA*cCDLk^LlJr{;KEe zYPE`d@4;gmL1||~aDSG*#MiPtmY+Lr=DxKD^>cTL?VCJzZ*w=zgFyeQ-4D4Usq|YZHk#3zREo(n zqw0&zHa1-g-&VSQo!D}7f!Cl8MynF)nr~PNhGjb)aCZTYy4Zh>@UsfW*L<7u{@hOc zgI+8LJiTFH?t0`twu&(7#oTmZZc6KTS$QKNzi(DS^;bQ5_^^K@HkJTs zM|pXQpc9G&_huzGd3AbuQ&!J%jM!iO&Hv#)`ic{pr8kl!&E?$wh#i^S36*4aRc{Sa zYu+rJlm3Vuj+8nlA;`zmwm{$P#GA_vs@e2nLNHg7gLo5(mzG7853sKo5jz^2)tvZ> z(~QJb^8?gDm?QSk1i-dbicHGdNfF3)C=%2gYjy~LJS#<}=#ap&v;|6GlZeB^-vG8I zXj!bVn8xBWWvQnlZbG2&LO%>Srw|*03C! zOvXI)Vk^xGc}01i{Mbh5LrH*Unk6+k6l;Q&2y3DtOq6iNsZImwYa%!$z@H_*jl`0b zq$IU%O-*jgnjodX(JRS(dyX6O1anP|U&dkyQaI7WS(5YlZqo`6Y=mGtA~TdxkFORi-uB-X^E%Ezga4860s0O`N- zI@3pKfv!@pv6H#L^TvTlc$M&|YSA^$Q%hZTbkx?#Kh0MO%|gw?tkgd)sfiw#yUkwQ zkLoYC@yZS|jkiW?MXhaVDc*Tp1uevWX|Is@%yDu^$`25<%3ux!* zmE7_oV-J6kv2^!E=0D~Y?L{7lEv)UmaaEtTJh3(BAdM*Ec2vpZ! zw&VP_tBY-}|h#g=;VNCUlI+)Uwe-p zVq23loSHSWuZ>6E=Pa>7xslAbhqwrFWH7x%9E5x^l`6sRICAX7naS0Z3{EAPZxDt% z(UoB6LO-Q;xRffv)`Xu!$B_DQC08l#`KU2hU$(08m^lw?=>E7R*>xAU)sJDnL$nxk ze4QNMJ{q_%!|_R%&gpkgzK2YFQt3VzmV8qTw{2OPKK3`W?P=yFc;U-!xvLK7xvd-rwe6O+B6$*Tjo$ftzM+f`^sO zXbnMX8yAcc^>({#3(7PGE(it&HXgAAxs>cjl;P?UHQWjYBREHNZ&K4`K^lWtacMdp zB|a+TEJ$PEf(Ixst17NKznaFt1^1{?OLZJ}2HctTin;Xq6zUonh6~RGYj-FZ#2EOR z+dT~s9c)}6ZT_*Z82{23xFmqLCb?PKT%#mOV^AcwOM+x^bPSB5*~q_K@~1`eNTf3* z@wdJ`H&Dl_>^!16i%Qldw=HQN;w#md_@-8tRG9u>d=sDiJO3Ns^nIdKN`D}j!T;Wh zyW?osP8xb9DQ==1>B>{Xt)0#;0+2^sk>*c}IC;hFBJ5F6RzYy=wH7tI2xzn6WCWUCogAE3tXzcB z?{h%V)Ve2?i-2K8OekZ`?2v{bcv5kxm76d`FJn3FsMTF?kVrzbdXXGtl3?kvNDeYdP^-J-Fp~rW zKbIV4lAzHol7mbV`Tii5q*g~7{czm0H&VQKUz3~^g1N;cJVVmjR}5wI9YR#91A-Yx ztMy5e`4(*#(!q+OgV(hqneWj}7%xK4Pw)2(OYk`77u6)7BpBL0NuJ6+gL}f)@(p+nbJ!Y#1EjE3Fca zj??vyEewmbW*1w-LfEq626VvU?2M1oW%7E;INha!h~Q@pvcqG@JTj43agXe^u!VxGOXx9V=?dQ!XoLM8FY-CHo{t1HLe34@ws^ z;W=vY9$YTPg87!`kPAA;z6jI%pepVWX=kZn1>K3w%Qlgp`|RSmWMXZun1Vews{WV0 zkwDV)qF#hQjCfl;o^<)?7ux1UsZE1gq)SjQ)nQu`=?jcOpfipLy|boNhfVSTh?Uer zb{in~#BEPUmz1ub=kchGeQ;0B!B3J>9X3fYppbS3k0>CSoufRf`dAML1 z7N%(2QX$f-RW|W0FniJB1xOl7$)YT_=rs_CnZNe;iO_Rl_H+qguYkrB?N?R?8V@Sh zH>-5e*&$)w1z&mTxyzRyz4GYA%Ln2}5^KgJ(&h8C#AO3~0%c!sBfDzXhk4hB97c@^ z)~{m4=Ux>e$86!lTRl-J{Di>st2n!}aV|zN+@(iAqEgM||<}#aCXueDrqtJ@V6J zNOqAd3sr*hL*xfczAN;*i{b5Du>kb-%as%EyR_VeXKnXHZ_%{7!d>}0%C7unAMtmE z?LMFL=C6L|2ZEgT3J4;I+~6Mof{4tWGM|k=5K#ybE`b1#Y4Xvrip-WumU0gm%QOfg z+S*%CV@9|oD)6<8_{oPB7sf$z2aHRltA%8kq_(o4_~RxRRN!bAXz_yLz?fj70@rSa z3WkS=CLo#%aGmkkfT>8|Va+q7Fm z)B4VrUk;;xN4F?>1Nsr}T6xQ>lwjAFNbZ1s%=-@dWAxREuT(i*Q%I@cbEudv@zSHq zcf9Snx4$b~KDa5%1r7Vh|MWlJzCR4RW<5R8W;syghn9dJ!ger+)s~KO-72yBfq+P2 z^r#2rvK8R^FhVRp_R!N;RV%Q%XvSlVb$$gjrDo*V;8)ivtC|(k2skvd7|S&P5w&10 zS)rQ_i8v7i@}&{1Dpnk`A(;vIKbo0{UoDBYav_5uej{9_xtJ>1BbUVZEwobL;7E_y zAhWb44ZBN%bB7=qXh-x+w7;ijonP-3p0sR=!;=lWTIhu&^~9X2CC$`0$eO6sRUE2e zhUL?AdyV>;9&Xp-M*-{5W8#}we)7s!)X)4y_rE~r&u0CMBR;Ne*6rE9LKElk@3pWQ zRa|euWO;-}YzFr&fxShOW#7U0p0U3x{5po8Vl!qU!z&)9k4&@vonQYae((>5S=Wr2 zn{~^d!2qJ%MI+2YTAfi>uuu_HjtnyUkc&H~-Ys6&Y(7{55M_#^u-zJ|kSFVu0?1cX zt48y-A`YouG1VLpSOE(oEABvO(FmrJ17ib)qU^U@RNU$ntNIzL95_c7+E&7)9(9Cg z-;xRrV0vG{>`TD`p?kBUn05a(aAA2NS(@0xTgk^BHLSEzYP!0RmH5u@3+DG_PuPHP67>fw-@4^rf@QFmJA z_H5ensy$l^Q|W+wY+`><6fx75vS1ZWa=A$o?vZR5xsJqKa{T?*PjVQUyn#a9dj z?Q#P=0PRf(R?%~r*|u9*bv*>B9eu@Znc23+r)I@EdiI%Z$PKr#ZjPP*J%?_O^{HOKgcLpXx~rg(DS;(!L!2g!C>#EMVZ;+t_H|mCgV~!^*iRUR7^7sIf_QCJpTXF-BxX1MANI zFF`ogLpK)TQ+&Ej-Rt#BkJ9|FjMuH{SZCck>t3sFQ}_O<|Ltwx^4CW>rc2!jo^M-| zxkC3IJ9UvKipOG$uM_Dg9*`ri76iFu0 zFvY{<2bEY?Ek|ADmDC*&8S?$(#OD!;D?Z((3Uig!{)Gyg6HMn%Fuji4$K${DLm&J3 z;XZ06#e;WG?G|!XMAB%qr+Toj5R+4dxge;C;Ak-SQib`UkxbV@HHN-4$ao#K>Vg;ti@2F}e54xML+2bhIz&0*2!e{Z zseX0Nk%QrySTQEk(O=q}qo^=HHiCDKe4!+CSSy(tC!pgnyIv&0eA`}0NrL*CKoRK3 z7hH40CD>Q@Zl)Ght6d#$XN4AOPCn(Y___I7Hg);isAk8}c;RZ;Lx4I$8fe$ABBY^q{p3~wZZy!YpChEhYDtsHa|D73<}F8YV%II^0zn&* zJpFzBIYNS?$6t+bX1%w$sUCVXD5C8V29<)~sA~^hMMxmq0nt7s7dxw%#*(?U9K(-} zEG$406uWgCH_--j)TNrj(h!Z&H=f{TL$rO1p_eYV3eay3aw6nNX8pnAs#^z}X`UrI z`JJ-O*T&bfcV3vEo5d%~#C}|5q-$RsA$Y6*Q*d;0rR*neT%&FswGVwd`T zQW%t7&)pVdv$Sq=L;2i3Tfzd?I^HT;Lec&hA(_Rq%;mhCuy8Nd>o`goP>2xbo<*esj)b_44rI)Z~-w=wNS zy8M%-Lt8)Q?zk|!{ACP}?yF7zdF6V_OqKd5ZQ`ZUlifg38hvk6?|03qpVYfYK=i7giB*L4)oi`?N=sUn5BWs0beR zY+~O4aZhM|y&@Hd3j)yrzGw=99$C|KacJrc7o-P)gdge`HfSiHBmMm%h%t9q5rQl5 zYBh(Zf_6a!IXa2~GYe%RQC^Xj%mvFl(cOB#Zhy7-`yqK?o)dXImPDFki@)!ZXfI$M z55F5Vruuh}x<>SB)O~S}Kc(D?KV3e!6QsLj&`LN$^zG&QvAVAcz1DROr2X?4JZ<4i zmR$1s$uMiHeppi0ktE}o&Q%pCm7OEzvmx1kB4t-w1zq8K2R`Fum@@=AsO7D$7`|Th2zL>72 z3$;oQj|XY|pvw@N*KeSffy=9^qd*}D`Vg&h*jI+(c0n@Lo90<|)M!?ENP#I^K6IHN z-?HY(N)H6@>{p^1)c`6BW~H}f(ZU2R+3)8#Nu4B3V7f$Kbi>6>4yZgwP*l|8GEvh* zsW=p;ZMt&uzw&MKfBv2SZN6?cDK%InJznIX23mOu3m7dwn!rh~a-(d3nyZ{>Eu~cQ zISA;q7t5=hkJm&UQlm}pYD+hd8t+M}3+G$)WI=URK@4;eyzO-Ubr4f4GQBvVIpF*r z?@n{fY5Ke4@G$i;qTE~UJE!T-lcs;ukAM14T&D87gw-7&Q%TdKNww`}O?l1XuHcl` z1dwULk(&Ko!J?-$2RPgnoI?Gl0)o#%JUrqn)AT}$0aMr4J}fHA4~;4FNRuLcu-@2s zl*p-z8)ky=tl_Ow5O{cnfrem{re~WHC4o+AFJzsjF9%0Dwa$``+F7-zp3e-|UH-kK z=RJ>kLKf4Ft{$MuV7k%OW88Z7(j)u!FOZv!6`fsnCCv=GE8EHL*U3wbXu|Mb(`EMx zg5RKtGcaevdv}I++AW$5?{n{X{=!+YN?x#s7K?z3>Ev0T`_=FH^56K+z2OZYre!5d zy`VO9+$|4;Rv{u>bM{!B-Qs)e5#e#WBE2^0*jd)D1#`ZvRI{-IN3&NMxGHqS)Kau8 zXfUd%E)Vpy0nHD+Uq~kcLKSd)tECFT96F-L&%_#k%)(ePLonydP|8*$9pG`hHcLpu z>xTw3&j|9@P*}~6sq$qgAD}}QhIfn1WBY5Wd|4UE2K2IF)Z#1!LF`B0E->3%)!D{lFnP*^dzOs>V1KGSyZ) zR?$2NF9C$hk?Wbd3!3nCF@ts$S=J&NQ!bl%QGV`|(G|^Ch!rtTRu8)GPW!7y&2pE7 zS;Q^C|K=(6865_A+bLrRg-$%>OXSTBpJA0=d30x?>fuvn;WNO!#;bR_lVET4>?FMU z;@7;QW=0519aZ%tu&1eF8o5QYlW;pu!h1gEH+;qyk2wjuL$dv?0nTRV0HSbp61K;V z0hYRjS)tT6_{0tsL2YFru{qe$I{k&&NdRg|orL3ZXW6CjCbVwh#uup&Ya8Tv_W>sX z*h+@`F#SuN)mu3p*+~G*5zB|K%O7iX54h|}jx|08*8w@Hbrdw@wgJV!LeUdxT z>m2Ah{dE;Ra9|dE`=TuUp0%2yA&;SV4hSjPC=@elC2aqTyiuxF zq3h3B=HYDF1YWxl5KNVj3vj{{{DE}P>R@z99R%h)w@sY$gtbg_Y{`r4>6`lZt{^(`W zE&O(X6C`nd*t1Ppo@rKqVN&_jgympLUC=^j`~v1&{lB4x``tOmH)j3;P(BnX4CHG0xmF&obV=t-o8eLOg+e+Xvd30Nb31C1y4 zV5pxDEuo_A_tasr(Z)T%H9J0o=tq}C-?I{)9??_HqI|U`GxILF-yJzWl+9qicyep^({9o1A>2;w{_B3z5B%hZBD~hZlzRwPrb36{l00?5?d@oW znJBTZ%tLU&BcSq#NQnegLc1&|`4=ns@}>*c?0f*fVkj3#$v5XHipCF(V;jH^$h<~S zihm}ERzsReA^{PSH-fo#j~P8o(h9avD1Y;>u4?zB08nebKm$gzq<9E^CGC>);OJ~@ zC3Ec_Y|K_+0DC+ot13S|1YZ-`aquwnJh5htSRA$cG@W9YFLh?PeqKu0t)I_WW!D8U zjG{Ki*?g-=$tG91WcltfV0-oS(^%I>GwX;y?X$^$a!tM|q>)=RoBZ1``M>)QT>QWX zVqS{+UvBcv8$bNt9osoJ!?8Hh&FsZe5Uy=1wm{Zhr7AT50E9Rs? zq}~rG=Qe^lDS+W7%BTb8UhB)ZgTXDyNrA}Gll7}vF((Dkkf;&-@zBlDS4jb|wMyz8 z%1dYlP&z7!iz+Fw+Z;(*jP${AZBtN^Br*Mdf%a=IWs;pxKPLsEl)xc1&F>Lv8ecPN z34rRid6OzB0NkM#6O?nUzTuL)_BErLfb2!R=BOK?7P*@~5Ob_wnjZm1SKS^jDyUo^ z@L#?ue6Dd2k`U=$cUorf`j`I|OnRO5pV4~aBSc+AApOas;Cr1m#bHlnhVNE{b@Ly>0Tpf%o-x^1jO5gVTzxs{iw2!4b9kh=c1O)Phiru!5?%FU% zNp#hw(|}C3uK*80@V*F^e(o{aM-)Cq6(ap9L#8t9g}2>TgsP+oOsg-uM2{&wR)D08wf(kf%lG+hf9n5v z=f_NdxD6EFtAKo{_@1luyP=wT4#@ZL$3!U})|7b9 z@$?nJh0hp;3^kyB!J)ID`2Q}6$zpo!En3U@YbwdiP=m4o=IJqg1gs#d=apntz%E7c zCCCVyA1>MKIJ)2vSZG(QeO&ZWBd;cxqs5X1E6&tQR`zd+H6ejnz9aC(cyR=mGIXb4U^ajw&mLBhm9a<2i`~#SR1k7=zA(@_U z^v5mGdw~0l&I>dd)Q_z;rs(5%u?O=Ko-(`%sKRI`T|BqKeQ28(h|>evENoo9N+FOC}&p!ke~HQPGr;^>NPxAWZLWzqf%ys_d#*Nb~kqjjx5Ah*MBMdKPCg|t7&6Dt&_bhf2Vm8R2;?P)0T z#_>0tIG2y#lyI;^KEB{O)9Ew=_jG_>UQS-)s0d*I-UbM>IR5^`33(V=mpffJA+G|p zY(x{L19Ce~SO?d|@i9PeQ~iDjRM%g&)BZT$9Cu;V-KJ`-+=#VL$LDtJF9Qf;Qy(u6 zL+-ETYWe0fPj8aC#LztRFDN*>pS5$xr-4fA{+@!bMQfh$ovILV|FN86VDnIAFFrqeA=2x+Po? zF80;K2~xg^GGp)`fa3e=47yXtEx$r6{`uYgyQ^ckkrYQn(2!VQ;XiA!!l2dM}s47_5Z zEw1KhAReK%!6z#|A17j7XQ~arTMmqg1h{z$H`cehPe2=nON{Ocinsv|QNZ9Z^?h zjom0Q>U%Ak{CV7udcR@XEt>s?+wmKI>@9!r2mgoEWhn`lt0z0cpeWIRjRiBm!L~5j zk*I&<0QXD$4^||PSCrh94MQx<4zOjyGnWM=L2QC$5*0cNq&}7fC7We}AU06uI@mAC z_Gdv!TbZEwHn5(%jqn*~K}jO{p)q7hvh9#Fa7p}X$z_=!IgS*~hVqvpJVH>5RDP1E z-KpdTMX2WbXqTl+E{XCZ@NEfhi&j#KR3-^>KPF$c#LQvRe7@$RmRk+%iA@h0z1Lav zp4{|UCR>kfT@JQ!_ec|P8RbY?QvoT(s}-fNx|$mZGfNQgDf5dvsRQKbkwT3W`3RZO zjZ+6W->N2n)WIokg1DFAHR$CjSyv`P@W{%ODMShBRhBl&@-1_^Nq9PMg1uR@MSp^u zC{;6!+@jf{-;PE9lF$3X@BHLwqEzswTXchhVz}aK20K5X)kMnOn4!2{|&0PPK=Pe zBsfn#PaX--Hblq)Pt21?)TPbn5q0E%2gBrToIGZ?X^T+^=71*-y+$_hv`8X!MVEyo zW&KWUnO2?jB#e+Zcp3sP;aXcj(JU@YUdh0*=k5t8aWpC$ zeAZkNXe_vylmrg5{WbEEx+G?V5_E#M5fC+#a%OPF(UN%UfyBr-o8$$WQqm~dt1 z>Ej&In)&wZK=+Ajg!4S=;LNy>6Z1HPLaN4m04^;1gj$UMTlsWFN#;TDVB%xKc3cNm z><0zPqkJ5q&1#%S*_`4X#(tC@BFVCfmtFpg{RT7weAGxH(7A`{F~7i2cxRje625sK z1Rm^b0#T1q^jwoA#8xsr=oe+G8aQ4%ook{xeN8-JptR+hz^kkIjweX6MJ@^MG#nI? z$f=@yTf?xVeB_cC-C;A`@YB`FNNc7i{Q_*TtTp1DSgzNdHPe%RVblnebT=cdnVxhE z86j;0*W8fGzB$jNi7s$*1X1%Bp<>-i=6keV95Fu!Sx}70>AdBcuk!-6Q_a>=uvS{r z-ZOxDJ!4wlGyI#qT>$l#PyO#1WIf8_$Ml|E2Zk&!7k2dbj34jhJsScZ1J9K4MT#p< zzD8&MdIKB=m{V^0odJh2w<2dnIkKYyzOKcg4(CX=!?^+QmDG{}`~`5j$_fiIHWfcx zq%2KaET=%@SWa=p>G}Z}T=`nS1y{gfpkwbAI1G61KK*_IhpXSOSl_sQvYmb~&Nn-k zz!?MXn}2ZQTy8oSEMGr;yh>JDua<8<^Yk{k2lwt{3!wLcG{z<{++`C>ZI>BmjK#Kj zBEbE@gH_IC!4nrKSrnid376QS2)@?9RmkG%(vmP(^kf(5o{r+(m-ZBI$%| zU}^76cae&aO9mm^>Em70YjjKN{_GT#a&{ZTJ(N$n=tlsesBMQszM&n%G83}#4Npe( z8i=BlaY!$>^qZh9v{(W7BWbfz>I2{-dkaFZ&)}mcZIGiu#jJo4L?i#HnANMF;vuq|*&EwrB<<5fBdak>{paDA{5sYu$_dG2T5l5_Fs zxkm~ffhwYT0orD$kSHH318f&y@nf9Ym)*~~cy!|eK!p`C=;T;~b#z{6ImabOs8evi z?$is!8TJYU$WawiwC8mC4F56r!k2`f0$)LZLL3p>NT!42&CYVHwLw|Fl}gq1Zh znFmKF_{dXc_SL=7U^Mt zy3+->C=RGfMDchC<^cs~<;l&`CT+!v)SbQ}2)C4l8i-MwXBmR@-ijbdO;shX7lSa5 z!xo$eqGT~^S4YY z1A03YW?J*q5UthBs`{M=HWr>bkW=@sK_?!Q_0)pr*zAnm9#1d%Hlr_qPw*N@QEVgp zdL4mK{=Z-NwIAMv^41mz&#PHO1T-vOayq_SG^W)pSvvi&2!aX+jvWL+Zb)}6dbgAs z$_0;Tz7tm(v`gXvWJ<-EL?@IO)LfDLl~1U3o=1zP{oXezB-z;AA?@jH0|GfIXeaU*YN^D3chG3KUR#_ymP5WrBOk zf`qSkTi&mo?#S|e-tU{fhf~{<5Kp-RHU27~H86opXTE8-O{UheQ8EW6Xpm&owAL#i0;ou0MadkP;QjzzD;4eqWA0i| zG6#O>{f^lUG^+q`tSUKHqyqI{y~D6oQJ@^E#vhaE?Id&HhhDMIm}UbVzx4UDpeRsZ zvW$E~o&!khMp7~dz9yW0TuH2nIDx;rT9e+duZgAD;DpltawjnGe1&RmJtd8Sq(JZT zB|1&js$xx33RIhBcCe3ZZR-WZ_OB=apbevVC|p>rMi%IfI_J%vLkRmh3uvM z;lfq$$lGpb#`)%oH2bCiI7xk0@?J+px}ivsGFB9*_3}gsd7cmV(MfV*+UrD%HP;Ky zQ!n_P-|^oqKRdAd>OQ#DFP=F@vkA-Qf+LcmsK!Q@Rb9j+Ko z&S-1nha5Ip1%lIokhO1C%wZD(Hl6x`2|b-d=dcO+9J(+FGf)JfjgE61wS7%M(PU{P z5-1}4;Quutga5PtZN9D}3N^7E&P!*CJp2S7aIq~; ztyxNDW|ZbYF~-clt3t>HM2Q!yig#Eq(Ps$18F-yeA5pF}0`bJzXUM;g&>Vn$n3XxK zh(61<$SLuHA6m2JP+DU&2TTU>&>(@Z9C%zFeSmyPOmmjHDM-0RVdkGL2^YVTNT##L z6KkU0sX(T*ro;=j<`LYIn$$;?x4t=}nozaUiBKX94(foQ(S5EG{crR2U8}}{{4|uy zOmmR6`<)dUXPSe{mmj_I=*7zif__ql#Mi}i4O3KeERdKe9$mhA;h^Og9$h|&uU@)* z$J^cowkspreCZLkpL_eeLPD6Z?x~nB(Idr2hxPBz{qW~}^D|LG(RyfG{{}`^lT4hh z*A}63g%v#^=tL3w%PuTpW1_;!SirV0A8HBaecIn8$OIZeT67nL!_N9DX@oJTK#iF|;LMj$UkWxn3pOHyU|yV!68K6q|?}U74qo-c{YG9Q(AIvr6EU;QCFy&;0T%7(yiQv zD-wR>a6`Pz6mHB3z$!qJ%M$?-CJkJtpki;yh|PEnXc3Oje%EjO!ceE0=knBw=j^Qx zfUtA7v!TZJmBEQz@CXi5C;m~rpn^_iiBiy&H#n^5xLR?%4_4$*VPX}?cwJvD04h=T*0EGt~$*eNq*o~i5`KAS%xd2qM5v+XE zm^r@?t((2M$~RqOM4G}07m}yWg4s7U{~2!a0V=;aboNa#5X$(nkZ7gu$tz~x6fP;M z*Yr&n-gq9Dr}j-zVmFr@{!F#xs5(;>k)SxLPig>q=%kafLAn&wSa_V5pt6ZAj)^L3 z`i*qA`w=e0`3#L4hnQ_GhdT>lHh+fo6QoM=mC4j_yVC77N&zo$h1tDrid3909*)A| zMcaIesik)ozecW2F;rrOPX|=l<9MnqnyN>qE#K-)I zyJmBNFUqg*k`)FN$sXze+e+nE^k=no$STEE%C8_|Y?EeHimQ}gR~+|JC!}(UE6T6S zwXvecIp!3X4PAr3jTty?1(Z*P|CmyK-5s4mDpsshTwZ=f7oGX~NwRJ~_VOz#)omh9 zk{EvUZ7IJZPN>sns4V2W6XjRbVCsuLswPzfrUaYl9{tzXZTZ#Reg8Z6U%dIO{TJ7+ z7}&Fasydxr`@3`Pr`@8~B+dD@^W@t;@JIgMgKrD7u5s2Aly5r>rO|S}Z7_|N^KEFY zcQUA@xgL@8s`72%kknKk_?X+Apg4P;Zws6>K-%I_#EMnE4Wn>K*v!macNATfZ$s&i zh;#ZBDM-9D)@7V$@X(qo|_W*?$h zuLtNa@iTtO|HXQJpGKn{1Q>M$B9q~B1S84c6v4Dp6_DOLr3>SuVI17)QO~sW&YXdp zp5B==a98+zue|hJgHNYx!3yC8pD%ud$}WyPFwL(9h`B~M%Xf}kuD?1r`1zGz`l3I) z4YXc=BjjZM7??ns3^YQ5i;Ko3nhb0H7*I-~EJQ){^(Y9>nkkXfqh^fOO3d02K_JZ- z&*v3srd@E5k%ng41q%po*F!WzGwp(y1;-{XsmMtHoDWSi?Siip{univmUr~g1D=1R z!Bg{TImj}3;0j1{wx#^sXGP6G_h46wrIy`n%MdS9R*s`ldKiK?Tk4rU2FN&2Mum3n zlfdE(H*)4Lm?TQlS_|Ha>MO!x+7`4Af!ki*WavO#@kQ92{ zd~gYKisYKPRY(G9E@4h3nePrTA;!(SB48r_pwWPcYDm@C)i4E7S|L~mLb`KCJlnr? zIcfC^hYKbRx;1KBhYOZtJ>EU+RnQd3|AkuZ`$WlM$ij?vK0kMizol#s#XxSyC`e{= zcXyrlb7u4IGMjeGPc&C^X7fCm&A;&-|JB1cgvsyEY@)l-=Dq?Ts8z#A-O;Gn76(Y% z+}9r5U&0`vpe}4Q2uF6^^r9q_EN_>FuWrOQ2t=KZ#r8wT+*i;cYlSb)F=sYo?kju) zt-gj}&TPh^mlz=j3mJz#$wC&xgfN7k!-hRxPe<^TRUXfCUpZ!0QjiIhFwcE`_4$|X z4UAo}hkQiO&e_8`dnlHrKLaTAcA5datTKRAjM=~Mlb${N1K<0lpZ=2{U+{bCm$cEZ z87{=CJwa`bufVZtK`n;-(t6}bEJwdVqlO|L0Vi27N54U%hA6p>EOYiSXw*oy->g_g zzlBB(;QRU+mpA+P5Oex$#V~_6;MYeRILUrz7F1xDWfvs$(t~`1GC`qqJ`>I5@nM_RR$c zXLmBxD}qC#JE_ZD@FtTxY2w`nErRraJrBjp7~KdkL%BYiKB7plqWT) zd@`KKWY_R=~HW(Y$t0;;BwlO z%M->kPpn5T7q0Fq8Bg$eqv7*p<7v4ELKfq+_#6o|7_j)#-@AzHM z?SFO5c(#LdClFzm%@o4Ym~G$h7zHQ3y>#h?sb@j!x*+=`{p5;shk3dC`7 z&^s_?Z5LQ&Jkiu8HPpivj7ZIjmGMN}3Ih)^CL8JzU0}+q=Z8k1%Q;IF^yZWJ)!BFg zfueKeFjqu~pz?|mBa|i4cP8Y2Si3VtTuP%ZiHSry?&yf0)BbKLjk+W%!X^n~!H#5h zZ4eAAvH1bOpSu4IEg+R|gP$z;(*yq27jra#R$FWZ)XNEl)Z{C)418+Nb3HW)KQZm! z-bUBsBedCE!H*`B*>-bx0HT=WbBc{+-$g|eemt%H8e=igLLKR6wu4tac``R6+ju@U zVbodP%(U#_-38ZE`oTI$BM`N+9sA4RjkGBR4)+l_6Mc1^YN@>{vllRX0Zfa$^5W&A zw<9o?Oz-Rk03h|?v;U=E9A_|F1EnKSOLQ-&p^2_U+8J*(JnUCnnf0^-YNzc3rM@VF z7-T5T3%jxQPH-|RX)d}p+vY`j0lp%tug+lBJx3~&B8c!E?HwTX#Nev-92r6vL4aUk z3L$uK8hrZ-z7M?s7vws)Jb)-2b!t|oIukFzB|$4mhDqUkX*xzHTUW7zj8WA@-U5SJ zSlY{5fh6gP*9>N!mTv#f3}$aeHushejR*D`;DQ5Yz>1N`-%vXIV&CK2>KQ6Kn%g0jNa~d z7_tkqcLct=J;xkRqm7a?)gids@3s3eR;=Rb9U>8NATTphXrimgoB76amT(|sO;~9| zN2N8HigZah1bea0M+~G^&mc)wcL(l^OtU$n$k*P+DxN;TcL27WoYMQfLax%9)%`)1 zLx9e35oB<3{G!Refm+sxyu*?wnl%wR1*oMvfZM)Gx?lG5HmmS!T-xq%sYwDn1*7fE ztPc4Zn2PUoiY21`Jhqe3{3+4%O32<)^p0qLS4H1^!*le`?c^lTLr$B58VsL7#_(EnI*yE4+~STU>hHLRJcw%taD^#fCp)q3PiM_^y!zp-6#LNQ`Y zQP!Zh?#{fZ^~jp;(S}$gk1Fek-8w}bUqsV=i}tGS&A_NC{gpS5030o{4-mu2D&7Ay zMO~$DA(aa2>@`S(qSrG_%9lGUYHlaZJfgQ#a3?TnQq<|ahGtIRQc;)937YirR@7hg zhVT5ek3XuYTT*GUHEyraFWJM}w4@Rg(josl>EF$&*BMz+!w=N#(|QKtIjD@R+Oq%M zvQMbYlw-_->4w5T_v{licE9VQs9W+06)uPT@5nvjtOp)&R@9Mu+Rlbvb@sm__XJhGPWlu(FDjBqi@Mx6vED2dUp9Ph43!zE-F$nR&kfk410Hak`TlHHdkzO= z2!X56pL@PRoU1GV2_>s(I;zT5H$QwCf$4ZJ4Ls3hRvR+jrR^TG|Lr{MW{3QH{vDHv zf1|{(<}f?T9siV;3uHut4{7!r`+5L)dxfJH5JUfi>}Wo8J%G%5N{9sV4yPaz^iB~M zHjZAD3oHspF9hoGb2~Z8e7n=8T%JHQkW<-Nk)a?O=@o}(oW9*m;VOR^&-h%fe|zQn zrd0z!DotL`Jp0b4zskzy3(9m$w}{sL)m(A--p&8GefR5{`vE*0m4ePWsawEY9r(hO zdc$DsE2}tcg5dj*drk$d4aTFWR}q3VZ!U;xIul&-15JAf(z?0e zZo!xkb`WG$R_qvpR6|V=<$`+*57vP!Si0Xeb(0GMZ9*CWyWT9@ zST(th^E*ckYcoUqp!O|dB;8&JcBG%jn55*J2f&)bbWOH)+-v@?TVnD$dUUmXfOsK- z>Siz#!i2Xf+YvZPN4V-kNZ#mt)KqN zP)gcU?n=oz%t6LVj2W3uOjfciUN9^$CTfD9l~C?jywK{Ohai=b3&K+~_PYHNY_rrg zAxNdD?7*XfB*rI}LXR%2qC@RPWUz;Pjw_t~piVP40$bi1>6LyU~ z#psuOyIiY>30z8QPm843MZ1(njqq?IxdFE1+q1?<6-h<{Hb=2Zz2O4O_;K#Q2nfxO z>V341)H^;&PbYnR??ItGxaVaf5AI!hPV(MZ>4<9cdzTKfKpx=ws7vRfUOoa*l$MBS zIgnODa__+=N>4&AKG}*f0Q_Da0q*2&lKk1PZlWUSd3K1t*Nmad-*t=jDF1cJG=c6u(@gl~W z+K*|HYfO!lAOzMN4bJsArT5Z)c!4r!#b5WBL_Ls95B$Y?HzU^~4Au(ca{4usI=VoJ z#N#Bex)RJV>n-gmvEzi|!T=viE@v_)yGV*pNi?N;(PVNCc_jeODEpUr$?|U@3X_Wv#&cV6od$gZJ*Vx`=kB-+;jS%b7pU~lKadBm{2;qu z%k9N-lYhUuSQdB^0(fN7@3|d#^jFY_+;r;iXB-$vYjVv)Wv&*6I9L^NUr1cOg*s&+XX%3dfrV z&aKuLt3t;Df%HtPP+5XU2IWrOE`u)3~b_Bw@oay7E*IEw9oN97F*{uCDfg1cFDf#Fv5WTW-BXY3&D&LY6%TjM1h)SwnDICg!p$FK9nk3&9e(0 zRq3Me9`qy9s18*Xx`#EQ#=}XGPp(4!Z};_$%IL98h1<3lSu2uaDk+ifmF{^o5Yd)b za1b$9oux=#sBk1-!BUZSC;8Cy3d?r9vz+>vRRAOQVgl634xK04>Gzu&@T2&mXh3xq z)6c60toA@IjVp}1lI*)RknNVz8&o*bc&WNaG967tltj#sjDf#>b@b>cSRcBvoVaV< z9wj*U=-fz;1b|#BG%JSPnS3!(R0F^fR5*jZ z?7T6-jkSFS;+nzU-ExkIg3iGVQ$4#~i$i6*Mgfc_me6Qx#1pZic%CMR&<}O2LZ*8*T`0NXQRs9PiF;o zWks4X%%+zV=gIfJ;TH#axR1IMb7TvET1e`Xy`nl<>4>dbBTgU|z9z0LhkaO~q8~j8F%~Mt5dqwu9G7 zF%>^|v~vjtu$u!7=+{h-_QifN!yFE-iE`b+-t#>|E}7#5*}}fHdR{-HX)gg{a<8vy zF9H57V}IBjhZyAPM67-@F9CKljwkqT{3XC1AO0mcfFQ8GD0_oi9iO~Ov{;pZP>Y1Z z{ba#ZiQpm7|Dc479b~cCT@c-mkXu6ZF*N0_FP2c3eLo76rjUm<~iFT|Wra-*Y>Dj|-MJ_3>&EfWk*@6W;<}@y3q3 z_!j7iZ$9;;f+ebP02lyUabe;I0<|=J;mEi0l(+o?R>3*==H%%A@x&JX`Ah%B|2-kq z?~@^r^6%|mQo?C61Zc4pgm5MNrZ*-#2@uIAL+p|C?<_#}{$e}>+@)SHSxJoVo0IDV zmIBd4?^q=x3Dg_^FMrMT(a>7}^#>>i1-sijJtXVGut zxG5uZFOWI1d>_OU-u$#bxc@^x5Y0WwWmG{fh`Ma!GaP9tqY84tgY92bK~3=L z?k}ZVYJ%GxDHUj|-SfAvn7}9O?~-6WB!d$q(S@K2)g>osH3_Gv-r5J%a{<)qc6EjI z-&(@$aJsD}+lHyO<{0PL@Tv&UW5IT`V}k88Qaotd4S`52WRl9IaE!E2DLFO+T(vJn z!jpdr5(+YgdG}EKo{BRdAe!3cc9wOdAf6_*lT03%lm%v&wb?Ahl`<=cOE8L9Ui(8B>jf6rOk5L zS1SrqqXm1YJe;#&4}v73Lp&8_c!t!N;7(a-S(}6OQYR=#jjy;^u!a~bB2?>lEl7JiTorv_T%U3blBGO8pP_t zNVzn@2b;et1H9I9BX=PITCAjlW7$0ITX4HeQgR(K|WWq z9^joXsJm>ZSg%j>oJzDOq)D-VW_?QC=aIH_WO)irKY)Ea#`%QhWlymxx8wLbXiX#A zhNWQ>VA1IgiI+C}jnd&L!&<-&FFQLVx95;dyG4Dxel8rknZlv}_-8-n&F>A|R+*N) zaL9O}RGpw6;CSWjh$%h8wx@CSiocpHt2hqLl)^{7*4#OJ zP#+a+J8k*i3^4Wd`+xLr|BVld%6hdYeN44mqLons8<54)8PCy0j;SycgZ$fUN2OC< z1+&vmtV0SAw|fn2@`^R4TCwhJ8bSC1Wy0xCEY4)rWp zVk%_MB;mH7lPkQQOo^#5eOk)XaMr?&mXEQ-R0ug)cPViNa&%o>AsJAQsb({b`JyBU z+-qFX$5d!Dl*Y65Y>&Itt(ou67X1;pjSM^Ia-8|hOP47^Lp^om$|QwYB=h}gt+n_REf=>p+eytSG|Jcs>yW-`WhXv zHDku$&HwWkf6p7jpVi6bZVYUWIiD)xX3!!iL{PQpBhKISMyi`8h*DrxH=OP$`ZEM+ z44U8ylIo`F#KN6_1s9OY#sxR%>=jsM1E!^Z*VHvG2v3`?G_)ryqheRFYZ?O=Tw^|^ z6r-aSU3~7?^-)#R9JHclbi;-mH<9evb&4W?)#N)FU35&3$T!4i?8Bq02*1m=t$;Xy z0D?g&K@@7}0-}Jc1WKj&0n+&ek{Q$s@YzX@*TeQBXhMt6Vg;$)_0?7?y;HU>W)+Y7jI~%+gO`a64>fZ z7v)m55yJA5v{C1|Q5&67Y^ex((q;lUC+!V^3XpR<^cQGh(FGg7C!}1;>W}coin5R8 z^zuYh4Y=HU-Gz5Gy~QZ@Oy9l=iameezyHmj`m^C==?m8@!-J|zV!A0BDP2amZ7cJg zj?|m)qmvJsrc*RU8KTb~3Y!{9WB9CIi!xl!*b&JxavvSzYDNpCT(cw4c4AELw|Bv# z`l#s_RZO{Q2`x)08oQZ3dfm&dcx1i$@$GZPIb6I`l%QD2q=ZD}g%V0N<)Vb-Ykkf7 zit|@n0s5DG$#4IS|1xxvRw8-eXLU(vZ4oa?rW9IlrG14kjXKE%jrR=pK{_YtC50e$ zk_!U+*T!ZTmI22+9W)n22>>(qGE-16uDl|3k_&EjAnB#5*>6!=A<8RuO`YU|JGh1t zN}>&PFw}`CpbH{~Lnk1D9N zqdT(vSWx*l-u}A3`mY?zT%>9+^v^&aWN2ReD8rni8f>$)@k*9khjJ4?%E9SY4Tj7` zUqF?SaTY@%R@BS|k@381@uPg#tr`rO3+k*4p^G2oyKdEBOAbV>f+cg&s=?+=Dc4<> z%!OBjF>^7fof6E#_Kosws|K6T2AQ3!s=*L0cRRnL8Vr8lAjgsK4jyT%29uVhdgdi_ z5!GOeg-|x-tA15A80Xm6i*!{r80Nur*S%&gS~VCv-C8$x)CM#?4E~AuTnD+Uo;D9w zH_p{yPY!hExf(3nJiR<_BrvBnX#kySlCVbzgEH}S?9r6v5j}ow>~Y%iV`k!?eDr%i z=Zm9gSnbW#t}RH?6)AMH*}1kW@b8KvimYrem|ffE0|Q|*mYY~HySCv2ujhk#S=qIX z0o)jyO)V7u<(uA^UE6S$m&=2bT9NYr|0tbhQCoGz;@ZNDYJz(^AFL=*m7NL0zqqdv>GOor{@p$?)k{!|CV(EV*Pj^&1u}bZh3@)4X~xwhSgd zika{=!>va*d|mUXN_V$j{x({n&a>AqyPPx)fd3c%bP5l~s*ZFeZ!5B`QmcDvg|8oi z|LqQ?+oV)-_Z_G3zrZW{oQ!zW$@}edDg)T^Jb*iAk)*2F9#m*@TwR}Dg)CCH$w&jq z%{4NfLLmBx{r__j@a+`=+Y@la_V&i{Tm*bGMZkaM#ZUO8zYxhyy%|?K7~AD7V`t$v zY*#42szxz87~wZ;7M-Q54hAU6tU7E4!a=N?w_#*} zWhQUHOk-A`NMpW~fMhSIb1>Yy!C)>;n8wbFg8|Awb#D;sO08W!pW(ZunSpv+!J`-y@nW5T{VA zB?hyOa!sI%1QE*R@#v2(7zS=8h%iMC;xn{wr>9!&nLlEGt{wYLTfR4MEN=dg4}J2# z{ml;vVpqYkTs2!r`GmE15~owz#Ecka5US1E0l*I(Q~{#`5jMWoo${9E6*EL=jO9pc zEI9?fu9zW0)MqGFCdf4hU7K=)9U{ccWh=7X3}DO*5hDAc`47&K>5;z#5P;Q)GYYj3 zS>}XfbW-b1Y~R*2$?{?zZ3ogxHq9h4Y=@m3EN)(PO_N=5GjK^x491#sjwkEZ%y$Q! zn%c6-q*H%)3PcE+G}fN85Lpx4aeE#@HB*sk$W^B$x*Ck{GY63V0+SPqK5nukiwIpA zpH$kSxscvCwS@O;$}e;u_%0s`KgW7wpD1yd5$LR$Z(cK>9-vKw#s=E=9H8A^fHv(G z^%m?LpxsP>_TRnvqyL@X9rW&6#_C>x6}3LGneR{{N<*{U8QXzUy_Uw$yl)B6ToU_4C@hjo)Q1T?)lNqOG?zqY6C13sCi+zSP67$g zToTTV7yd7d{lmV(B@!>dC8IYMy-?~eB*}b#8cibMa?SWA$$Ep9N~pL7ul_(X-=Xyu z#QJ*fJ4qCltCVjM%JDc=8dn|}TFvFAy_b801}wWr;6g0dbFyEfzCukvsAX};cB)9h;9=RIds|So{=qoYFv8ujBd{}ns$rM zp3&29fA(T_=f%snzx5rMmh|+CH{%)opZ|FO3tt}4wYsV9896>;oK3(-Hk>tFL5duK zrxL1#Gz~{w-IY*9^Y`3bDBBr~bjC+g2^DJ0yImK2WP^r3@r*!E(F+!Q1SG*G=(ap| zo$(PME+|Q&uLYj=e#MNBfWS-f5gzQI+$on(tuentP>=n5DRj&E`jir?9kT2q36d`J zcj72Z2^EI!a6}Fp%4b3fUC^0wceXP&SrSPBmV#>;l1IIRhA>PmP0C1vx)d4o5VRqS z#QNjO>v35JIdlg%L`vmN)Akout2IyerKgh2&Oo$0kpV>a-PUkFPbydMT@Ge&%P0^r zu^9;NdUm=pD3tb`Mv5i1vB?8L{pcw`wv@mu3qjyp_#0 zOuoG)r<(i;$#B}BHte@O6_e()5sLoUpZj0#e^!(sDPf0q{TO}66KFQohD8v!TkDN| zWkIM5qJ@WDgK&z_k7%PV?|6l_y>?@oMRc#XlWGz9{1~H~) z^g>XAP?toQNW5J3Z9|iiBwrJk#1IY+&A`wkg#FqukR<7}Hc3oZ!`?Yw3BYl8g(L`d z$*uH!V(*|sk>D<0O9?_<5@WR(u5!)2jF&Ew<^C)&F^Xq|38OYF&X04F`VJ(x%yVkf zoVxlWjq!3W(f(zCN9(^^BC#p*Q(2BphhHX4+Wu)8Y^}nT6v|xljdzadMk!<5`Bh^s&xWso5+yCKLZg z$&|=-Gw6TK$+D|;WPYc_vwF!dKqiJnJCW)2aDJ`EvnF|X)}|nXz9x8ml)GX}HFK>#E4vV$3^Z`6GngdP<9h+vf;9wivOvl~q`$10p5F@=fZ&WMi8-f5a{5Dr zk(B8n!dCwfVYubQhX|7X5b@(nErYM_4xSw6{kg4&=)kDVb8+{>IaL7I;Wb6L4LtoY zV9(K2-M$mJN>?&?YV^aSN7ilBHe6D zjH^UOA?+WdGYcmQ1nT~)7m5p(4@bPvMzM5P(;M!i(kI1SP88pQvVz6&dyW}xn;c7u znnC1JXZ&`!iQ{NXVWeo2X0g901=yAisuiTI**nNpBLA@^hg+>Sp$@ZDB>YW~ zz)7M6^%i}x33XT#MEME?3CZoHrz6*JP=~o-tKJ2*fYj||LF%v&MCM1bIiytTj*&Xd z1wjgoqFwA7Gk=9sK31HrNA#WUIt%0lP_{-eAy8h4pxBFT)o7S)oy#srLw3TlA z`oDMXo@p!3w3R54JEFG6^vbvX-9NHF-r2R>%>X=*70J0HO_N#9rnwWya)@4GN00`W zAy|B)9ncY3aR<;}qRg4H(y1(f!Hp*F5R?b_M3-YZQUIu{PNj&Cs` zL)sxg3$oJWeA;{VTsO$03ebddykU!bzC9pO*Gvp51G+Z3qqLAm&o-tZ>RKHzSvjf->}K?+Ry&c%v#CP+ z(EFbaK7Awzyq?FwoHPKrR$g?b6h2l_UExcKYLhnPdz!SNkOrR~{}VyGsP9W}dBdP$lwDt+Mz`BuGjG0zokX7ZpyfsS7X}q}aADj`m0CLH?F5wot>*gzrM8j+)ec`-Be`uMJD*x zoSmtNzP)rue5o=Gb*c-gdzJL^A>Y658CnYpSqzGMGWHT#WdM24k^eM&3~W zt6j7E&DO@`gkVPAP^Jv~2c@`2VP=mv7UT`JT51VltPdk^APWIcJ4_)ixfOrM&c(?1 z`D`|Gv{7^K;8fB;&?S!sf>fU=e_$Dk?q8RtVJD?Jo3^wM5eRl_HSHE!($r;0tCqW! zv<16Ga&-neB91Vf3w##k6o`*b8dxLru0TroF-+YLm&Y6~4yZKsYNM8*>$II~Gz_7(ihaea!pqy5Q@>(eFbf5an< z6^lNN3<3K0I>Dk(aUG4?7Sz8r-7;PGb?Po0#&9l81r8|RI-Pd4@>6y8Xi=~@7#{er z&Lcx$=Q@uYtn+}%BVgtaGo3^jl&11n1;WzZGau|dDN4}-z2~0gn=G|@&po?ON#p0A z{>pbn=QXzOz3YW{zN}^b@|_p*)vu;>Z126X+n0FpKKi_$e%m`@7Z*I3JCD{*oau&c zrm6lLzU@Qq|JAUyTC(G|)(d!0Uk>0$ScEplC1_t+)qo4a=nyVJ*%DISv^r7gqn(~j z5KKPe?vr0sqTIG?u>(5(a7nf|*K!E!Mo{Kdn*>5$g0sV*xZ1%i-;0FKGpX4Ta`2W0$)OM}TdfY^v>fJ~bRU=dx}umo@Dc^&#EaRNsuL{?a$T^ufnx!@yE& zSiAzAFKkhU@N!tZ28W(xo_3ht+6Ra^EM6ll)D?FN<1uhAUHNZp3+B)(0oUMn>2{rA z#;62Da;HX|H7o|2f;19id=!85$C$%nw8F6x5cnN--Q$rZEMBZl5EU`KUoL@E-5ZbzT_2V1g-!;5{HTDw*84qg@9j6>|FgL5I7F72*HkA z5_NrqiwVA=9H_hMlFM>QFhbMu!Ep|T{2X-@#J)c;C*j6t!|3XRj=}LzUxjZ4@4PxC zbZ#Gfxb{Iwb7s6VpC2byzkL6N%QF^$dNlCK-jWYU$42f8 zF>!u`!DwN`kz2$c2u7p7738M<_WApl8Lqj18Cc34`OdKxY^1NIz%}zpaLs(i&ZK|z z1uy^1uLSk5HmG~CjJ2!7!me0d3I0ozpsNLqPIk$8XmdO`5D+$t;9_BhDFo?Y_=?*F zW~!+mSYyS4g`ng`Y(=Ao;;xnTIJd^r!SEF^rB1CUsv-70vja7a3xd&~wfTt0ff=Zg zk6qKjaKYW4paKN}SE%IK3 z(XCp5vlx*iZ0eG50rt8kXo4#N#Y7W-&y75o9vl<6S4o)eISms;y!4+W0cx1d=fzJ%UuANX%e(d{x*tr4zO8Q^c1Eq)h0u)$eEk=C1 zTnoySA3^cU>{fu8Vu8XYye9x<%x$)>yvC?WA~`Kk59*sNr;{YpbA5ryhv*C8Q@Hfh zcalsG_C-s5BMz4-z2!L5lZ`W?4wW-PV_^68ffTSkTo*|7!>yNh0JUYEB=WH#Y21-W zS>hqrNFa_=c>s+;1EU-;Pcd%EoCLlMl`qdkH|{aov~T09^(M4*}{# zx?cI7bGloe(?rSD6FS3RJc-a5^bSY|;jzH;v%K^A1vn21oup7Qr~JBXM?!rGdI!Xf z?f0ly!jqd07y@`?;(%p4{T|0#_$^Sh#Nw1zUvPwOq8oDrG6|INrNhDL9AuAJ;dl{8 z#__K&R;9iH0_FH+JC1*~xL9Sn4bVWW3f%?->hHOoe!sd{<${jY{9;wkeDuh9EjU?fv#1CkQP_p~M=xD*24Y=E-& zN17uD(xMJsu~W>9Ch216ov=R1NHR%9zY1mw6C3&! z(=!7iRm|HkK`Z!(6_bgC72A^Ols?_i@hsjS&H>ktl7M>Zg*244 z+#pq-Ol5NZCOJ{g;glJiItNWQvln-jCpSeV)~58i}$w_~GdC6wS+1h5hYc z`qZ~hpa+`q9VI=GyN3bnQiVBQdaw^YBjk-#eTPY-Jxom%)>b^!UQQL}g1bZALw106t)&t+qegATJN^@x)B02IIezVG>omwzA%a#Yt|?S`Rly$`Uf8z!ST zi9)bul`&X&F4+wO8;E&VErS+<*$qP$NvTUw+HZssA(-7TkXR4^fg84&8|!-rW;YCc zuG$YpSLl!%2F;|f-gdPbteD*}dSB{Xt>zs9U^>i>1jRz2m6Z;wJqKgd>r+5DKrhsG z>={EZSTC7DIJ5>LWhat4AuX=?B)zDr%fUD6!7^UXUPFrNlz%LBwe~NL6J$?MS3rwa zuQ9_9i%&NtQ&&trp1TnK_gszhdUl%?q(Z^1h?HeJIdy!E)26(v;o}K#qjj|FJ3hxk z(KjU8(znwmDBH_(s_wj{EDd$Gq-L}*_O+Qvn|6zOANrg~yO~Oz^*4O`zwynHNYk>Z zK~b$H(m0wE$T|#4)NLx?ODphKdBSAPrO>YDqpU@>$Xf`IgQD7D`7J#x z-#a>hl->(rw9Uzi6OxQjlLSGUcqOP%9Jcn2)QKqE_p_LLbg&(6=(ENewI2 zahDjPu6yV+KauwtraqbT(O+$q^_HHS{llOeKfE#dMA3sT8RxI$TmazqBf~*Ea&R`x z7g|STb4v0-w!?=}GVw@$kSiQMPD;LX$q(sAPrvWE#=)Omk{`#o#&Nv4xf8i1JZ5?S z5@Ur+)+LXmdovD4azUxsNm57t_}|4Au4e0W>NfFPMiM7ubhiB2M|*r5pQhcSvyb*@ zrqleyKmDJ+?SBiLMcE%5XK4g1$Rr6?jM9w3WH#ym7u?M^%BxCvMC*g>D>zE@(OeMK z*)*Ai-I-G&;1GiJ0bCFz&0gz4hUY3sAFT-jW&zHE1lj^*HJu#oMsScU}mO0N8y}@~+GBNZzX5F6svsr(9 z&HA)kbT;dc#;m{if{sdxaYOQ`tI$lm`V6^Vv{j1+oFL{sn}0RPIaf0fp}2~j z+8cGXZvntSk9XTMM{Rvx8@?I)J&y*!f#9&xohb z!P=$jQ=p<$wJF;v(w2&r_&qSFlX5AV4@tkr5b;vAsG)p1V7lyS|De6fRhvXk%8)Uw zV@$v2D<8i{TUptZ*Ee!G*PK*q&-STK!{mA$eaVh2-y1yE>%8Z?e(5{k6%#@=C-2JI zGW4V;W!QpZ-qp%V+3hRnV5$QbOdYK&ONxgewLuefDn2wq4g`5ao8SU0OQHp3Yo z5ZhoRw=u|uV5!%!399V>gxTx%Ey~*0#LNim6osYi&%1QAa-;=J1=hrg_2(nyX1*qP zJ`{gO1JQv0uynMdyGNBZr~o@MJ{XmJe=tv;7RXVEH zRXaumsN0d3*KG>~I~y=MlU#mqnH9bLi(|N_5~XG<(hi{0*i&TUCzL*j2}5&Z{6*4~ zNV}H$er+8|nhvTo7o>9lM8RaW+oSv->%svD4O3AH(gk$Qrh%_~s8$87(*X;{n{3e$ z)y$3uymieq(NvTQeh5@ddqkJV;ppXY)sDP;dijx;$JGTeBx!n7p|k9Be+>}W9`PfZ zc~InZPSoEXrN*>d)Em!pqW)$Q^}qTDKI7}YF``Ai83PAo^31H&md$}|I~tC{Dh`O} z-^Ye)WdpZfaMlT?HHRVN~HlR38I&^HzNffaJO9jd|}=!bd6Xh|`g1Fy)QKLvgqDF1Fx-g^vLL zWP5u)!qnjKdiIUM;dlJ8Pyh1Y5k*uQ#JX;7a19!v5dpxlM1D>yW$Y^p4qdQ8erS=G zyj$QpnxJz*9R zN2z8zrqhUgAv){?Gm?kuAf+%w!L(HU{Xc#AhBG+6EvB`XcP+ftDRId9R@npC6e1~?cjb?9gE2ZV7>^<%YL6;XEgv=ueYZPc#8dRRcE_h!QJTqftSJvwigmdiiht2J&jSW6!8HVG ztjX>8J?p1R!4|sB@%`lbsUB4ocs^oPZN1l57v0tf#L*Azu_|mZ-nr8YmP~1!W7Wqu zvpMY+onzHUliB?6cYV#z{rM)_ZoHoTV4!bOkVg8if#TK{&nq5YUs+T|wb<-uF9GWRvif>SifR?55dw_=f18oD54mDS{)t3x_95zQx)Eh~_V;f<*B(=r@zw93Tiykds&)&XI%d7?st0>ape$ozrsm1rY+Z+pRlw4$Yx ztq?>-*<)IltVk<($xyI~HPPtM1IHCdxBPy9Fxm|XJs;B8s z)n&)fMpt6|t4b?}ETYu z0gZ|MuCrlpW?Iun<2tkKqtz; z1t@o?ISc5-s1C?c!yeXI`y16T*0@OR=rV#Qx5O$uFzf!c|5Y(ZA#KY{ugmyf{nl9y zY|CV2>%o|DA9Drb-?;YKsAn`01+%q;_mynar$$NFGf0e*zUeQ2(3kz(NzDq1csL;2 zH7gwIL7+0VpP{+=z?|!xe>phky5?VYoEU}D1T;k{&J)L&^DiKGxq8lZ&c7U-b6xT; zo1Kz913(&%wJPMIU?>uJ3$1!xR;A0oBns4#N!L`Sekl)3y2hGRrY?!O-2k>E`@<`w=_ot0yK+L%wteI~R2orUlC?aknZHyZvMPcnYXIt(4 zhc7LqMP={#7OhcgYG<@VFMK|uqvk8XM>r-jRdeKVi76avG1 zkPW{|eMd&`pt+!iv|JyA( z*eT`4WON@j2j<54Tx)U{5bZoq9AYc{;iA_QYE3vZ9WKo2kgYwfr&`_#hMkEm&nF*Q zek=#}Yd`QgpZFUe+@{~Czu`GBcemFoQc^N<8tJmi@x#Hsts31)nc4V?IeOUc8LM&E zn~f2byHBJ!Fh53A=`m>p|8g*l;;4$?tER72#ewuizk=$@-{X<@G=DYn_2gH5$~vJU zD4md=p)S~6VJjFSg{`G*5lcZl}|A zfIX>4UeZBV@>Cr#ZTT@B@L8Yv3;)tf0hjASy$(Ry)W)H2w*v-;q66B1_1)534?8p@ zqq7cZ1J>CMO5`>N@mU7|sAkCz9;Z{fL3^m7AUr5W5@i84W)9jz7#PQx;e&?ofOAJ0 zQL|t{c(#DS=||y_lZLE(GUD~v4mj~~39pcJ6ljO-PP%C2PzH##)O`(fug;FRjU-!Ov$68YJwUaqL`DkY!D3 zUzfyAnS#LHXCSTZ*UYyEhKU+o)|B4NvSztI;9QW)&e&LWzaQsOJ>8sB)uz`&xAnJ} ztP@I6J~a*PZmj)-Pv`NRXb6)7-i7_I{Agt8_0(y<`up*4*_E{ag|a>~x7{Ff+mo<$ zGBJV;p2|;Mm9)c{&VS@pPqP&+&a9h@0XXU+Kz+g}_fzNEPVpiT57sj?PsIWOG zRk{_k^2W$qLl)e&?K4ca>B zLY@v80D8%yyg_^k^@+W2fi?7!`R)V=iL%^!caADrRej+QcZ&B4h(UkVXA(O#>x$C< zc3+2f^^xkj>Lyo)j+dPHivIV?l{+?VSEoY+f>F4HNDR6#-CWgea%~4uy~Nrnj0dbx zP>Y^!O|~O&?_1!hfNqso^RcWonWpasNW?i0czb!kX}731NoRhvo8d?M`=9#FKm5Xn zH&mP>8D?*BL`=7Yhk`>g(58TXZQ8Av!=y1;69kF`Umk+1rFC7{SB8i+K`?}(oq;jg z)>wT)kY?Qlk=^42caDIX32#i^HO;yUvfN0Zv-M^*n7u`_?t-mb4uii07m5{+8aLX9 zWM=L?C~?F+Pp>sGwaT*BKAG@iN}yIb+>H( z7x&LRTs$AM3xI^Yf2@REnG+TFk1mgGUEql^M02kr(ZF&k&)5trip%4Y8#p@R$N=C& zI9eYvae11g-|9`{Lr-hc*U^QyG<(K+~HZownkrv~W~epjGl+m~U37i)1?-)uq&;rQa_(|16y_ z1nTd(9lsZjyx3Gfx@D~NYWXH=%aV;iF&Pl*O)w^46I5+Mps=}EW`e!DSZtZ|UqrZZ zXudkXPn#uu_00X}o_m?4M3>J#{i2xaXP$lM(_e+kBL4q8;`=7}+(fyy;L9&QefiS; zm+$AMA}-xV(()%VrC9I1-lA!Dg+Jwa*gfIK{FQ%KSZ)6YzUBY@uYNtTKMK8=X9IkCJE-Id zViV-&2zlZl_7&U*+HV)+*NPK?-B6LI5EO-^6R|ODfZhciN-V7?NC=ANF~K!P@hYIS zSuM2!Ed)gmm>{rwTXD58NZtjHx(5^>g)@;ITY5fODQYx11C^5X5mG!cw<%eBz+6{(hmTxdN?FPdo(;lq2 z!T8dL%stLG_>f0CQ7|+ z;@dWicMFdY-ZM~kdDF3pZwZjMVZ3*7t*M^k9}ccQ+1WU|fXO>NyMWD8zRG)ib-I8r zef{G7-yRK@S9Pxw&y%Kf6j2B=6Rp(Z0vbU}bg!?$WZ4Od3+UW1S`b1?TcqwfLD3ez zA{xTsE0UImz5=ys>{?tv7sMzhaZ{089B{*k!{fW&fM8kxQdIiU`^@yx;_#T@cDL5L zy*=9g`lfVoczneznhEKgpwoOv>y5a<4;g996)CuZ+06*P*0T|S%}$P>EL|f=;sPk% z8|PhUX^dKcR5uIZ|H|i6X=&6k12jBWD6UB6+k>UF>jVj3)DL#YnePu4!V@89G`v3= ztJ_uDY&hNvk{n}5x3KKJ+@bXn4f}+!7fXo`Lh`7yN9R`@_sd#c9sk}92UIV=PkZVT zgF3+85mzyR#VNT8lR|5Sz2X6ah^zxO836f; zmtG2~D^ZxhV6KLA0??@kHf{$SvSo0JKX7--k&geiL0g8WwBH^?xUNRAUc7w!Ti+4L z*o*hE{q)=35fGRlK6g~iFXD*Q5TvSC!mm4lpozDLq92G`lwdCX2r#i-<|DU=KQJdp zKjz;Gp-w~CK44@!pZTYM;tNvGq`ohnD|OqM1`5x`705nAc2`)@uH<+qbfrnkg2f(c zWfK~MFso9NSYeHeIb8ZI5U>mM20pthZ4}6UhM~RPuCp;{De3X`V!hVia& zn;P6~rR`sanOFV7Ep_aLRiJ8f`&=F~c_P>SPwxYCUw5071{mk>VE-3(`3%)O6jalJ z0mK#vETewzEl4!odBm1?!t&Im*R&eM=>OzL|L7k*i4kYe-9(M&y*oDd8;WeZ6H=_` zv#I@3ZCDV7?H(N8djcblL<-cQ%Kc_P7Pz0@vo47;`5M3Q6$gs-_) zCTFaP{D>w{l4QO+Anws_Ei|)t{4qezX^?!)-D1xm7CL-`TMF}$PrWeWpd^*`7Yt6d zsjNuSB>9@?qyS|O&waZau9@%91|)5Al|bx@$hX@&jgqg4y=(0WnluCuh`k@R3#yhg zYF}%8ERef=Ed_LVuK0g%nVlJNUXOSWk9`hE#mh+%EVolY$OtZNo*8kv%7s+7@LG-T zOmAHgGvz?=9No<SN2raW7VY9cM z5KjfydIXqVcm+%y!J$wa8G;hsX>u8H5GO3aM54+-sLyi>T%tP{Tp%*yid!_y^%V}e z9s!0P(Vqxz1{Dqx-T8|18R``%h?bcC7*qD0-G0c-lnAOGejY;v zJr-x(YEU)O`a!yhfc}(TB3TNJUBgnqw<-h@z)DL!ody|2KARHY+_mfaRQ?D|qAzS2 zGU7HLuRIEA|J)8!Mr;>;b?{H1v9MT1!7>w6+hLpH0;lDwWyHk-wt0<^N}ur~x|C_y z4}>l)WqPkwb7%VS$Sq<7`+>Mc+^^N_>BkI6z6!w1FMQuGe8zi&0B+peA!P`}5#|mP zY%SIbD<)v3nG%fu(KXhgJj}8p=Dsqiu9;v0^rNu(LdC5i|;d5EM7x&l*!k;0?eoq9a{9L_<)) zOp{!rhg2=-7E_%hXm!b>7Bp;SN9h({L=>tf@EB4lCR0P)n;gr*1Iqt}Wgh!P$%Iah z7}E1pqtkjgB{iQ+sl1_{HN5szBYRMf=;?teos3RSvedm9pvV5pANCi%<>!y9FsAWE zg)LB{Q58;AVOBv5Z+M|Noxh?sKi=MDpp)u|ZFwln7A=f(7HSij&DI%s5Y|>y}1k5`smAZC9A}rpj&$ zhL(CE)u;8N1Z$`ubldHAQ!mg5LfNTG1Zzi8cujdeKoaIE^>j>`QM64s+=w8K$}aWYAa%1hyIkNni8~c|&_X}q~7Fu1i0Itqg``#mUE@MaQwJb^YmO97n0o`*aneR`e&RJ7cB#-K9QHW?xQ^nZf z3;N|yS&K)T)o{4D@=1R;1}x}qyb#K^9;#$H9|k{nhth3Q;xOcJX2m;A*9*CHsD4r1 ztwA1z%IUR7)c~&UE)^=*P1bl{N3q+xbwu-C8=siA{Fq|@!S8zScl=b~6S`2Z*kk$^ z%71#@L5I*)$3g9y75fINQhYa*qJpWpYL2Wp+Vn4A1%mEo%IB=uTdfm%qGcR>7EE^- zzADJ+RbS5x=Cs4_s;8oe2V``;1;~m$W`BX3xhgm7=k@Cov%kg-hSP8ZFH(e7kF$~o4s_$-BjO34d@wVy+M=}Dle7aLFM7)3C3Ewt38Quc5j-peo!7CcKh$w9cyQ_ub({gjJ4fv7;F-0AusjgU&I<5&WL6733;JPpoUoYyA#5EF+wby?WZ!f(vF zlb`)R)u*|FUUaB`?SEBlJiu2ii|Tp%@KRg6Q^%t#kICrXCbKAawHsQDk&7qzFs4u$ zPEM`1K($6`3{wv(VsqIJ2TQ?&h^j(Kjs2{_CNaBfA)rO0Tm>07tp~r zTy3LQ1jbpxwhplcNxbB;KwT)s+!^VkdTc8$F=#~8<6wx8{eu(eIZtp1Uz<|VT`hCHQWf?70*-Wp$)LY6$S1vuntn^FpzGFwDk-m? zAPwo&mF@DbRZ3!+AC~vm>nd)i-}kYxF#hJ>^Et@-=XUx%j<>*?C|o;5_0eRe)kM!Z zD)`isf)d(8s13(3o05){Fq+(4}RuHe$VT|?Nay9^-7C~ zmOCbai5a4qt_uWnXgPV98A@$9a{~@7Dna|OjZcg38pW@eVFtnBjIuh+3>`1b+YsSX zm6lORK0m%9MlG@iRhR}W31wgPp(Tozpd<-+gEO`}>l|7FQbYm>EABC&?OJB4gj;cD18K*^+2K{KP6{UGk{*Qw?M-Csa%F4@MBBpC=c~>RMwE+)-tyKb^o9 z;F{C_j;$R3MyYf1J8Lk8hIw4lqeyL^$NYA$-N*Z`$}37;s198aIcHSjLvJFf(DA)#3Z)6As>iOX zPovN#xWrILDiCC9O((^!>1Meg`u>zadpld(5XcY|^J+CV(KZ01rdlop8HM_am}SI? zmZ(ug6yBdT)u9VQZCZc9b~z}xR9D3I4X}_Sny@5>`}CDkwGwExLV8~-DLZOJpm!2! zc+Jp~G&fe9scD%wA9ZY8a<@VUr%3v&xFkt0*w1L+IwmlJNb^xiQk)&XnyqDG4#h1t zBT42P1cbtRCe~{>?F3hoWWGbuG=a-iB`2E#)%uZ^(e1fKyNOp?zZioiRh@hF(`Amf z^+)*1HMsxPFFZ|?T;SX7S06geaPr_XhRb~Bky*&Q9x;M!Coj1=%`h=|J=|#~Qw*Np z)7@fw;vbbAn6~_w9r(2W`a{0{d!vU-{cCrN&D+ieQY(}z)limpzy*x~mIYF)gVPLv zMsi{}1W`7_S3P20wF7NM&$%;zSK~%MNQ6 zNUaAYJ$yD?FcnCxB$1Mjkxz*WZWn8tg16Q#^eiZLz$H=2MBD;a4Mhh%ZXtHSCGp6y z2$w}y^L2lL#16P5DwMRl8-an$QYw3|cL%&5x+cJj?xG9KDY)7K>Gy{9Hs;zfLlmoJ8zjcX?T-Jg z_Q$p!s!TxEcyLq9W(mT96JKhz)Ui&W$bhI!*j%d#JE^#;Cwmn-{k+4&7U7}EUZt2U z9sm9UwWewRm$u!*pJY+MK!TabVSDPT!qpyfACd;}lS3bY43DSJIAaEz*MA9&95mfA&%CQNe zxJ;vy#YW6mK1M#sE{FyRHke_>#X^WPvmjNY3qs2x%z+>henu(oyPl-*nnF^w6TY@? zR|H1YO0ER3e;KqCxN7si0gS{a|IYu$H}T28^S|*;e9|KwPhpu)jd#?kJQQ}07aGA9 z`gzj}t#Mks&`8o$92uoGg z6q;V1=2K%62H|v{+8)wJrIe;EKc_{wG9lhl{K z`{A#NR-m%bFNThqE0T~IxM!-_NL4FRs6rZNZROtjAkH7-w5~dq0VY=-`(KCVU z?G4*Bt#ic6^k(2ZZ1ZM@ZGP-;d-0dvmeLeLPVVrO^PU1hLQjP7-6MG_c!7Oo{=EyL zfs8;6A_7!}&`f12RWYSb5#RXkftV=j6f24;HNkaen(cQjrql$3ogU*kX-f01#gzK4 zot+-XX!RwrYcZuJh*6F^U>_uCUm|H;3EIV!`mPsi5-SmF+e-Ko{Onc`?AIr_>8%dB zNJ^CIYc_6rL3QfR)xR^z;HF=2T4gWL4cU%Z0Eh%S_2Tyg*h`7d01cvVoPIB%Q2ITBiBmfFB=U;o1hH&zKXSn7Wk+c; zu5KEJ2w`;jogTQ@>vqJXT)X{Z+VZ`{B0a<>|9fBio}WLK46sob#Ls6E6l5f|z|G*^ zM#V7&k<69%*I{)bd2o;M^9H!!8fjJm#c>SX$l00<*vt@B3EGRUT~*7m z>k2hn)&Mh*Z5BufQros&W3+};-5_~vYRm;sQ%>zASAAg_N!?iL>z{Q(rv>s?ZKY1; z78Q|WBO?LEnbPePH7XHpQbVFN10fM5d%B{rC-hWBa}F7#X)fHRFPfGGc7n0#OVXJUncHRa!0?j1hxj z3rAgbDjU&3>O@;EbEKlYsWw+ns+tBEf`9FQs|K^JqlFrsS{&@W9rl=DlRk_boHGJ9 zm=SoqnwrlJ{p~sQriD(l5@(10W*qt-|L)g)(dQnsw80FuQqU(G&|+y1ndEF~gYfCd z_@&@+8kwq;Ep4MD*`l7cZ?(#nHVB_&3~S{s$(FVeKJB;j!HUJw9vqdFE$sp0ebmyb zfgMBa^_vbDQ%iX;SkT{%CEfMM9yZvP8C^Hb{&&oR`gi_!OeX$~QbSXlDj<;`scoIW zunXp`X^{ld_X7*yWR?m@g{{jEGDwbko!UZw;=lsd|KJ^ozS~n<=yAN5Qx(5Q3w^18 zJdz}kgi=Ds_>MM^{@Tg+X_|TvE}V_&*_hsc{@DvY7_aVu2mj{RyyxS8Ig;=CzVu;h z7}M>LZmJkl6yG9C&t%`mDqQUiwBlOZLLh8;Bk=fvqOe*tqGL_41;PseWu0I#rs!*y z3^-KKfH5o^(>(?rXigopU7DqjW6Z{Mzr*}`#^C6Lwi!ern2qUr&XYw^-X7DB`jZjV z-_K@?#y=tGK|h@^P-L$}Dkz&I&O!=yaXJG_F1pes*&!|oGqh_D=0}Q^amh6rQlvw1 zzeA;RcP$IeBKXl!cg(tjnKG7TYBNcv`kEW`WXQRL6?k}@`39lI6WyS=N|e-=OIzg5 zWJf~Hderghc2py`Fjzjww+}|^koAW-lDB_3#D}gT?qb9)IIz~;2LBtbLVWV?{BL|S z_K8x5U5w7+s|8PKd8LRAkD>3%AWKfEX_hX8)TGdNCCDJxF!Ag&JS7kRN?_1Ut>Nhv zM_GXI>%MAQ!!PfD(0}s2h@mt?r3%39!&qGbwTiE}M}vfPrGu>zh-qDsYTpIdJIp<$`hgZ4 z2vY64AezU>6i>m0@ju#EI2k?@F1Vhf>sbX+mfY>Sv;@MfWz{y+DF(mxr(IhD4^=;i z+V{O5D1SJrPN`Z{nN3ioDp;d3Q>VA|2Wk#dnZwYBMa5Y_53Vj)x0d9+C=p4J< zUhHPK{0xM?>xFl|{Pf!qFy49o{^h$ao_qR5!8);VFTQ&5^6hVZ2gc{wmk&Lg^_frl zsdqm(7P}o}zAv$x1EFKg_ZbL{l;a4YeU9A%2pu7`FQGxpO@cF^Ph#fSEpn5)nKedw z4DqHn=GZL|)0?BnKS*cKVlIz~A~`umXU|q%mpn{opYP7Y(Akff`)04zDn|!Y`=T20 z94d*3{O-XR5-VSK|D)39!s*j6(Z{-Q`YubO-OLM@R(hooFr{z7HCQ)a@zh#B_|bp* zsm}}5tL4}pKY6RgPCHD%?g$ns<>IifuI^b`TPbr0N>Q1$Pf%|F!2t&sS}YIutZ*_Z zfjH1D_q*nO@?&fSf{alP_N-vvQf`gGqZq7|J2zDI`ih%5hmtVZnJdba8%!8KH9_ES z2($gKCeuj$~!^v+H7+J}(V<;Ukfx%T(J zoj&h2DFv+RK)`kGSvd_WJJ_>gs`vDe${zkB{`w#tHbGr8PvY;^k>x3xXIHa&?n}Py z4Zr^G4~=$ud7TIPwDkb4@u^cmbe8ezr0c z&b~4{$_00OHatR~?)D%-=`K&vr=Xhx3?-Tu{8-&V3LfQaf+B^akCF($C9S6;c$7;b z`M^W~B^5Ty=Tr452&WVToMW0_zh=HY8yE;&BbpNMoAi?T{y^PZqa-*DiN%$@=Np8j zFog?i;@L39ueh8tx5v*2)rxvX2#k!#%O!6}@2f_(jv(F0*@`d`kIV#gdR#X3K)?!R zA9{nab=YtF(r7EA83RY#dZVB;)MviJOeQ1zggNm-1(1p%=r+YBz(lBr);WaD>+uz; zZabo_2gcxxuiYNLX8PvHE$R*GxzO-t3Jw41Z~evZ{q|!{3D}V5Na@2f0JWAT$=pgP z@tB*FJ*+MaBm_AR3?}MIr=$@Rz$xh?t!(u6iv`0XbxQhOXPbw7KZd-UQ_@@9;*@N5 zN>~JYDtuzuj25S4hkP2XD4i0`XvJCQAP=0<3`Er_=})FOC5Vwhv!+X8uyVaV)hR(w zgzg*{Kof7V^Xim%=mw`m>Mip5RHvko9bkq~@3%>9gx|*?JD6{l+VY(Cp6`$0pS(!; zzy6H!4FUl+Q;BqR;F|UBOSwZ*0jh4J^n1h^9d$~=pO~fQtaBDw>oKp26k=C z9$|{JyRut>5!$>EsnE39{Z(GEgA* chB-7;(Wtbu(3!3$8ID1m;`jc}ThO35q-7lE7nFSq;AD<#uq8wZwRVamgZyy!&8H zrpSHG^&In7^n8>wKA(@ECrvWWoII3taqO$(y&W`o$#U9w)9zod%#o;ZK0L@tWvo;w zOtPEyhEc>(JkpL1Pl$||_H&l}ambR}qkAgSFf~e^wtNrjGfV#96aVNZzZ5D}V;xti z7Wts!2VE8lNMp90yo(VOc|EeLSst6TGq@}N1z(!ASY)1L2ucwnePs!&u$Imo=c8CpHKm$Z7?G*=BxwGkH%Q4 z8gH&XNdp>J$6g*I1=OIl>(cGZR&W~emtQFNP!gs#Zv-1VI+vt(aY1)(%5`; zG3P%2o^O8tR|mePYTUK2DfKi)?`Y4Q%tVGJ;8ZoyY_`UrXAUVwQyE8k=1@+qF7gtj zyx9q>%(+|8lS@&LPQN=eM$et@5z^l5(8d_OkuJRK(60AO?pnL>RPo1I7l#%;x3)F2 z4X;0&&Y|5wLCTt5(UaCJ4($q5?&{F8b+2Bb;?SZgokVT05;i_199p1eIo`mSEtJEm zFK>Wq+ItQ-cBsnII0ADKtCNg1bHstdVWg7j%)L$AsuQN&2UZ7JQ@N;F-?NugCyG4Bvb58Z$Osa47NuT|FzkIBH ze>#c(Y|e?b>WcJ@?Ag|x(7taxr)=Nvottdw4mu7QTMJkZ1=zjuOe*OK(7+h1x72~{ z*>Y!BWZPgpj6~G5Tr%AB)NK=xBS)yg#N&!u-FcE^An20Kv)U|Y1Mso7?;~lb<$o8?;AZwIm+F+GgB$Y47&I6xKwIIUSieqsi`xIv!W!70uU} z;Gw9M#rUQvC0^LF)Bn3%Id*2)#FWyJTXgnE9*sZp zH^1nguik%bmf&9f5wHk?ib(sOJbtYuKB*Q66U1Rja^zlMhy};1N)nX}tyM4uCV(w5 z16Bl?Wa$Jm46&W9)Xe}9yF~avEzur4d&>;Tm<&T~wksvzK;5hLSchPSAvhylF_k$+ zmUp`@Fa+w9aE!qEiIsGMB_o0gDNUNAU> zS{#HSz?uu14A^-QUIg)N%ms~Gq zsJY-(+OX)m9;Yy%yX1boU>7|1KEs37N#?B8X1U-Q@d*KIW|2JVVbRq%=3VLc!)%cJ z`^ogVy(^@vpITY1zZy^b>v35dSUbT7rHVS7t@vbam%Ca0U%(iBK3yn`QmSZB=#0wb zZLVxa0{s-XWhtH8DFMG_YgF1H1kmXnxhdI>jQetN!S2tftlnQhE9T!z?2&%Y?eu#b zZ?4Q<&M)wo<=;z0l77$a_&qLI*_2qY6#(FB;YB#T(aYYDBQ|}|==JE!ub%qsZ~X_C zfx4^jF_o54&A6&U8Tp1q{q^j0lYsEVZvJ&U8Vb;>NFG93Xku3}Rdm+*C-g(`&;q z_GeAQ>w;T^v)DDpZ-5<*ab{jo46jSV8~{cZNr(IGD;%W6nJ&5BN+6CI5ICLwyd}!? zgOJER9IBb?T#I%*?s_zTWK6J0r-ssD*h3?njUxI(4;ytYp-WcyT=yIma(Ay=_iRu3 zsbSCA3%ETmVA?G@djXHe3wZnA`r~i^%GU))s6>B#_#H`Y^v|e0G~dm<0NX-R*K^fC zYWqyA`1KZD+He~<_DDtdf`WLMV8p=8YImV+POe4_yxj_8Mh=#9ZZ$Hyb5yH!E9UGs zFm_AqqF}!!c8{7uS`alvLslp;AR5k&@GEpCN9A4#Vejk$?ngwuGO9C2y#g+zsJELI z0|JIJ_G%C%^YKYhVsBq%7E9irJRE6%+)lsmD%csN7nBhOyP$-!oqmtwEm1y#_)~&z zaSqdRa&;o;75WLS02dbcgvK!n^iT0|UzH4vGDcURqZ)2AWW1tQ2bspN3lM+rnWZJaR@ zHT@+ofk+!?44BgDgwo#g-9Z{l!=U{ZRL*_Clmn5&^FGS`nPC8!L~Aq7zwCmra)Xw8 zZE6Dj5;BgRWWGayW?4xLjRda6LBN!65!%BvOl3wv(}I5PN3Db^_pXvSnFmWBT}B!y zeK|2=$}vq?B~KlxjanBjz*7f`=vh28gWxcR>O&%O?E zg{?*fW&??^Fe*q)HI;PdF4I*0EeHkc<^&@kHpZU(M`dHCEk9;s{-3}8t$*_S!^UVf z%x#QkkJH4(*`Nf1TC54WjY08+G@R#jIF(ovTrhD1H3FL2L9GOJm3h5U6zBB1iR7!$0)ZHuezRu<`OuBC~j&H#FS2yT8cH=`ezGyp_TIr5Ut%>yWT0z8_r4lHiquM$$5U zf}?Mnko-yBp9DZ@f836@e2_1KCw)@B2*;Zf+=Qx%mOA44((gz|Bk9HPT%iZf-HDl! zii+{MXZZ}tkR?a=*<{fFmE~ppI2YaRxH?|BEDk54pn{?3kC&tW#Lz`V2A3yiUqs6?CY_$ zU^F#m(wv)eyx!_;!7^C%7+J8Vp3GH>+BT5+a!+ zx|!(9`~I76dH+{`#1Q}(&AROWW#0@+j3jU#2Y}Hh#FA1G1TQhemk^|0u`T>vk?od( z>OyBh@qZiu23?00L7@n&TT#L>hm@BKl;y(L#@uk!9oJn`ulTMJ`!iJy!No?r_q=Nf z&}>B<8V2K05Si$H*YtvXMbx^YhLnPsbI@NN^&V|W(64BE6-nN1-_vy(b%%Jj;~!kL zs2;j^>1{g?@X@J2@Xh%zQdu$!>%8eT5906TiV?2 z!Nq32!c;POptL|b-&H}YToQCSEdAx9haU9qK~djb@74w8lCAZTLI$9Jq!gewN$_LZ z!?$ExUw!y;yVyk=fVJgtuU;}e&=+7#V|pLcK#eG z56(#qNcRij=PYZcXZiva)ExeWXBuydqH1;lPhg)Me?Z%_Tb|U*cvAV%o>YExOFDc~ zFAqPd__}{m`A==V%i)uX{ayD-eXAo_gGbd6`vmL&SUOxH*V9A8?3}_Pws=^2XdqEJ zCT8#0DM%EHu*vuCMUVi#PAbBds{RNv`R3(n<^nHH?4NK}LGqUJrbUb`+i8b5 z-du#fMA>zTk(V8kC)@G+73Oi|bl7rxu_~AX1PT%;+v)c>Ue@6F^;_;QRt5T83APu< zFWd2ZT(BIZYXxQt(w7Vc(yX(^#R^a3lD#=wK!3}N74Qt-T;W^bQqTvRx9slR)lEea zA&kwu)T*ppyq9P0KlhwOj4j;tkWm9;e|zpU!9KLSO0F&V@_-Loe{$*JH~p(i4&NB; z> zQwU->c%)s3wtn0ddX`h}%D7xxaO~3ZCp>BcMYw^U{dO>Z=db#yfGB!z6*IbYDl)HNqHXi9fdFgU)Db3H&Kl7^un-hi+$dRzs>2@|A0Z;HxCw%`Pe~9k zbi_!mV@1)YCYa`5FU23pG^^sc_^z>U0S$J0pww_&vY=>K6O8%SNVoO0vEsJ4V9dY9 zq-?BMSYz?X#0XfQH3HaQX3P~yQLlbFZT>Zew(uy6q$og>jQQ6fgY3^oT}xlnhp3@$ z)EYSbS{@Aq)XyGE8`7bYZ;>*L&yzR^bx{*hqQ(Q@<4Q{=23hRb z)n+k_Vs$vi%}MhP%p|o3tQ_h1Z<~8SGxSOY_NUzeu7Z99pfdFq@dxHB z=*Rq9@w%Fq(m88%FprI=I|rRWy^!e5iRCV61cZgmbwrux8q5?GqYMB@ zf0WAzB;n6#SA*GYmbkZ?pd4K}etd;G%c4c`PFSAWZsPJ3&C4?q`Q5+t|NXUJ|F9!T zfi~K1gRDTWL9$M=iGm;|+pi8*g>RQO@&Wl3Ykl$y{-!r(orH10mTZL5>9t(eNf?Ep z10O&W-mN&%&A#`bINJAoULGKA9r7!0s=ogB9yrhEz02x!cdxU*@BX*@S~mSV|9f4T z_#Ia|q(79E#jf}Tfa0aFm~4PDRRFkX>5Ddv8uwyACzl~*vdJj}I|h{uU}}lY3{FQJ zg}Vg{fp|;u*afB*EoPFa-8H5cFwdP>q_4WT-eBOva|>ODHyB^q4F*j7_}<_HKr~{| zQo3|*PCu|#t<0(K2=={VPFKTK7NG(o85yZT}#bv6?B+(K`P}dQc~(bwp$MMq=i|nQ0LQ0 zrlr49uA(AtNEihdy;j5Vh}L~ujX zLxipVArg;u(nAEv{vqN&MXki}A;SLfy~ij1w|hbdJEz>3O#B-q5U0G=k$-=B{4ZRA zo-#?scW0!dOV4Y|WFQ@YzK^sLF~~@6j1+9d@5ymg+#s|H;b{#wNVe1O`+5!__b1hJ z2&YrpKRl&U&v2wOsiTbQ86sWXago4$?+7Aw!x%`u6wULd2F19YqitsHO5=jlgS<% z>$OMQPNSUNyYckR|zMo*j(8TBdhJ+Y5&q4oqsQ-H?e<#^3v~x5+r_4AMg~PP0*xR zPA`w!UKXFA_9DQiVvJvjIiFAXn1A@MesRpf(OzqBFtg5UWNdfr#t=S0%rfEoZ(kV` zkPF)M7V>oT9dCpTXQ>SE(Ct{YI~T5W4BncO$ToS;?@4{$aC*&;;v|Z1x@5b zhQoY+P(7>xEyxw6Gd=FlqfyR-$TA|xq|_~_RTT`P3kH|6ap?iAZ9NnRh6lg?w>y+> z(*j(NZ%1_XIlg_U__oGpsBBBGJ@}JwbyLXIZQ{2mLp@!w+Zz+9g97PC+Ms6JZ>v4J zWFB6tg>KVsQC|>oTem2sA@m~*hP!ij%EL5FV(U zAo^TSajcV(9%_oBh@qrSK}sU1I+OihzI6Xp$sXhRIe&+$MRWJ)Y)wUX4t0;mBYX-af92yHomt`LWW3BIs6e0OZa#d|5=)Czmt;iEJ)kiR&0!FYfR?q zc1_#sg5XU+>zR}w?PS3Gq@i;CinP7H;(o*FbbvHaZ7z^TK1SMJ7et({teu-Rpo;Do z#rC=+1gtz21aSjNVxKO#-jT8rws#GNIN~XJ=YoB@B#7Zy3ooPM4iA;%d8p(D!_E3N zsc?NwK)5kjP21J%Dfg|hoT>t&S)ljm?l>uvZ8$Tk`Z*An&vf(a0wU zaOMB-s8TJlYjyvNAX~^f6Z$HqJ)^gM#=Ixr1YCPciW@1~ha%t99C$k5Q|HFvcyr4> z)4rwq0y*yjcguFTLPPXArFx%wQWEO^EZ@Zzux!mKGfiAKQG9^c>U0RhmcJtEbQ?`5 zAvX+6VW6g6_ZR=j^1TbdVzeLpXP@)qe=SmX8pjW?=|m9?GUP+pw9l$RHBV1$T(D`? z&M0)44TrX5>=QgffIJC{@5mTacimyr3cP~-#m1i-DA8ln`^6R|M_7>>w_CAd(<>d# zx!*0V;wV-u*z^n|L`B`sW|D`@q|+6fUZLuq>K6GnkTlh^DcCd`xUiH-4)E@ZO(UO5 zNf18|Aav=BwxdRM-JXUH*!0Mho`Oy9F&IeB2pr5tyA-D`=F8Uvl{L6_N_Iu_vSuRhRu#4|R1nqlf{uPQcumvHm;8oJt^-y_QO+PZq$ z@?*OCcmBo2H~zh2p~jhN`I&pqJpJ<1;@ydE(09A5M`&uKeQDjt$<2Ld!t(!;T&~@;%i3>gn;8(D2y^f#sLTj&_sQZ zuVJfUki>rimPBKLjuNo-pV-X_-f_k4X3+nT(^xxH)WCNqsInaFI;XL~5l1KunWT~G zK z*voTjC~|fgZg0ruv|H4Nb7z;~W?Y8v`%~|G&(|lHK{x;%zBKkN_7PxuH6Y_1%lcuz zG8dv4C8G}9BUJ?B3BmPNDrU2w*d!C&DODti9nr1OttckRS6nPe!-y48I@|ABDqmc1 zx5WHUxD0DpIj)$Q5XEKiU2k?k`9yFjlrLFOTm}=|BKanqAjrnZIPiQj;xhP(+YQ?& zKv%TiNO5ZxRF}b*1Z$K?Vo5QuMN&X`libX=EUg99hzp?EH@h3me@S(@ujzD+s3w9( zP)}Uo%XS;JQbI!N;D9+IrT{NSu$=1BmfGGnshp~uk>Mg!g0KLmK1ry3&4S_ zTuFM#b{xOV+K5f<2yuktE;ixCpPt9EXZ-Atyz7N`zN`f$2iT{l5E_E_TE(0O<;DA# zZ-494Z+k~{U`qYz1Z069!(#B+jAT3@KL_K zjaV}NqYBa?aY2w}Tk+0jfe%%XI?4r6i(+-N%RSmLs~{Z`7c}?;5kK5D#RlvvIuF$I zO>&POC&dOpc+o8>7)Fx>!?t8eaiNS#Ev-q1#3jLQL3c>@ZrwIXGT$APYM~BCa1p0o zNs{^YtN{dJ??h0vcqZR)$*20>F=2%liNu^c>oiJh<{JdpMZ1D9Kn0Foy`18Z_?ozk zm|V;=nk}UItn5ACA~1mHU=$puxL8Fp-=p;s9uwC@Q5neW^4?E!a@2n@d<7&Mx@}I* z@$tOo{tRa7=)XTcSztMy%UvXX4yJN?P7O}WEu0Wi(ULeJ2F}WBd#H(Co^W;+tQR`n z*|GQMRA*;GzbdV-E1V2r5R<9dM&?vLPP1HK2d5hU-4j{ zD2XJe1ox>ZJMyBR%IB`GstiNG_K~ z$CVzT^q^mCF)~9S7G$Lc&#$1$_QMMlX4!;~Iq;5t@99y;ac;FfZ41f%I3+OjH8C-R z85F!`tEEv(#BmBuvrD2t(q2f67wKOL(Z4Q3avoE*wLi5wdr^;t*;lm)xTbTlWsF)OUmDHdj)S zX^zbSvrq`rUNq2uW$I&W<`)f{?*FtG4gZ#3G;I2d#*aDY6fYV`#2_S(xVj&WhpcU; z7mbu-mqq%~zi0<|$WY@8xFhf$(pmwY3HSy@#RdKVJ1U}@_yOP$x$EfGdkcPg=gA{< zs!E9(zenLOyzsn3!3~2G13$=k5ooS32QB>`oT{hrV1bW{FmcQ!120#>dz7#$?Vn~Z z0X%%;V^i6#VmS^MD>c)DF=s0dBa0t!E?VIcTk!=9B;n=E6jx}bM+ule?Pcj`Ec~{YA&Q+t+t78 z*N7OUfM5-3u{k)s+vZbGu2X&Pox9j-!l)?crVDdZp5wOiT2OS~EUx5`ay9D4S-sQD zR16qL>4%bo`q#NfkZ!cav_riXI%7YU-OH<@V*%MqLzIa7ojVg2pITbK)_Pn`Q(nE#$~C3z-$JjFUcE0 z_r-jM;Dp*ohq8q~pu-i+#dreN%UcgNHbTa}LKKtyMa*$oD=F&^)iHe(liWqLZ3(j( z6g76;3+A{8E7D)1MxR+VRO~uAiwKkF+K)zBnt@wMW#wlB+z$w%xN9VF%@=Z-8&sU2 z;sU_|3cqC8!JMro zvtz>0qSy(eVv?hwNzX9!N+*_nfOo%GR?SnEA7oR8sG+irt#6ra49A<>w~(1Si6K@3 z=WrDC^07pYRB#6*(bUKg1iy5zy0a2PwFOWj5$vPBqpFE7aC`6D z2UQ{tfaF-LMPiJ@RQWj1M#DS`1&8Yq{~Nj^KKXb4H@=Ba{+<7gZ^k}RD(NNHNB$Rj z2@fNbdkaADVqu_3)=N^hk<<+INO`Z}m6V7{z>?&#%XWH^`*tTN!V)oQRYlq%ADZ<0 zt|WkZvUvQG0?+%e9zT$eGNTK6@+1~Tf&S9r$mI#WBwf0%BE9s%^UwM_FGZ)Qg5!N= z6QwWOdA>ngXP=a!Uhz!_dBhD@48If_orRQtz|@KVrWk^^yy&;f4uvhTeRu zJ&qNrmzp5bl%gDvx{~p|ImyLG%mo2C6FWlQ3@z{K3dM?au3QjWNt-pdXy)$)`Or1N z{d_A54DFrp1asD`%WQ%^04%V~11>;1&`UB%Y-`RJ+W*NE@L)|olrD)I@bFTRq&u@H#+=QrCLM8Xs%(th|CV@p^ zuY8BL$b(5utI9I^KdGWbb?Fxud_YsN?&xKu+vq)4jQ+RzIvwgR8b9Sco06Y-gWv&C zTtL#kP+mEGqE1`ZzI-y1GNbl_(GPVEyb`oyB*-MOTknvyCvvnEzKC8~rS5w*Mm|cR z?t1f@q)9&?pN~mCKDX2U;)TZfv&LCj4@u+Z{p)<2IK8o)+KSM=g1)+5``WX6S6<<~ z<%hh&Z~vw*{`3z8#hda8cxda)8-TAeEe_=24)6`};hfh%aV15Nr3)2YkJ8Kph0B_- zQ+kE5B5#PV7<4NK`jNFDwXX{%ww0X>w#h5<8FxW#J%>YzR&*KTkp-!Ji{S1^Ru&3w zgjhTaQv13f*yW6B1^qeHYFSW9elBUu;#d*`RcUl8NowDabgGqOCxyvMlCO+Q2Gt5e zY--AooUJKEWfe79KB!8xUX*5ho~j|&l66IZJaD@2A;RTREveGSkk;jIaVWW~L|2u* z4F`3h*}e|KDs5CrMe=C|^x#x(B2tW9LjS=&I_P@>7vT3GG=ZsU({=w&TIf+9E-#qOo3Ab(j_rb%3 z#G$JB3g9Kh0J0qxtq6$j@J>i<+yuvs?J)E#F!&7LR}YkA1>__@j{~)LuZ>zNJAK7-S^OfHp)&R@=SKB8>}T z93ES{2upM`!}ZE5O#63XB!0eSO8z?sZ9pTVFP23104Ar}p&~ zfdpoqL<-#UW1=nFW+#`9bVf*wsSvJEr)EKQGK(Zi+`8mI?|SZs$K@lS2Wt-Xu2cK^ zX^!`<=esk~yFOc|ivDqhDjQTm@(;lH$LC#%tm5A;=M;;KL-`vumyT+c7d-_qr0#B+J$`-(1rJnpahrVZXfL6Daxw%LvnN_|CZ6I{;n>z^Vy|jF~v1; zix%5?HyO$Q?qC11Kl=QX?Tl<6*iPF-TDF~|z3r9l^d62AMgi9;uevJ)4YH`8Aut^p z%aCm+`p*HC6u~WG-a2cVZD(|a;K#H%&TKoQD+JS&TEW_OdRGV%wk`6y%1B062)aY+ z3pr_Ji=`|d+`4KISVyG#o%g|d7i?onRcHw3qD#I^Z6RLq^S?`5$eRg3tU6Ss-#lB| z0n?f+t)xcW(hitbV7=Y6O4A6yU55j?k~YUVi8&_o7F)}Bvo7&TW$+oQ{w=nax9EkN zppScityG|`zM4~@-OOUB+eioIXTRnr|Kn8sQ@m@xN#IC82wuR`#v(Syj4Qguw%|vP zkd@Kay5J~T8RJwJ93?BGtu2B(bXW;qW`|E=Ywat9pR~0uILc~9TkC?OtY);eMR1hV zjNwL+93?fQx^&5LQZq&&E;&wWMkQM$M@h}{?RgrqFg4&tmvPZAP^mz`>UQ=G>|bt) zDU2tQ|7*Ms+05UU6K@3NtePeP_X*m+@DY~k-?LEtvln0@oO|Nx-@N79Xpz;wFZ#TX z{Os;y3NM(ZY&}b830yW5v1o;&9Q=B}AGbYTXujUQB2BJz699uC z%sH7BT0qCXVqwF^U{a>)vY=EFys&oc$Qgu?2yIa9Iabv_9NPppU|6hI%+)`1W*H&% zTDzq3p{afS$Drp6SA~b(tUEz-s){d11%4#PPZX61udY}iP8 zDyu6J?TOP6JV!{*inCN7KDtRf)8N`lOw-u%cGp5alvSE9;AOzX z>y^d$*+o6rBB|H=Qcm=eytfrV9xVrIH(>{t?M%1Sp9A5{tVvFQfNE@m()8oCuQ>zn{ z!3Jii-SjY~=X-V7qRX28N_XPi)z;#?LP5FAYSeUm6JcOT&-7mj-NM{?hQ{l`qX0Usp?aF8p1okPbesDsQfYUQt;9 z7aQNQ=wF-|>f7>tfs%<&K-ym(rsy6Q{;$XvJT>1JO5M5tatFSzij`w01}z<*+i`qh zl#5NUdx0)2E{F1W<)0((a4e^UUaPyy?+YAO_sjQ%^Uakd z@_kj^{~++H&!5|I{i9LEGP6M|NM9S z%9q7N6-}qO4{6DjE4XEEz0w@aCr4OhDEnNy<||gtu`r(ul%)AAxnN;F0sG}B{YVG< zqIL$XOJmvcU_QY}xzTKSP@kYiP}ij4h|q`hvkdMN6yeyu$Co z4IyzA4EzDZVw!7N;df6P{$f$EXh~`%X=436K|sHkZXeKV8M}ggh44b$pd#6UPnSmr zn+sgod?zQ3v3h`+M6>0OQuDbr-*a~f6s!x46Sk;~p@WNQo*F6L+Ve}!XqFq2iGQQy znCr@k#}(6)as(usAT2RDrQVVNz=~H!p0H)bhEtWy4}EE`rT9px@) zb>cugHq4kk-UdC7(l(UUnW>xyqPn z5-9Co8?)AW18R+tXZiR|2;H|{AZ!a`2uhr*py`s_i~vi#&k{nB9uB5f&7BxSxp?|y zB4$e8GK8u&=h{YlJth=yFR#9!!0!~%Zjfx<|NXI_@NGfCr;W}Yn>#N9 z605Y0;1~lZgl1U13BKWuSUbtYiQ~%Y>xLk0qpw(cYr(50rG_j>+vtLTev>wi$Qn-K zu2-b8D}pDE!Jy#&)Z=!dZ7hPFM5q)z%aDtFXsSaOBy}i^ChUdusWgX;SZuY6$wVXmt&-kaRS6eCw!4Vk~Y6U(s#~s+A zg%9-9pI=CQT?989hEZS+J8h)Amk&*ST?9ECSp-p5)u1O1O?~Zx=uy@jCI6un6n*WI z$OrqFL!I88k1gJ;O9p8v@Cr4(>7*W1#nWdakhr9%KYkF&Nj5%}@&qIq`M7V{pIOET zdE%1``QP{^KKXb4H@=BadiZ)U;Yd4>wEx8W&k$uiL~1o8$nUEJo$_{u!fQ1|+ioig zC~H_L^rZc{9U7*maIbB*#rbAhR<_$-lOPq79;c%bWF#1&KItr73q!W&ctRuf6y6dj z-Cv+I?-sR2V@V?3P7?78f6v$ajTa{kFGd4sw6fp!D7G&cUI3QiHfO_98QwKsbxBJi zr`KBOYd++yJOtVK2&OtW3 z!1p3Z5NdBF!ObgX!wYAGGYiQ9PR6AAS67Dj&>a|YQj){=8c7C9VR!}kjkDaYg#$MZ z{&a>{t@4#!xVqEJ)f+Tqc|Ejf|02{_Ebn4@>$>9bSg@O*@Vlu>a8(s+c;8nA{oi7F zU(N=gH+=OU`SkxIrWa{w-b+#L8K7y3Qf4pbj1?_!Nm#96u4pF@Qz=SdNMsfnERhT) z$}8p+Wul+&WI#z4%qhyCpU24FHjSE7lwF~p-yVC+x;aG|^z+*e5ScnlNXzTLI@srT zr|}f!Y3uCsXhUjGQbJx|GuY=5m@7WmzM7uX!T3?FRg?PT7ZOTVA3WUDT?*3GajuuG zZbYT=Nu`nJvk!q-)#VG?wZgjkDfr)5Z|D=HQXz3Mz7GNWc?QE?dtk2(d+$Ea_r>4v zhX4Lgysq@!D1gM3p2OWr6@{cb>Q6hCNb531urQP&q16g9+;G8BDgr7!7Yshpfm!!d zdM+4rq64Gusq|bhm_LQjCu8?&cAi4~iQ(Qoa3z&qSrMU?ViEV4S1v(>eMQ_LyB=Ng zjLad70-FswsapjCbTWwGt1c=))d>f0+oz1;m)4ge>Pm!vUD&nSyr!3Y#4=Ue&!A$! zd-T{i@Gj}8d@@5a@o$tILkrgU(uooE317N8Zg(6b1qNL(?e^{z&9~jDi4pbbQAShB z$dGucVfmV)L*g^ObTvBAUGj{+=Ey*ITqW9YmjqsSCz#a^^Kg3D+VniH&X~rfWF3b? zgRQ;tDMh1(J*8;rXnRd~m*t-42SXk$2>VmYkJDWyvW)NQ9JjXpI6tL0q1%2+$2vqh$hO)Ez`x}Kda)$fNu_4{Qzem^={2r7>sjLGm-kyVGeN6kRCV}D$* zd^_~i{%QX098vK{Oq==^xHkc6>5V0L zq%M}RnrZMK`=718`TgOQE4t9D?}jx5igypXFJu@{*tU#xpmgcW6hTbP6F~rTBq-0g zU%E0Oh)Jb_L;?^?8+BqudgU$%_%I6EOxbVOHUuFA>6N=6I(v1jA4WLuwD~3k>6N?S z5x4+&X22$6*t-&hg&^ISBDm!MP!ZfqZ*BfDVx1J{2j~-0HImxzaB%U;V@2`GeMvHn zVM!DLx}!~lcgb1)j=94HT~wSNxY)_*5!c*gy}{Nu3)<+BlY#l7?g)*z>)|-Zr|eS| zM=QRTPjh5q7fR1?%I(Un&^@R9->9sZ6X_Qfjwvlqk#rh#9c+TnXB+2wFqe~wA3i9M(tYc3y zJy7fjDQ4YA59xqs zF3%oZ<=lFPO@B!F@g{H{^St$VkmA#v2XKx|y&@jc#V1cb;o_5{MLPM^&#+G}ZZ-`1 zju>P#Bhbl)6YiVv$=UdRqRzm#{Y@y<;_dIn1@O(q0ne;*m&bnHtE*R&H}<2LXrw3F z#*_PF4fn%x#Wx)ai@1xFTM?S#qsB=6V_e!*nk9W#R$(8ABV7*JP%e_sFTSe?{hlQU z{ICA?&*|P2?Fw3$bq72kAv;)iIvG=BfSWVwaKy|Yo^CNSbX$Byz*W$qPQQAyx4v@w z3YlbjEiP!oXmIEwfUx2>gdm-kB8XN$_0)H}9z>TuiwgpP&n^V`EJ${@NEo^-MR0Rc zxDEz!Z`D(eL(^k%LF5_9Si^S1eeci}3J0TllmQ4uK}(Crk~RDlvqH@a+FSQzlB8D| zM+fXkjt;-BMLvmF>5}l)bjT(+t*Txy{I`SQf9;R`kH7b?O%aie z&Ie9V1cdZLiiO^tf%(8RcUIvihMoOpBvR;49HzN*K^wnFzE6-|ip{aF5N6QaxgY}@ zMYf!dmc9=`nmZQ^U<)RJwBQ1oyCQf-FhG;st=ByS2BQZTM3Mu!cofR+!>%BTyU?<(Rw_Sp) zBDp_l>i)FHgtvCh^c1fGr?SJd(n_YsIN?;#Y1u>bq~{p4wHvK2ftFwBU;}rBVnlk7 zS7$h35;mUC9QT^hVe=omCe0pC1~TXQv5ORYzVmU1XqH^$hc}JV?u!_Rd1je+TL*Bmadx&+QMc4Ww=+^jI?H^9}L(`1shS; z&Gdt*5|dv>#TT1I(Nr00fx)Ef*W;HJTueHCM;J-oL878KK7cgU0bAJ2?tWo2U?~-= zRd7BhvYO`emr7VV|K0`5KSv+0;^ykr@=d^Ah{18y_Yhl!9Edq}aPe*u>}5>=PPoVq zu^6~&(?5(Jtn#SG^1IBu7R6lzUv5IxKlq2g?PvdfbVTSiYr(-Wx)bxYYK>WggM%;> z75Y|PCkue8DHT6W|EW8)e4)tzB)U+fBwN9x|DOxCPm*(?H=GA#s{b4~!H;H$Tf52MXt? zzT}feO5L9}V_6JK^}$V#W)mEVKtQJbi)RDow*KqE%}Q}~`-eLm4C-%{|6TeK-~4Pa z0ocPFYOjjTbHX8K6YG*$oeS`N7}ol`GO>Ic0W(?o)*tyZU-a|QfvwX3T6bUqMdu-8q%_Tu{qrheyWf##nR!^p6Wbc_4RWA^X-1lo z>()SBz@p`}R1S2IUDLrw$b};8s0DMN17NnYvmhBDt>1aYl;?t50tbe9*uxr`IWeYj zjycc)fSW5KVQW@5ub2a!!-hYWc?ZmKYJZHF{J4+;GndqElVfWFofCeA6i4xMfFrMe zcg{p2lf6|~I08IrC(oyuGm*kAD$!+k-u3(7^6fdTkw@2SglMq2M!B<(TsUN=!7u7| z{qxK>2<2cbWs-H=IO%Yx5qAKu{Vff4-VSOBMmiah8!XseB6oEi=WUBtyLoY4aY-T( zY5(-@!vE%;PKd-O|IYu$H$$H&g(SB}Z|z@1bIag}>w5ff!E&-pE69-@lT@Xv0uB@c z5qIeVgD`Z|^$v)wH(}j+4#*7u<|$*n|LeDY%a^U9Qm1YDuK1mRB4~*Rn0%@DT@a0d z918=^k0U#7YMKmcmLljSJ@6d&xOA!bT`*{gcPOkiD^jl%!9q*CLrXy;NX1_S3oS9o zL0S@FJ{m3oqfe()dgDOwN(Ey*NG_p zvSy(r-W+-yCZhOVGH8jnK<_j^Nazh+GH8j9Fg&efzCVeUnB)2K9iLR^RBfs`LzRmP zRQ-H~9&Z$^<$0uXq451A;j8j#HWIL(^|4f|wnol!20Tb@WLauSPXOHro6!yA>D&Sz!8yQXv&v;O`U3o!?K1|156{>;?K`QDo^e*2e1KjC{{!1nX+_>zzi!j}gs=1UAn z@zG)F_p{&eCk`JM=>Sd2dpf{U^mXZ1<4F5ztn}NKHtW*w2Ay;;>$g|>)hUC7*}}}i zW<_070WbbzKn3Qd-=k!0vmnj73xZIAeJW&k>drLlS+FYoBD%I>vKrPbSe1S;GLTa* zc#<2-LC1xxO23B?7m0N#q;9k2k7EpX>RhL)`;ve{vuOzY2G$r{MvCEK&c@07@$TZ+yT@}J1FulK!LIy zC`#~AVL(yZAGynG=3g)p=hM#fC;1prtQDvd0(d>r@wuIj51*$(!D2x0HK0@>1dQeM z@}xX1y?B3J9)hS1!$~4f3m&*98AoL6O<12^o_2}*?=SA3cZ-&||8C;`pZ&U@{^kz^ zB39e1JnpxqD+IoKhL$krTXwWE_>SIBF+oOAg`zJghhTz$3^V9#2#R)bE-NJyhagR5 zvm)dEa%j+PNqG@7fdHm|9vXWt+hHzA2fs)+I)ifU`W9HD!U;7v4aA{<%5dK<*3}Y(W$@&o}oi>LW zS=IVY4fvf=Q>18KZpYqkiWXe1E7Q4H#VoMb2s_H3|4g zoExxf$S)MD;wzrloEgs4BYNuFWM-9m9Ag~GsYk#?ST|%=Z`T{Rms5|Ji^{C02wE4S zofQJEoO&E(9LcH2al#QkYyPuugB-;De|4p;4Url`^aVet#I88q@ox^-C@jdj$dHB$ z?GvB4Q2sBziBI`^evWVAlb&Fm?rW8rZ$r6(gTHdUWjc9s-4%nI-u5;)z=L1$_KGu{ zeC^gbZa^R$?pHNn3Fsm3&&MY8NiWub|9n-ff%7Gt$zuiLBr4usAvR9OZ-|a1gpJeD z%j3R22Ph$OxwmxRToq=o$P=ir&%F8AcfAy87Db16nx&w`049*4$Qcczs&E{ZQuh@d z_6L%>6PLF&>d+9R7v(D+z)2}8jAIPcl?g$rup&4%#(*l!1z9`LbA(&2ep#$Y73P8+ zhLCCk1Kv_A$Y-$#qQoQli|)iuBzjS?B2}0R9?*Y)6%mnQY?@YhLQv8yE*X7S;5V(i zg<7~>X}rP@>#fP^iLVKxLCRD-^I))SFQq)bE*Y&?hjT+FkE7??Q+ltulg`1;KfQt5 z?J$LgN5^=G_G*f1@T21wvjj)U&Iq;atLfP>s%fKkquU!(*7ny+I7M-)ltbxWp>R7( z-(V2Cq;GN>r_r>kIkRe)Twtj7UK$3f^jPBo#}+Fwe{||_?B>wf{Wt)!0j~ni!of$Z+UZ*a z7N4di-Vf^D#h6}rnEG^dbO4NB zSwBLtmMn`fRR>pIg^L(rv7U2_K;k^Z-%-fK_x__F{Fc8L=}3KFT4RcFf7&7d#2;9O zYa5x3DZ);SR$wd-X0qB?)U9(I7Xmgb6lmA|>_BBq_ZS2s5#T`NosH=z>qs`H5&I6X zj^tP#BTp<$1*>rzrdGzZM5*1T*9TY`(^1+HflRn1AK@LDG`q#bRUgFurk-})o=RG( z)Rl+@HT6Ti2w_3v(-T=<4{`l{^1sMlF7wK-3(+OtlR{jnTtJRfP8}wkAfZBi)(0;TuCF! z;PrqayG@YTt@DFm=qoEV#8e%H>?n{@Z+(j-hU~|nKaMzU3T}irFbj&t^9u?3B%;Ix zrq$>2kUF5PIl|?EOe3?GWz7u&D={9><=XsgUm+Zlr`aV@(`J?xW(F<3HP&xZi>eZP z^w_wTd^6R5?4OEG9b}<&A+H3bK~TOV!kO|hAl{)M#82nseZ-G$ zPVXD68Sz*N66Oll|MF6&=X-VRP&kz58+`)|W-HtvO}gEb)p5N(36mxI^lTb=x_y$) z(?Jy-l>|UJ1FO6C7JILD9qU7k6#32ON(gD)FrD9We)Mrx($YQRp&YH#Q}X~ zesQqbzBpj8+*&gJ@{0o@e{uNnaq7OnlK$fG<1M{7Sjt}F#m`_+PJ*qn_!EO&W$tZS4s&Tw>F1F3v4W$3k+M1+ zj#|nUZ4P#=su&PxcgW|z-^=8ta{Vy371LAG^@BjYKeywA_s7*fqh~SPXFu1H^H!(^d%@Y!H()R4l-=w+9yf@ zEVa*+0uQm+0nO#5xrH1A_-Vd6zfYT$yH|)aOIYlbaM~eKOivN?o`5O2vS2?**QE>N zJnJfD-e*N=cWKwsx58bO2A>(0a>!TS1f{wTQZ9D+MRB#6)|hiwhULnFLzkAjEOV`z z!LtAMXa4@x@Y>*VK5Tk5lANvS z_8ZdUprU~UPm4gPvNd4Z5kCkulF`x!2C*={g0TXvVw+LQ*&4(djMyl+?m;wiwg!k< z_@^{yi0np7A7C$1N+iU1+qN`RWowRyoz9?QOH5Caw#6^y0Rf|8A36ea>uX*iF955< zEE^Vmz(>_vGv6MtN}2n4#4T;ttnLqZJLDpvy`~3GsgM`w(*bucuM#e6Z_S)f!wg|f zlQCu0)|{k#?!O)I9b%E`cX z8(pcGH|5xtk*@2)nXtX4YxnjX-OH)kbuVkru?-yx7BSw?CyT{g)wanmKn-AgvV*0t zjpU&85Nu6*3?XloM>EwP^R&1Ocoo@&BQB$Y{Hfaz9*t~=M?-8y_Gsx+S1up~DvwIG z)BZIgSuamy@cb|N4LQ`Ckx~DK@$s-d2F}vIT(K5h)^?lq2#_YJ60X30?X+ zwuL+{@+nNb9Kn68_ACTxOkEHJjm*$sMVMW64?>W(v(%yun>GQL#kFPFp;|5*wSns z8zYs!;^*dT*}N?oJ-1;jyBS6}TmpP#-|Et+Q<+80aE4ix?J$dyyHA@#jXDwA&$V^- z++J(zW-QMr(O;5@|+}$26%rRg4k=-7G&zG)a_QLY7byRB+##GAr$7?P6;uIQigK^<+=W=J~o3)Ld8)atkEw*h^(RKK5v z>bE`e6Dnx|alecp?s>PUwHgbE`*uLwzw|TzZTAmeS5O!l4ZG#_gwbe!R?cJwK<&7( zNzopyU%;K6P}FVHT2-cOtm7+ZGLgo+-0_w3WTPElIg>fsa#dwAecIJv#}zGaxsV0Q zHq>!N%UdK1mhJkEt734=2erJMnrNT0^#u~bx$+(bTOK|ZXu@OQ&YDodSL{K+Q37`Q zn@2aEvy+|u(D-&1O7Xqqb9$tKr{JhdNS@Jc z(34E*-&H{$KvG5&YYK$Cn7hNIsLl=10`58cy#nW_I^=%urcctB@9^;G#G8_TaXv_xT4gEc>(M#XBS7irX=-3 za%;aG(1!ZWe^Qqc00DO@iDlrUAkP}00rp@+!gJ63Xb(0&4nNrVrhTyaPd;C5=)uOp z?()GNLorBSa}=eS!W~Z`BS(8oWqT&6t17mX`4ftuDf??bT{@tk3UZQrJm;&4+O$7P z*;k<`WG-p!PZULkA^!Gf{Ty2X6!{qCS4_g5~R{6^fFx zR|tx40>zMYBw!1ucYxDY$Zm*Yz_mZbCYAy|BN7`Au^0dv=^sW9RwXLN>g9C!J$8Fg z1Q!&;S}un0kd+)iw_bYj=7o14U%eJ0$N*+sWGqYd@&(0^sWyQ^Ni3Yte;_zEAB=RH z@DaV;yTYv7XT1KC{_x+4%)WBzcxK<2bx6Lo5rhCdCBak%AC*mLbmFQ#hjlRPwmqUt zl1@!9TuIig2+G_d)(VbbKd1#I>t=%J^wc5Z$KA$UnplxulAj}xqyR7A&?MDqSEQ5V zf;ga7{mBs8o}*;l{LotfBj_UmkzpJcacHq_CWx*WBAcPZ);?xE1U2jCk_Vu=O;WOw zaeZbvKI+oMj0@c!p}eM@7wA&WJv{69ls$HSj&I`A9GO}=dF=yfw55IX z_S-k3o-Uq{Kd%pUe!Sb}%9xH6&~5jyr}GNvGnSX4!o20%^bpnCfBNfh`Ey_J+KJRg zo<`S0_oO&5`qPJo%q15GMEFpIQdAh29ap6`3P|g;wck6ziSlE+N0+ibVS3BTqTSg@3s32Y5W((m}7M3p%pCj$3C0zT;z%ecuW9klj zA+pWm+Ni{eU>Q>f^-a~31!-f7U>Q?4FmsP8%mo3BLEa7u6sIVuzPuuBj0oq*8M^T;bD(T;ugFw34m3jd4Zxvz(jNv5+P-Ss zrP4P=ze)jtA(-7I^qTv0KKMf;?h;s7ZIS>c4z!2P?$T*Z_HIVnn2*OQX7+-zyL32_ zvqt2vd+ge4LFh!yW#TIySv#C{Vfalj*1z4}~Rz@yfaZ0uu(YHvXNm0Ye z^<%|ct0FPmR)Hm0} zTR`zt49>__UPaCilu0$Y3|Y9V7_3E|Cxs`MCluZj(qq|kd{+u@-tt2V?<2nD@BZ*~ zaTa-JR3BM$ohZ(FV94UEmJs5FmMdvm2VD!=MKE2Qg=<5tGgX|$BW&ZF(Q4ivx>9&( zB9n}_k8jLMIV(KqMHEn}@KBs>SF98sAbIf8v^d*SV`4GAIJ?L2=b!;skwsVt}Q**>8u@LFEhx)$;Cmf1>J5(pl!LwdFvhvs9l}Ma1m%@pI$&$>toH z*pJdFAT=MYq_q|WcP9$&`k-`PzNwd!zX(ngrYL2I0=6+RL?xu75K#%2K@EKwrbsHZ zRmPQ6Y-ELn8Q!<>RvAfk*;k-~0mH1Zf|p^0bC{_Y^6(1|fBzQIH-FYC8b0Np{T;vM zDx{OpeP$?6T^karSRm?=sc6SxFjgolsU}a2$j_SOYDeCz`Hb^I@qXIIkM>3WA zkx+FvI;x?1oJ79<%Y%*zpTGNkW5-0uk?Ck^{ z73fggXQM_s6*?-U;#vx_3h7knsF>{FFACJ!Wb8HVoTw+^jc+@38+5lPgvOe0a+8+2 zIXpvjRCul&Qm`PM7NnC?SkaLAYkk4`Wzm+Rn278!fT9k=jH1Ie^09<= zLm1iIC>)_3hEnx{z-(j2F|w5hFp81Qw`Uw9Tiu__7}?ocUNvuI*-}XA4WNyp&jDcs zerZ2c3e*@R;_9N{?L(%QR^e-*tobzfxjB_)b2=_~s%uC;H zdMi?`yC6ak&BL8GJE4&RX`A><#G&xc7r_l-XDl;pHrB8ef>i4+Xv57pSRJzp#F&Mk zgbpr=x&;doSaQ9V!5;b85;_z~A)10D<};c5oYtgTcgX{086W|Pqn~`*aFXPESR}z; zt0kN5y16@7tKg!=R3ToNAgEuqe)fR6%L=mjS~iQ?rJ!vnVXJK14AZ>kRm%xC%j^Eb zGe`Y6T&uYS)si$XH1{~U0AKT@ zYHLNVmnW*W!d`oQM$n$<2{(4h2)>N8PV;V2TlrpSoo0kPvzWwLl6w#XAjGkH7 zAPlw@Q)*iyoDBzZJ)o(fwZ>$7%@5h_g~`lj9jP;9<7l-uu(FLMtjszv+pz!a0pEgG zp7CF-Sex}dtT|WQ?TjiX1S_+C*eK8v6N&(^s#mPc`WDGJvBCRw&r!%`z1yD6{$mcR&i&nUUGF~>wdFhg~&AJ%HVj|VR_CqSQl~&^`j5^*~K4e(SXrMe8NSC?WD&Jz(VTO|*A^}>M zWzeVqJoYLv>obBdNq*T|G2u0|CW)=&Kk{{d>epWLn@aLaDGMF9UT9U-xd*0~x(XU7 zQ@ZknbwO~ya-Ic=YysJ91gTD4kl7%pDuP1#M7C6?E(q>B>NKplCtGZNXsS~e1QMS5 z2e@_UG^+d{bbaevs7{OE`fT$8Hz@8jf|6Y@+F3HgLP2B2jS_n26{${rMbwfw8V+fL z0S;YJr!F~4qO0grJNPJzZZ)fLltxzyEam8G=`t&Niod4o}5Zw-*e4y*j0jmji_C2(FUw{^-b3qIni6+emE9dNR#_MX+eS&T`TANwb#A+)ZBa(<7GegKaeWmnzHzvJ{d?h$*P zdQ@?7MEOL~1Sj#J(f=ZK)F5aztCoUCKxFa0MDW;4n<03sS`?VmhEJvnCMQMa(O&>eA63c8i>6PGXA5$=a{F%XgoNqW&YU= zBO$$0w%&x1SVGH}F|?d_i&{IrgqF7xTK>YXzVp|Ii9@k9}>GM=)X&c8OEdU?Wl3N+nb1#wMqHJ$qFA%tN|uQ#5y-nGVa zhHaJrZr<{(;%5N&M}Fx1^Z#_@!*rpZ(%Np>6^M(zJ@uyYWu-N$i?Y%>jp-r=2= z+y%l0anaWRm5DQNUm?Sml@?%P3<*i`bwDJS!BQH)`HG-+R-R?NGBRU2>!M0&N%#OY z23znBtbKwewo|J2))ngnE+uwWRkeNt$k#L{^^%$Irp=-`<*h8ha8AJTOCKi!GVYP^nLd z2C%34uDs59%MW>-@BWWI|4)8lfUC6Q+N-eEf6ba98>!)aqnVqoUv7JrvVpf|I~N6N zhX}4~LAmZOxZfI!&Ea6>+GzHcw6qB-wur@5&^)O36@qeaOc2f{2~JtA-PrW+5R|fk zuL!O`iK$NqFyl1mNR{fp8eQXw=?crY9AK!KJ&PVq%q1sf} zgav9%#FCM|os9HrKlA_j{crea)=C2=#j&g8P9%)LuUQgC0A))w6!&q`9S|G-*jxeBvvu2YMmJ6Fh&DDth#oBJf#(--*QaQ|Enz3MxDl=6$Y#ETgCnk0k962z8Yx zo2a^r1C$&dxjY`^yy=b7s^V|VLu?_*7KwM{$WHf{Ra$(6`|}a(mM=cS`(OOhmlQoP zGVy8-i^XRBK*fA;+kmr2b`2JQ{@5En=`a1%RMonq81eF>J&6&dzo^O|>9%FGhcx>L z7;vTRK&W2#=BH#IVRUp0BPc&~CN$`cZpl8vXjf|X5k|XGvyTwAcc>{f`v@aVsgt(1 znA7q>O=ZB5`Gs25R|FLKVl+OGP+X$%>l#zVi%hmw@@2`^CMQ!MVW@zGt%Y4@Rvq~H zw;_j7&nc`~f4nhX5MuKbc@H6W_eJin_=6w%oj)JxM$KD#9OS8gbcwUCVDlX5^{L|` z^{+b41*6n+T^C``kJujzxef^`(4u}|G4XbYop0O3fq0yii;+H zE2O7curm1=vIz77`$RU@!DP=t1KNlY9T;fV`UbVh2NFS6T%*~kvS*5GE5`J2M%GcF z3+!sihEM4mT<{1oG5l&`9MDG92sS1k%y1OkV|;KU*qHn^hBnHQIuSBopW5WdSz;(b zyJltb_u$mvn&9OY{7bba{aOD(Wy}%K%EBfWl8ecIhfX&hN5Cy6e=+&*dj7pqb)t{p zW9=8RS7F1Q;g!*jyF!}q$`+GiNyp7++(axi)+Bw>KB%zzH`82&p8nO55q7m0Wjx?ne9`CA3N&*ha?PdvC9Nb#uG|cOR!`Nd!wC;ASiE)BgffzYy`=J`vxm zC?or&|KDGJ@Q%>c+PLbvTDMr)VM7ba8;)nhK#XYYD+E)L(Dpul2tZ+ixDoTDHS`HV z>guv0_&X(-0wJ8G5kru=+69eW4{e$lHPIfLy4nSa&6g<`NQw%(PCiE|a65y*2@p{# zb)2Ii;N#HL)xP2pvn#+UMBWG;3v`h;V#`#h#G06ojmwh-6##0-K5aK3^phpE*j7nW zSNp+H1JL%=gA9CZ)?|3*k}wb?s>ScwZlymp|8T`d`q9-&@t2ckFW<(=@J#jBI>Sf| zS5FnBDr}pYit1^x`h8BvCVf1lS3|?aCq0FGY4UXaxg=#D-YE}{C1w5nyRD?GtvBKR z_mZ-6qlXWGiBI`^evWVAlm3L7Khzz@0R^`d z_;0bm{}2ZFiTc#-LA@&#HgEYM751&a^poH42cm?3A-G5Tk7%azelN-8OE9IFX>#m( zL(Fn;A0thmNSG_nE9T(-fW960svKgr6BjfK=HMRaT;-fX*G{joJHwP>p2;5c#Lb~| za3AALj%@>YJr)iAmrgS|Lm#WIv)C8fQyj(;3S+dJ1mbXhuk9&UC1WmIQ4Yf&T#u-( zcvU!RiynIx^q4)!*XpsQK7N1o@p-qXwFOIS(CxGaed5=B(sN%sX-{Xj28}iHWP2K^ z?c?Uy_OoPric!X_D06z~u_1!0d0!&6JD!qG6Q`5yE%D0WB5PhSWuzNRxK!X5feP48jf>>;C^dk zdg9Ph7x5KAtc(O4X)FM4Z&sALhzkODZY&mkL7HX%F;Gg#4Kk$%?zr|GwJzdI9>~m1 zNlOduiWg77zvtf}nfNzKjDy9N2=`ft zaP4Wop#5@RP99i!U*Qwg^N0QH?|HXqiE!@*4)(#{`<>sl4O6PFr$Y?P5k=9<$E}|B zyOuS9rnCrx1QbOb00K@JB~is?I_bv+qg57tP_1B&aAS(<4%yab#hfvY@uYk3*foMV z!Uc04W(zR~y(b}Qovh9gZW&IBL~w7&Y>sdNSx^i%;?{;vB6Yg+lTj+I+og6AfN0*9$Y$S<(_$TpR6w)Ji7U7|-`S|A9xrMlgqR zpqoWG0I3OKBz0 zEskV;E8|&6ya!mBvhf@xWvYy4A@Lr_BWL3|nn$jTXF)R$v$qLr-#QA$*HLy z^pQSE;rc{!F|cY#6XH$`q5i8;Ox)Z-RI3NL7s#C(@Bu{1LJNM2wBQ5zV|Y29KNh%O z+JwskW~qC_J1_a=CBOXPkzf9WPx)>OrvqOo@4Crd4tGHDLQmarb3j zBXIYOOfy(q^2>%5k9mzGvu^|?zifgruMr@5rfxH&T>>5#Eb|%xm*{>1=3p`J>MWG zZ=bE$2|aZsneWiK%vRj3yY>$0C=EY4hGr?g4;c=k2de8?-6KcYArKeWG$bbz7wUaX z^&Geplsuw57)8GxpV+7}R%55nW&e<=_9OG4U(O|kQR&;J_%EGaG8hTum67LIW;VWh zW;V`^(Vx5{;eY@3@BFFHnu;&chcFM$TgWt!ob7>ctN7AY;u#cGWuwA+*?NnPBTk@VxLSK)8x8U3Cosgsb=x^c_;P#ax%(n7%5$JRC6{i;3ZL z*EIvGoQkk1J*De^k;+{vozFt0)83$o zOduAlb2yzhmVUU>25kyHc}W%R6rhSq=WeSh)K zreoqU}~9t2}lmgN6#a2(5D)g{lU`CxeE7qV1&p z_z2)mJIF_32E*f=w;aPR=ZOA3`1kqH5;C}8K^Gy&Xjhb=&IFHOmXuz+)46|+5-_+R zeyf;Bco4_-$JC%92P~b|IN#p*33AX4VBDYq0T)17pgvss@V%GeJ}@ zShG3~)L=x09kFc~#mJ){{^)qJ~qmgM6*8x#zl~Se1n5v!g$uPFiYH_g9;mcZ*uR zeqWec9+$COX@h_UDC(dBFugLvn7{F}pZNAiuPe1F4g0+|0XDmTl zzPgdF1#Dtgn56m!X!$bc1igzo6?ur3kIJr`V@E(IlZWV%XmOadjV{=oK|CyV7mPYq zu6p|l$wS)4B8a*eJakYffv%v%isqc)0Ypz?zdOt|Yx^s!oFH2s#Odc$==S=E?eK%2 zP7KCim;r(9p+%)O<&r?g!e0?d;A+Kth~Fb&j7xIHf=J@p8%Ii#%qiPK?h79V1T3ui zB*}b#j{6;JQ=DPhSv;B~^9@3)iIToRP^glFtYOmCP*eX1Gtk1iKYsMMaycG3+27+w zH{JyD=&|`8zCfuKJ$mf%4%YFC5%!FKy$RWy5P00H96fqmh6g;l@#rX@CWi~mad76y zgwtZKO6#H&-Gpjmdg@o0frBWMt-YvZwhyz^`|P%@j{76?){s0R4c|Ub>A7Da)Me%X zk_QYHY9wW>T3J&a*WGh?KdgBwo)QVJToP%EovyjZWW;vOgtc0sz=|Rxp1ckmpY6MZ z2(7%xE0Cpu;D|3WR_{rs=YDlQpOA+TNxXpV(bIDe$)h%J;bAu7ru2)_bH6%+;z+f_ zX4Ah)`5s|@2%zTtMf*NtZ<|!p3e${nYgDqQnzs2KK^f}w9n2YO~$|FHyNA$cJiY`%;QbI zi8q-a4V})99xmXueOz8kQ4d(j-((2(-(>#d9lptLzxXOY-0fC0Y{d=V9ag;eF~e{t zac8*UyVGiS%sXsXyHmA;M2po9*-_tht6d&CxI3obv_H-l4`SJWzh3R(RKyS7OCm4MU$$d^T(JCe_WRWyx+j)9uJ%>FWiR1) zS`O!X$~){<`)VxoUV`XqhkU>T&Ns)2`x9Q8eEtxqe!pzT`5(5c1CT&*!X4CIwSV*b zu|suyoNvDWht29h_1AGo>2CetjR(nS#QDp193K}fFQ<=JiQDvQl}&tmKmtMHw!?#m z`1ataLbk9Uk}`bo5L-p~sGBa#O?i&X$_KeEzF8%T-uc42-!1NUDY|$DNL}?}2aBMO z<+~s^dv!(xb5_rC%tHP3|M?q#?SnBFco+p{q@hHCZOl2fdOw^~xo3vTL2+5xSK&?B z6pav+tLX5ZBflrE>~OTfP$4MS*96Z_9Ozm5K<_B|hwVo0(Y4I%0@6wx&MYW;#a6VG z8aYTY#u(N#3(5=Rhu-hGB3@DWi0W>}If|z7AG3#dB7$J_=wXrMohrvh$wim!1R{`+ zU7^6NC5&ge>5<7+D6rEO^MJYLVGZ;Yeouw2%6A7CeexD!BFRSQ0#}mx_8jEtmNj>B zA1ldxf9MqGn!w)iw^x#U$;u7t&iKhx@@bNGRvk@ zYYj>D_T~)>YhdVlrr#@FExv5ZvB`GmYSaoaP&oGIz~aidO%)R`EGtepu$ zGa7~R06U7PE?=?05)f#&KnKxLE?8g*$e6W);*R*C9hERdqf+A+9F@?Qyc3mR2uLL#I!FD;Bd~78^gxFcb-kjRS&RBhD|@#&3YIZ1 zSx5c54RQPu%L`*n6-`Ta%`*vQI?D!?hBofbQ9mYcW14}+@{+u%)~s&NX|2RINV-c; zRVA6Desq(eC6B)y{p#%<2W~B*e$*COdu1%Y1M)LprMq!4vPaO5ori1 zWvKr=T!#3h(iX?tMjp6zx=E$No0AHN{?k5k zx)VK3fE({b?@;=-32?1l&gPEQVRbS9H*E#8wVU0E-l6+WX3AmkhqSC`1Dd^&^8r)k zBon_|3yvlWCY1!V1MvnhpG4!fzdCy(=Z@pBbx*B@Ej_)0a&2P*h>Y} z{g(B5W1a(x@Qq~UjbL)Q`7K%j$-hSfKKENN0u=s$OhuRTtR(a8Iqoq~PtOy5?(Na@ z{Q=Iy$K(N5&`M@+8ROng#lcrf-M)|P*`fd^wB-ZxmuFb~E( zmi8|^n57c&3a&&{_2}VBH?5=>{jM~qEJ%Of-~8OK{hAb-iZj->MU<)|Kw*ZRicW%* zKw=XWRTu(Et6(L5cy4&FQd_SSq)MTpl$BmMgYWD1i` z`3`#2J>pCu=O!^*{kydwZEK>tkZ@Accq@BKK20?SE_r4qTO`r!)~+eWz$5_?v0nrZ zm-ebx9c*Z8H_C6cuh3{!N6$BCw82Ghp1icmIu-_rqS_{(PxnSva=#B>? z@QxMfkGLR4RKXxJpxWt-@O)^RI~T+V4E98D498BmwXz`n5f?ljWDYI`*M@tGL(?B| z!82BZO8`Oi>T7N{1nG|y!7XBK6(ruj{?+1-xFiPa?j&6db1$J>K1oTHyCmlSYI`a$ z=Qa`E4?by=i}_NEnql4r#2hcY zd{a*&KSaMQz;V~Z!R&!ujBgN(KWlaBdADfE4Bt&=_@Dc$U;QgDhVj*Y9yh+$-Gja) zZ^j%LB*|oe3!X|dCb-AjE-t>&E_mF6N}Tp;XyPP|uM0-!BoI^@6x&y@`!v2quryAh zK})035Tx;S!RVVjZY6~r{fKoRXtX%OTGRMuK^k9QF*+PiXasEp#rPIU45UWJRXV+l zY$8sw>I3bNix8vd{Ww=A>P6s&>Rvy7iccaLt?0e(h{zm8@WOJV}v zTbwOWSei<*)B@2g+*ItaG&+?0nD{o9$P4pxd=sDM$kfs>f1c&_0MxhP6s0o73b~L2 zE+lF$c-MQWdg+_9>?FLWoz!iQ`@^|?eiT7xl0ciW;In`3fckBF7jv0nbq4t%*5ng} z-ofU6=Q0KQ+B@ZlK($0z-t)7jTuF9x)`X;69nJs76A%wuE>o<~VTURTOCM*28OECP zA0qUAr-ukz?L!m|>iIs#{gO}WL`mm)`Pe)}+Ieo@-rUba^mc!0dQZ_q6lXTMIZSKH z3DhR2uiTurQ0rBoKH<^}pA3u((4Xo030XtBemiu&XA~h&d3bHp4iKnNfU+I=5;S8Y zno0-UOi=~UD5U+->7SiQQV&!pLQnzJ$LDt1ALomRsC2HAs-Qvdk2Wn35noQHLCdq* z!GBWS&@cj|=ZQ+~Dpq~*=Eav@ym{dr(o!Kmt^A~mRjxHUFAzGj{i2>?S1v?ZKdv@i z)j4-%SgtHMbZNPZ@qzKe>cHLpoxilJu^Q{H{AI8ByFw(%PyAaS+D~&K@5PxT?gK14 zjTcb4umwqiiR-o3*CI)_>|O`t1U`!>Lb3*fRD0c zcIQP0wI13^1?C&yCC2Y*He*{jTKvFTfs)!**TUgTRl%|7aWzY@!L``g)V; zp|C>p=m#plV+{0XQBb+>gUi!A<>?Gm)nEH}ci;Di0`#Y;pEl1AB}wfD$|+!?+w^_T z^MhW5I5`MX1&i9SWD)||VK)pfsfa?5s@k^DAMOm2 z(9~^Ko~qgf3*RF!<2}wrs_G(G_#Q!q+#WjT`GfBf5XQE|Q04hYs}@zBKlmO8$`%q$ z`)Q8WEkpqOKm@;4yJYY^9uWFA*HWTsmkbO9M!c%sv#)R;C7O20(PD`7qZP@*_t>k3 z+`dv5HK~d>_q^M`IOkhd>#D&W;@2aC3o5u_)|okKdyY)#cT??c3|?OGr6cWDJJJY` zCwS;^01O66jyk#+*1dGwU0qzO+Al^p5#L-6mm*lIPi#2MC{d$@D&-NXti%0h9F%G z7sR9)^1bb!JA&|3y_*oEi{XL?*iUg<$e61RK?u^ta6z;au;m?r&SqyWMhMcyD1zG# z6g46UCT%g@At)|}OLkkd(aTA8d!toOl8gpj@~{=UUM9l#R>(<`j0TG&P#d`Bl%zLC zCoDJEWi&yK#A(WKQc%C`vSKnMIC!E#vN^hvjcCS3iB%W-yjK< z0#sXmD#fR{(WssY#%9=uYYSGo+c_fZ6qvSN43e`jp77;MVp!YdQ)0U}b4Q?lu>S_8i15;;Bz8PO{Sa3RdG_nQ7kfV@Od}ng z968>XY24qdD7kDCL>-KoXZEQd#f;_^ zC711o#s~+a*8w|Uv!dj(O)%!AqX)h{N6BUTIhJwh>!WlGRITR%H!#Tb zqVi0#bc2F@9zE!dq~x;wG|RYjG-vXfS8Gb8!Os)J7R6-*hjO1-DBm8#-|%AY#UG1{ zIq4OMovcS!3^b{S?=TyRsSCF>_RL%~oR*`l5u8Q` zK0<3&vlR*||0y3~i_n>-{{$bQT`~IziJ_m~%Vi&-JMS=U7%O5#jnqc6sjPeiiKYrt5Ax?|_hTiQeFU4cK>EL}4Em`g^WA~l#sNB56QeYH9!mBR04`7hJ|ua> zDXiAa_vefbQsj$xp1b}afuz!TU+C=-(S=^o=1!_H@ZWyG;YAXohil1O@=(;>812$j zPa>CD!kh3Ci+L@Vrvt0NJre=Ci_%YAEHpZ|N$3xkB}EE?*@Hh9M1bojOKZ%thf7*x zzC8rqPPuR$`8O~WppVL{%TtcXqaX5wL`Ci_aH@4SirPQ|BqQO3|J z&voGB7k2I(5V(Gw8WYq~fm=$IG(4f7uzJr0e z0Adks#j`s>z)4s`WUMGIiwPpNphQMUBMPdfldj4d#-_y|h@z3ZjQg&IVXY9)xx<4nEs#tJR$@Ih2|LKlp!5s=%ys zGaBz%L_;jZ3eQ4&r@a6Zf#_m*UrxiTdT!ztExCgEx9A!)#J}<8&wt(P!|*E0h1U~| z^TG}922K*#0VT|{uM7?0f;Q|1ks~OEw4M-xG`ucYI1vwE1k!LY1R0CE-~lwYV#-03 zYf1eOq~UczO#4*}-7&@&GZ}(3ye@d;tYL|6_nuV`K^k5c?2cew(9jxlv72AbD8U86 zA)yrt{^g-7t|HbGisXs)7{trSDPaCzBguL~k?dp`HYLvhZ#0t36}Tj(1!)5;E|9d; zR!65>7^X)+&CR-OMso3~RvbsN;0}2k5M1G;Yk&+$uqXT2B z{kO~nw3kzI1w|4F8Cer|T(Te4(eo{W+s1|nUZqX%79lc@-wuzFh!td1Kw7EAAv+u% zVM)rDqhp+dbUGXW8X*!SA6-xYF4^JX)gEPZQD`13t(ou95!AtQbWC6nlu)cW=|)B6 zW!i;O_sE(DZibo&RKjtk)vP7;({7DJLze@7BV0K9C&RlAjyVkBp-;RP3C7`B5&w&L zy+LK@)PY=2kwjN2;IuFb6hN+lQE10x1)~7)AstYLSf>JzY)8eU*HDQj!K)f7WsE`` zACs{0kmut|U=%;NnLXT2X^_hk1soZz>ksuqY@s?-vLVeT5GX`NU5!|^^q~Q2~`IOx}^Wy;@yl?Td6f=C@K1b7flnmz8gsTMq+d zT?>8(6e(z1=rKUBDJ$;QHlZd2vu!*>_d%sWO>Pm!HRqUZBiv;Z+@aN}5zLDBuw^U? zwU0qQJ+qwkE=;Hnc*jq{?E3ohNKop(22eeYm*+_yBB}nS9O<$w$V| zgF^>=r9X8HUFc8QPW$70^C))W2CsnuO7}`Er zuzIaoTJp`e(**F|AN=g^{+icKu^Mgn8zeu6)5zjhl@u-Oxe!D=Vir3xuT{Po)cH~c zMWkqm@DLQ&t7M0XOrw1r$PVXxGp3V}IUk2c>zlU5#fnwFnOufk5hQ`VbIkeX;}-6M z3ht$z9Vy=?-;5v@;Scl^92IQ>h(lNTW)4Wek|HTnxrW==V z;QAxyO!6H{+ufsTrV2=ryd2Dr&(gqIH>&;@acWM@XVN6C=f_2bB)uTJ8>@n4;MB#j z?)eo)^00)!c-qOP(=AO;GLF9I;37)yt;sY=rbl_z$y7v2u6Ne>k|fi!yn?&Cm4}|g zD0=6a9_AIgAdqO`!wjT^CVSGF>1kfUnFPc{9=%!~k(~>f1d2I;iqi)I6{nAEhtI`Mh;#0QfYWtNCj?UfnbQayL06>! z#6ykKX)^+dvhnhy>n9O>x_+QM!c!YAFjD}P&ppM7g!9cVEIE;?6bPt^s_Q4)al(6m zB68~tD3tb93Iqbx{<59+7Y?X&{@rR{x$t`dT89fR+p&K`F{O`JaiR5Ul}&uRCqiw5 z)b0VSOS{z`?7p`7rZ?`Bj(hMBTSXWYP26;0ZiilCz! z9HO9nOMSUfG9WHp+EsJ|Zfx%uL!GviF1@z8fn67hruT~b8Fz*L`IUEtyDSti2gX} zy<5*DxiI*C#KTV>45-Jqn9fLF2a^h0uP8Y%oD9jvVF+3REnl9>A4hu(%TSO6NhZ@` zm857}i$lP7NysWCaUPZAq*J7>xB2YFcXy}AJ$Uoj;S`lz*qr2;ELdcm4bC@{8>9NNsRCgLRDrB) z$NqH2VoNWM$Ab^CiNe;sm2#^1v$jK7rTSZOrS_%E{)WHx`(OWap*fTmz#-d45`bBB zLRW&<7c@F8Q4BSQ3kKm%*X>&V6>5$m2y~7nyfLg@;n4P#LAG5GDn|J|!Fpp8e?yR( z!v%pI0w#xvzw@p~zQQM_2!i<)@rMZ7q@7rik46!MvO*95!4vUG^shsZPl*eHSrkQa zbJ|!$=k5L%28lki77k) zhpG@<;Xvjf%+zwdhyWaUjZ}=+_X()ZF=O~=d8Qqo`-URD+0>EE=Zu`o5R9p}=37kQV zI2A}2^|?ckhSvp;TgDAo@w{2vRH_hUj=}{`2n%4LS;O8+$G|00@H56XNGcybmkm*f z6=`^V#p7Y8JqU{2rQr=h8eSJfkAk9l0LK-YiY!RO>w<`FI8U32IKK5k%P@MJZgBnwZ=0tZwcHvdVu{ak&4l3rH*S7T@4G?7O@mykteDHF% z5neQ(C^4ET0pO<29U%8Gp8II2rl38ls(lYBOBXJA+d@qh&O4JQ*CZ?8>{o=B$c0?T zT!r(^s14w9uL9l*LMibyY{K=YFF0{J^ydf3iD*Q={VgkaWT=nx=8505O= zVyiy+#5jlbcvU{BETwzA-#OBD(-2?`Eb4!Fb&AK z$|g+o7RU^Yq$1_=go+f{+`XyDCEU2baKlv2gcWPe%o1+gPPp;q->~}7Z~aZBB&ys^ zZmNyR={e(hLAeNRYc1KV_%cp>w%w4v1%gN5b6_?yy)#DSz)6b z8f^Y3Lr@Uy2F;3+=kpa26JbU2xJ}UK?ZLFCg1a7xoaFiZ#~eE{+CzyUerXRac|H?_ zjYNMt1kXSkX-j<>+Y6 zl%|M^>aMyw;69Y(D*UL9=UGYS+k<(+9Av-xStz^DI))GpZ zKe%7*(IpdWYm!?~qFeNPSOa;wp7|CT3lIUx``+^=&2xU0Ai(|&NMh0iU7vU|Cj&k8 zHKI_&_-N3tDWR3_;RyE{-`)%R%$0d;{T|7uY2vcvJ6&5U*JGUg7^im9@yRC-;G3bN zT1a>q0=59*pAHCL1G(SyM&R1ZD-Pr~oGAx#b9`>SiG7K|yt)_N-i-;%yX0c$gOP5% zadO@*TAZW#x9A$aptt?LulPgX_R+;T8pext=puu)B3}?g`?~3$<~Oid8%1=3JywY5 zGP;Y_w+IHX4Ef1sMaD%gXoCt_1l{+5WwgCT5X^Uy$3PdO^d#m()ASa>4tZjlNeG&m zw6EZo(ek<=Xoom63WB@7Ae|!@JfJ5{axF+c*wlqsQJkY9xmoWeI{`SIRBH1{N*<#~ z;^2b%zyJoJO0Fd792H5-VUoNI7#YlSO-Ijnr;J@hj~`e7>)R;7sjtZlk0h?>4EH4S z{VBu|Iwm-;Iq7tSlVOerI_#1IkcQ}{f6X3;`5?Uk1jXIp5z0}fSOs-2%QwefM<3@n zOnu{r@V`T@Mf@A3#&PB?=B=B^k+fb3DF&);D``hdAiJhL4LOUaBTQ(!{g7n2X5s*c z2;^=vcKcO%bDcR5StQv`Sroq8X;XaXNUA*HKwWzguJs${f>!1&-`YIpoxknB`SM?X ze{jKTX2FBmNNoyo1X<$!{?HbOs1aNc{ANd}I0&BhhN-i!n!NMjd?Ifs1rdfob>zAf z^+8#2iwvymQOkB6?nC=ys=Twc385|mzEqm2{IjdP^9i*;$z>zyjZ4}{=DaiV@d}k@ zVqTGvahml&T`&uYW(RAlvDqfcsw}Vu!JGVaz%tuxzXY>>kq{apBOtX+T!r>L^9^E8 z7K}b?LK|4t{EH?vyQ6w zjjL<_8!9?J`FH*|z8U&NsZ>%Nfaf&nZ>`wne7R&?lBR3()hOv*gXim!ry-`ghRa6D z)*``AQ*n)IW+OLV&zQjnQ*lir_l9qGQtfhiT(yJQJ-z&3io?|fhE~vKh#y(Ld=hxJ zy(iZy{w15fWYb@G&%0L4B$Rew{Ro5NwX+9RD^$OKj60Cz-j8YWpDpEc$oDsEIv{`R*FXNFKM>#@MN)a2K!L6nFzi;x zvSdW6mf0IQL)HOv9=6_NR+r-ERtTaTh<1G);*k#9t7DCxnlhHbeSfl+15B!EqEPFrnl z@i|h=&Bv36BOQ<1$@(G(8v>G&kwNSktX+!#KDFIwiB z93H?n%Gdf_!ZxOF=TDxuXZM2k@~)#^?sRSpG;jH#80ahiw-5coX9V^}7iv{dhhhla zR}yJm0o>PUz~5TM-q;p8b?Dhs1(E-%(F0P;H$70uuo2@R-BT-!tb!0KXg5CaEe2hT zL)R+ECP#u4tas?FfkktOG5DClF*|Pg+Nyu zPR37iQmd&lia3BuLA6}O0J^Po0s7exg^%6KXK_ha0-14WnAu8cj^dgl6NesFZg}Sk zZ{`9YyeCi#LCN-u%2<)S7_>8*@9@n6KaMe#ehR`A2JUo=$XNflV(Y zsV}=C-pl25bPA}4u0*GV+Yp5!cO&AvXh`UJ1}f}s#B?`;$^)hnCSM(pAy7)l z#3z-$H86@h7XBCcttK2ldREAG&Q->le22mDrw zeRRIB#7L&x#^?J=Ebfw{^L?{o$EaWsXRw^L(V>3XqABxASyMXT2xY|oDkVHSLKD@c zTFZ*?S+U0FDTau&&eM-PP&MpzciXb=FrHHWFLdwFCrYMllEajtnpu?PSdOkI_y>>IerSM1MD$09zOY?Yaw&wmj0VyI|?>K4Hq77IflQ)2_SV zXm4bN{}^qKtneS`q6CzL9g2IxJ2G0r*!%tH7&1rbKP5ZNglHu5-9b#HEedG5IP})c zx95ni3(3)*v34!_WFCOp+J2H&J%nia*6p0ArKN2wa5mEeUniGgD7-SW@96zw4$9`N z94ABRPGio%wrfVP^P^AI2E+X9B`oS9^P%+HOc34fo+5y%J zgalCw_loe4Ci6Hx5gylo_awrPpCkNxm_>>CmD@dhDk1U@GIoj3{FQu2bjYy)HfBUb zwEF%IPgtMDL70ygzjZ*r-QkH_v^WU!Z_zdQjGuV^UBBT60-vEb!vlY}K`3Q%HVWaK z4!iL8QZu?PSjP2|kEDeQRu%}PD$;U@w5cG*Gg2};2#Kx*WQmRW%MJocqr}Q1wFnxp z_R!fu00)cCRwC6w3)0LE!pT#=2(5*@5@e{zFR$OWM%Fny2#G|1)0i3)O_g4*4{)Dq z_R-K+|C%Q=yvZ0E{=GH1+2)09OZyGQ-D*S~3TazcMAG@7{M;P4*)&7z26g}nxs*qr zh4QF9#S@ykXG~|aPhuUQ#0FGO_M`LB~ZFDQkKF>AAicvmX_S+ZpH4i$>4?e@8r;aa+b(g{m5O+I1CXGg#yAhU4T z8NaZ0Cu!r|gEyl{a#j2yA#g0Gm&g5oL!r5eB?=7)xIkzl_IZ+)-e*>6eeXMe_0Rn9 zN0nAeu*l)ncUYQkp@R~^5h8Vf$c~-UU5g-HG%76@1kVx2OLHPKstfY^+E<2*b-_co z(cX{ak;8B3tb`zyRuM#Bq$mUsXd6we3r;Eobwbu^_xUcDcV5-RNbU6rltES&+ zj-J~nUv$E3omQFLhgA9LMUnzidXE}Sf%mRzy3=BQC11Vw4Y59wP7~~Md^FOF*6N*W zne~q~_7-ZVYV4Tcs-xkGlaWi~Fa1MFnOt8;wI^}HS9oGqWZv>auE?i+-miZ9-+OI& ztF=Jk@sz_gf<4A)@pG+?x6TDCC{2xmFtDlB^eTi5t#@9LZ?&(8xXajMfX`$Ek_DwG zV=EqZV2Y&X0T<{Puj03SRoK*~>tJe4d)dwBKGv6rVd< zxcZ4x;JIHVg$~2RfE!#to3kyByP>oL`Z==F#R$m<`F0pItUG4>kNwM7`(R^m(lM@e zQ}xV%26Dq@|KOeFpr(m~>6x7}(wVyoPxdEAD9 znkMON87hjt$>O-;%e;w8OE2x!10DMK|M#Q5>Z_u}sJ-fL@vL*5UMUk_P-~#kWW_1- zQ}F7!U~q?Ez_bE=>?`wQU9e1yIczsFemt*8jp~AiW8|TC#zht@QlYva_%2A6%7$>C zejS3;r!I(LHt5&lkJ)i%bp2y!@m%mwSUF%B)jY+D)TS+PEP?j)j*{YIff={)&Xlr^_( zs+Xf9a%tDh_XpWp8zsJhZEhv28?^5@R|mn+b}cbZ{PtV152{K>ViOe@vRI4U_Xl^HcZ=G{ae+JC z4&3R%Pe1&xzbAYV9rjdmY65o!egYww_#(Jh65!=oYP5DXBMOy7bY#-bBWn+46-kxp z8lF@06+x3CR5IYyG758B1A?@5zGAmIBTVIrd%$#?6=~{R5R)VrFv3w>Z!!3-J~Vw1 z7c^irY~pSw%{KYR&=+w*R7V-l!!h0LY%-O7g>$4YQUo{145;8nIz;k{;)}Q>>Zpt> zagrSd{WT{kzKBa6QH2m+1pHtU`d4exPPimEY{-|(A$wbd_Vo{!=-Va1K?@21Ir`cl zRQ45qkVM}uX;TQfW@lCDB$@Be3B9Z0UEzBxt0c*MgAnEF=as~-_17~PKeSGODgM*gu1gSk}WQmD|im15n?qF>v1 zPogVuk4L_`IbKm;);;ECYSpz#o`b-sQuL=;h=~4LCLH3d?$uQh8nSf4h|jC^GW?0! z)#7$?l>`@-_J^xh`F4oM>;1W%_9tc`ZAv1|!(A3;5R6|R!P-;5crS^F<@paJB9`Yr zkT_cA5V71k7Hi%uTD+IL!D4;o2fA;3OVkdu2vNKjE&HX4AX487U$SZi%$|5JCKwgL zHS()!NlWRFp_T3STvP;iEDeguErMbl{m`W%xZN0X$`3thpH%(7nks^h1NOfU9xfHZ z0%U&0R0NmU`2J#JQx+2rz+zqQ#=3m+H+}A}r}@Qd>Wg);NER7w&ci}DxkmxG3BRh? zc!N5sM6y89wy~dB7mtn6zk(GRM3bYS4(M|1g+>>2tuUf0(}p8X%AwbBcP1u=0oBI1 z-9j)&UPr{Qh-5_&>Gk^1Iq(8!Hm4&(5S=lKeTx-yY>YD`Y#Bi`eqAeA#m2`Ca{4Ms zVyXJrRcw6NA)76~8mAY)2e~Fc1UC zBCLXu8&8?H@HydCOZy|ttE!m2IFx}`93KgGzy)J}#I;vt;3a($%jxAQVI4Lxo@@#0 zUc7lEyqk!Dg*Q~x;!n*f9fAhWgz>?_27 zG`ud@fo2F9N=`&>2UcoQ+67N1nMWbhTn{z!yXIgA?pKEhvkkaCG!3t>cm$iE7(bYP zDgNf}9va>v2o@kob7RnPk1(Bvw+Ny|SCY~l=$h0>+E+~WGF|18IJ7bqa#EIhFfKW1 zPHDfycB%P$gaH%w$*5I*OX&xDw$H!z3i0Dd{`9u;EWYk)uK5$74(_m9!a`m_c% z3^P|s7$H|{{+Cyk#>Xz*3g9_TKgGkuIz#i+34E?dmOiX4rk1pl=}BIJnpayBP?+d< zHm65105(C@XqtlCY$peZ8aJyhnfr@J%W-hCBEU^8EeQ&3OHN=9l^|HR zv;&-|st04spd|HR$aZ*5+J~I>huc&+kok+6gUz3{$bPaA=I{wp5 zSpzbTgihu9?Ep{1QyDGkifs#@cz0a!rHLI-4XD825U8%7Y{&24;e0dhWOrEYs@etw z>ixML`|knW%S6z-(`t|J=S1-uTUY*|DoYk`&d-%ToQ~rrR{e_8vOs&ydiQ;VCY0pt!0zdQ?W{qYAzK;wH z%PZ2V_=*S2DAPPfhl7j#i60|gQjr8DHI_ui17Jt>r(AND{ZYjq2?yO){(1d8tIVvT z#SmRGL*cf>CpS_4H@=Ba{+<7gZ-zcmD!D{vbMVSZHfD{4!_tO)UBW^f!%&^tJW!Z*B!Q;dC38ufa}AK1khT|tP5hy3XLL=@Cv_P0_4d#-vn~j8%_)`y%!56;S&?Se z1ub;siW~}FA6m?;OM>N?UAgF|vN?G9Bx|(3g^L^SDK0ns_XGEmnl& zQ<-kD#gEezO*PI_B?GafZeabd?&|1w+cJEY9%l#@cF8FFs{A`76aPkuMA_x0+yBCZ zqjfb$PfX!g(O<6vzk$iK=um|#z|q42i*P}0Y;R*z*{bf7tFIcq*w+KbM z3Ux1YYhr)K%T;_Qtxf6tn^q?&62$4~<#7{^mi_dS!)D{^Vw{nfa1K>Ns0|OZ#4lA0 zaVa_h5r{qM6Bgo$n|tRi-x?ssC?9cf@Xb=Ig^VN9&pOgiAdD; z&XG@kIW$5uq|>13)@vENd6_I}w-zm{sk-{mbtV_{ZbY)r!!oJpRLL0JNH>Ot1?xA# zPdK7!RPx5f}F(?rC3IXDzeJxOq5mUL@C!RF?%uYN{P)|en^SE z?o`Ev zm+4z4l~_?2)dx{T$nB5mE|r?)OvsC9!`eX?@pO?cXKS^5$2x4qLJ*_m@q1 zGCQ#J8{SU8;kW+E_y5p;@-c<&XV{jWcAY{yKx@*QNS|%#CFis9mRlT zTZ-}-u!l6`NNKe7{cKC&7-+o&6bZ&u5JorK(!zy~=}>}GxfBqL!cVGz00+E`KgnDN-|slU{m8w>rU$)W342t?dKtm9RamSm|P?;qgp0yzFPH1kE_syC4Pwj z{9CvFtnvH5?P`%ivi^wkFzG>lxmxa6T@-k13SR8M}WJjUsadUExO`LFUYR z(STRUFPdO!;zh%zy0Dm=|v-|#)l9Dz{Yp-7Y#e%Rq~g^zP$*y zaXJ4RFHC&$@BD9kGxUj4NSKZRY#O`@n3d4|neY|>q*Mt^pb|7yW#RZ1fR8HUsIY~| zn96pf7zqfe02)9^R4G~r)Cc5t+W$mAN@P5VXsG}?K#bJ;bNl~i?_GdyOVje8i4sHz zDMEpAsZgiFm{g@}>%OghG0}4qcp-^b}@7&VHLm;fOp5RfE- zK#CBe_!d+W-0gzfbopsGhUe zzu)z(wf0);y*}^rru~ImD(w%zOO^3G15lCP+E}i|s{r(I25+(@3?v;N02RDn3E)Ck zAZ2W~+)fAFEw&k;vjvKz0_be{vgQ4`o%TO0wi%$a?H1eW`nOHSTej2wIA2Ls+Wp@G zpi=>ywgOp*`!CyRe?CvKsgIXuobM|-r)=We4xNmWaqa-HSuMI%*?i;EUDAK|?qjP6 zBR$Pc7v`os$7SVCU_icErLGHR=2Zi(`Fr<&>u-F=xvC$kNoc3RyW4@!u&sa5Pc0QF z+FKDs<&ExVRG<`s?1HGgk!(_HbZs4xZ>$)L|`k|T%nz+k<3-UJ;nsd(OGG2uSfjUa`ZJ=9`(0mYA&xi%_zD_ zq-_wJQ9h_6IGiq5+f__nv5FTr6X`)N2FyZ9TWQk~HJO#I#@iQY2iO6yQk z!o3F9wl@f+uuAJmFHq9DvK?x!#j(P}yeNsSaG9_g@Fp*+^RaZ7wLUz@izqL|ACJq? zpU1T)>V)ZSN1YJAZp`wz4`Gb>Wvr!RyDCv8SA4wkn4+bpj%!R^ z;fmdoOt(}zb}+;%C(;5Scx(hytVDJKc6rhhT#FjZSKXuq0N7B;rSTWUvFo(JST(as z3!t^;bUCw03yjq>tJ`w}^-R^WecYug%>>>MU8jF_Ra}QBSyLzBS3`kQFdL!jpeo1P zIH3!ni_;FkCBVfDk6J?Sq?=_slo@ql#>g`{e-Q@bahVUHE~}OSKqIS&=uFFkDC4*s z?Q(V9Y-86h*S_|S-In&9w)~Lx{axSijlb=Gzd0I}Zc9`c``4G&spF(4>g?JUR3KQi z#h2TxWLy+D&IV~zkTTI()@Z6iN`xiT5Ty3?6-OCdsC`{#IPit(IU&> z4^~mTWQc3|9OmL3t$MqOGpnL@^{66hZeQvarzSchpKlYjLu*#Y$NA={9ZKRN|5Yq< z(lJ%^d>=m+H16d(#-7_tbA3)TGX=;G{r25cfAAcbXIJKw!9ul3 z`dolSxlmIad(fA(_?PU;vUAD6JZK;i6BaIajx>&-YLV;;3K|0E&R%?uG%&{uMiT)9 z>Ttcb_8e(+;0IMi;_le1rshZky;926f>~+3w)QI0Sn-H*Xw3lSbF3nbf)Ga~w^wVa zA`Sd1DBCc4!Eax87oSbS6gyZxwAPhhs5P@(OesRp$5(0OT^d`fe>VreEJ02eUCZIx zvYY+s`BIzU4&{WJmHj*axB0r+q*Us&8Zf;xvCCxvh6JR^`0`aPZK6oNq<35ztKgXg zNE^XPZZfSh-6J6FY5sW+q&=JFyEDzxZqaO-Z>Q7hZ~Y&??kB$_hLWnEcmwPSFfJer9 zg>4PP+4_or{AeN$)0=ukqB#u3`L)Vu_8^guh^A%_3{~n#aQu!nzMeK z8sA-FNx4`4uQ714nZM`f>&obvPmWsgHY3kl*cli`R;enWR4eRz#{HWpM~p&0gG0VxVqiSgy_OPV9IfccZ^CLs2aCPyty*L zmpf_yhD@nVVv4Qs$^-(J^u{A_!LPedfeSim_t((P)4bwd;4)P=Pg{OSH-FMI|K10D z!ns^dRE^=Z)rp0+yA&jST3+V3n~}yWP`YUy8hz!hVAjon5l5?6d+2nhh^f{N^`Ta; zteZhEpg@@;iY)DlIhV6v0?wl;npLj@Hs^9MSBE?UtQxP(pSBx9%rHSZw)qqgM>=wk zk~QM}Y=%blP)3f{0PSYry}EF9BYooznr3T9e!fzC48nbY_8QrdN~6#uQl?gJ5B7TN~b0#`Lgs2o}e-HJ4o#MuJcR zqXya^Xc&Tpp|8C@@DmU$0A71XuWpY+unwp<)!ZDDjlNp*+YNM>Ffx}Q4@~n4jh;ex z!N9aB*{EubMp`^?_xmQliq8@JqUCHEju9&q0MzaH*9BRcb#Uf z7v{|MnYljm@P+5ZZ+mUi@_)ep_Zi>%&EX*c8iBfsdkDJ4Xc3@lGT~wJi+~6Y=#HV_ z67eKG1Q*0;Q4Y=_Omr^=|13xoOsJTel``-P*wEGyfitf5opf?$Jf^vQzbPV?Fpwr41DfXtCAXl_JnWOCVi@;MxZ^9t6m+WN^0y- z)fQan5ip)M?NcQ+fLcXa0!{-m6*h`K(m7T27Q7$IKY~Fva4qXg&pat8mZ3XOt0M;x zJZH(t?u8oUNPi@E!znWC-wEv>YVI$Er0QOG(jg2BDPe)9KKx&#Kw997co@l}kOqrv zV4F!b$f4YAhwtNhB!bLI9tAv-szXJpwfTbi#wU-0?{(S~|0C6U(`zp|54606M}Z$Z zZ4%@I&Vh@Gh3y5p)WMjLauHb0wB=jlNLbEyf6+hu=|6B*vCj>|bHgyQ2TVR(TV1RA z4j`ncZ-!4vk6#)PmiY1!QqpCEEJm^D)tzOlO=V{?hdEn)S@1au%dv*xCn8&Y`2?1K9`^R)5h}{~&6IsHY_?~&ioP~mOh^g9K z5p;F!ia80j-yJ(iLLEVp&4c2`)UJW6BQz zYJ;I4;>s>N$>?RlDoeOub4Ivi^mKMsl~a?RQqTQuK!l?iy-u2_D@j4|nxwH}FiW@* z=e&~4Swb*XB6TY+H!wlX-KnyK9m>IydBnWOUT#(q)1J{g$Ve{ynt&#$`SM@$tPXF~ za>W5T!Piz*?+syRW;_mKD2u0UA0jU&n!WiS6?*I}-t2ROXyEvnTTC1yfTicg zeQtjoS!cWnC)?J$)XAO;k9SvioOX-aKxNM2-Aop5_dopN&wf$_dwMf^g-6T{(0bxt z5wF>1W}{VnkK~I+Ht} zV|FQwP8PO$g*qH8aJJQzOR?%SFW9X?r_u;kE(OwTz)91kfbT`4o|mi&kLWyC#5B;$ z;&WA!l}oV}U=#~_q)e>+J;~zP8wNsRum$Tz!4(omkS}u!>7BQ$$eMKk%(rQRkLJx4sN4E$9I--L6qiEiYM@{)r z1bduBFZ2>Xra}Q_!CV#@tzqP{$ao2Z)n7l!@e&4OG?yGHVVqTdR0^Rr&|abHte!Aj zg!sY#>+3!HOP|X^zwkU&9PY~dE;#?DTKCbeN2?*bxsdkVj@iN7 zgB;mXQCs7Q%$w=hn#{7Fx%zz(`hbR&jXxOJ9BF1{R30} zmT!O0SH3T(XO%(RBM~R)k2wn)Md9S}3ADT}*d4{o2WlDV6DB#bAT6&8?#e(0a8awV zvaevGX?a~RCKIeM}Z~L6Z^UuEXR63nK-}k15Nz-mo8z#-Q zj8i{8`}U{YqScbAw=e&`@Bi&7)YO>O4PMCu1+6TI;b0?jwkoIIMj1wO>TQ%^q)xr< zIIfaBtSFB(hptj@Xkk`pED9roY3#oS{ z%PT1%$Ms%2)SQ<6nl0}uXnCjIqS^9JzeSf=-fwy82S4yTgI*SD9>_wcm({Hm?8JVD z;x~qu91rOHpyjo%5Ex35S9Ze`#1*JtDTuj8W+y{X-e?!}Z08O{C)(l@f|BGlLGXZZ z1T$7#qBo~Gv?O^=5cZZR0&q}oI0UdhM@jOU;1LY+f;VL1sy(zMd3{BgXdwaHAC|^W zALl4ZUK0egf+JhNL%ZKd9Y6m(n&frK-Acy^?)OA+=94^YPc@vhju|i{(yXfW3>das z*ZA&9<)b|r!nUxznJerG;R>^7|I#3KY5+EE`PK|Dc=)o-=WPFe)TuO*^WeerMu0yP zWy~blQi6xb8>tF&L3AE*vlpO8CO18fkwV9;Q>^;rpb4zN^e?y>S69AC+LcFAU2tSP_Nmk zq;rw%?uulll+IYh)@02&!<)$&e$T)DOK&(&%~&|-x1ci=huh*!i(EXp2-|EN^cW_g zo_Pr7oMGXh$3R&z`#B4lbB4h|k0})`MPbev1_wQ6jB7v=ht4^}!aW-(Y} zU^|bF3qyQaCv?T^`_Xq8zOLtq5Ub6WRxAc^CBPhsHF103@Z~ipI|yp}gPTCNBgzs7 zqGUDIq+i$YV1a4}eyed&!iaD4=3KvnKbP#M2S25oj zD^|hW5#$^q37*(~h*kx27=I%Q_=vvK_PXm}PNze2SUv1xbKzAm7od`XFt!Tjj)iwG-?5W6l=zV*tLo6119@T9rG4CrD$*SN*Kt=#1LE64&O1c}Q$1q);c#hJ`4QdY2-^)IBIT4!nn(=Ti_U4f|*2J?v43>_LU{&To8T!92pDW90e~;=7b>ixC;UR zPnrW1|5xztL{Wqw^@Iz;*=HlYpag6TN(fSqyC4ct;O>Esx;tzvHx+`^<3$jV2b`k_ z+A#MJ%KJSa0F|i=!qp{If;fN;@)PxnRHj7`6w(4$=(fk+ilR(i z5*#dwb>`YVxIzXtAb&w31_4X-nw2VG11Vti=-`i`1Dh|r1~zc?g2ubgABzdUdA6fPxLp5pONkrCS<|3m52?lh~^`^YO`nr)&}#()UMU=q%5 zmiL~9Ks}&=+X-jQ7dmb7wJif0uB}q-ow`(|&ZUXFD@{zhMXgzy!3#G7Uigro>%Q+S z>>5~VWKyJ%utw;R^Un?JdSkO1_9*wOcOS`bdH*e+zQY6~aqf}Uw|ajFQWMw~6sw>h zVd9P(K^SI8v*-w05mdE|lOWh_!6j6mV=hf#V&higBn)BhCH-mI%b{VYagMAG^aXQi z0zoq?H%R&KKpD~;x-Ly%LWM+q`#mOfR+5v9tJ=W;1Qc7OU-Yv=*{b!;YKnDkl0YpV zl%KZI^o`MCh}3G{c*m;jg$+&`6SngOPIe#>{it^4F(^SamF zd*jnl-1AhAzs#6ThZF`?*b4twy?KoaL$8Ikn0U%QG*eb#nAXPZh$tM(j)Y)VVbFEj zm9bkdN2{wCCC69-D}v@svrMsKR$=Iygr1?oK^m!5I9Y{3aWfMS!7X9cwNk897`Pd< z47=rQw_31PVOvi#9YLzlO2!RXJ%xaXHDD%%kh^Lnb9EIEYVwU!(tA+-!l$dnM-R}8 zB0BET1J9e|Z;4?ITdpA=_%IjWp#&li9(mw_Wwsu1JSfDISR`bxATUMS-IPq|&vRN6 zX}46BUXVsgpa8)530OXxQ7LuU55B;ZB`tMe!lASsRFdhrUcl@bY5-kF?Zc%i)>Os; zZ~%Q(VD;+yHO@0V*$W*{g9moEM7_U#X40d*U?CoB@*UV)p~asWteKwe1zHba=P9{c zH`ViJ-mI$F&J*zl-+fB*m95_bRk|jr>0NnZebROu9UZ8}i&y}rNfLHG+i;HBHLH8H z!VfA(U$JVKk3Rh^xGHbKRe1~WxAYcZ%M+XRTYw$>$>v8NQ5bIl_Kd%lw}5|rh2Mg= zopv62a|>noaJHr5LBb+V_I&vz_Xi92bZ(2 zmXp^vevU297d6lF`)#_#wsL+TP@TVQ#{swN#Ws6;o8w};&Ig1*y+60p{@cZtM8@ts zZC8tJb^Y7s`ei%q-z_%z{%<#nZ8hj}D>^V;Ft=m>4WFmj)FD#E`P#^@RW|YM)<x$|J)6np;&~TA z=QcSfR~CHvozGsq^zh|}FJ3*6BjJ|I1ZKkK0|dd&FpeA+C*w0hOX2Rs6+AY3mC7ExJW}{`zrFe3cWWGOzrT|43 z;G3GGS2qXH@WBSqK19_h?Y(yFtB9wAu|N#z%MY-9zR9JBOO zNA{P#%Nhap3qiM?z zY2SbU|NW^?{=@(s=|Zjc4J1A?i<*u0-%Hc_wgpBc8F2(%xx;ivxKCLHX6*}Asjx%L zz%;05teCYgd{q`4kz0W3Xmurhyg2ll9YIXus4toiowYBrkqV|SA@UQ$&_K9>N z5|2rPPAx`OO3ya(q$WqH>%n%`f8?j&|ArDB`b4RO^chfkb9wSfC{Nmhe5oEgnW%|0 z&FQR920ft5)xOo&W+w2XZF zz13ip=#k3V1;J3D7^V)HAF8wH3aF_gcdHH3Jcx?OY0DTU3sO1zifm^C<_Ch?BXCr; zAeFNV9&l(WBmli(H4OU-brvdT7wpjcA=Lo@K7=76A6hC7Me?;l9Z7vBx~_asE%(|< zHJ!$F%&DfE?60s?lfPFtm0Pm)E>*X4Og494ynoDB`*-f&|IMElF_{L)9+P=$4*ZR$ zxk0KL#uzt9&T)>GqH>ONl*uFKI7f**a*nebEkI|~) zEVz%5HXQa@K%WKpTxDo=xzX@UMTV>YJfr;IP?(m#=jS1r_!}isZnpInP>`B~vKtJ_ z?lbIqP1Ts*@J-+OvHx+*w>*&(+ko~?VOf~JA(4uu%T5Em)>07)h)z9On(w`!e)h+W zv}I($Iwyv~SaRrY+rw|A02x^(K-VQPg@CV3Oy!&ykou&$1uzQmEnZ9pG3A^XXF5yR zg#?zg$z{Qu6N~BB=n`yGh857OjK4;&iS)_k%dUW4G5;D>DQ#DepS%Kkbzsr6jNC@Z z{_<&R3xsFn_Lz^L8M#iF1}n)bC+0I@z=A9z(JIO6{*(#W00UA}SCaV#p)jjOKoAH^ zV?!mG@6Z8UEAnt4fX3LDX3czy%;*w4ww1Xs)$du|BT!*U2@<$yA(08m%c^^7JQBh| z6@CL9Q>mM?DxvY?lOAIT@DMWbNu?h<{pV$uK9)?eV*xu~3sfv)$t05-uyK^{(I207 z0D7vznM?6MH7Uw=0+x#|PWub)KOayYs&qhZr~TVL2jB-T>T|&PW}N*RjR9CcniSEv zP~r4tI~|`n@U$sEj|1c1__TIO=&K80{E9Q&oScdy-jG?p9P3~@()q9b^V$m%01}5euL4So3N`sF6{?Q`n6VQ;-!Rs zQ&+_G+kI?8p=C{#4K{80wn9&=?ERnosuw3ripK%O1v@wU%0ac9RHa~d&rPZZ z^GyD355hfJaiG#c4d#NF6t5#5z)K<6s$3kP26Mq3{AZ{UD9;U8r=T3NAT^i^?w8wx zy4*X3o@GI5Fc<8=9*T36k_eb5@`{(M8&Mqc>JG;9S*4uOHqF;*VRNeVC_qhxn!71Q@obV{38i&_twVN`AoLEnPcrR5n zbLxIh-M@dN?tkYG{?zaPpU%OPM`twUYIX7IILog`OX2FIa4W4ZQ z@H{e6i3ZOF(J8H{V+?CD2Rl}z!E?dUc}g^RE{JnHJx(d7?!l%@UI;*_x4Yhu`YLsg ztcl_ej@`PSJg3U@gQJO1djvtmYg|=vIaTT&5H>3u><b82NlX?qB}CF+r`F-q zE`U6#*-ZnPpaUZ=m`R_Cn*jpV1=n?xsE%k! z$iA{voC_X1j%Y$lKP$>DZjP_J3!>MEHj{W^j>O18;xliq0$DWPt03n>H-b5kMSQCK zWXC-qbmCIPIp#n%_?S^+(s3Ojm;>41V`f<19GZ6BF9x#_jgNWD*8cip#I6^~Ldd*5 zEZg5lNhnjqiSRx?BptM3T7u?_kr?z<#cmfRz zfmV`|+a-QPt{k&SNSJ1tgOr3eDSt6_g^T%3*A$vgD@WE;NmE)A7wT9e>j^pZk_~1;AbX6n8H?Y(Rhk6r=>n>(R+UfCJIk zAV@}p*+w@xn(hu@z7RoX;HyBSj;8mU{Z@*&Akq*hNRGjBum)oPy|@?f{d(b2j;0Uj zz106N7S+zPVzu{$2JQG2!E~wLG7&$L1BBj{o6te;zZB@<+c(heHE8x*q<*a~5Ta{}C zyaFgl6dTM%tNd#P91Yco+Zyd(DPUkZ0gzGieWeWPCdEBjnjaA;%|*G59R%~MG@8Zt)^l$!wjlF$@urso@LV&I6aIhqSzfE$fhgj_JvGOfFm zbHNMX?MVL%NulD+j~HetunzCP(t#5kT zAZcPv6lhHBooCWNiyc74$}X_yl$Pc^^F6}o5%U0-D>x-ta{5by83>-auBR@j|ImMF zu*1MhbIE`OTY2E6!7BdJV2(qZw&tI1@TD0Wz0jterQ;yysrj^~v@=lt_2>l59;hI< z+7u8-IN1(cj$AF%dWHJ`;?W79{?9EL9Gy_LWMGy-eZt&M$Ctc#IzE!c)!ZqW4UjLG zDj@ckG<y`Ct#dFl_$UL(FvGlkn{8$olsrB&M1icC);ts zm|1{P5$S?4I-;sm=um^SKNVx_j~^^=q9X-AkLUUC>#yaTHeA6~Cx08qN*u)fz zvWca(ncA3(b4Q~i{KBnYc=vj7W%KC!x#p-CebM5#&ZC_|+ts&p4bdoQ9St~h||r0yz$=(QCe zAMCU;o;g>0s9B028n#Rji^E&Vg1IJj*h+zoe79@q9L|DuO$sf>a6KW3G*o-pRZR-# z#^{fdN8z-q*331j!=Yn9$^Jl)@HMAd)ud1nL*uNhiJVm{nQspu7>sVnx63?Jed*$M z`;UYH)&z^99@njG6sUc5@2pFwvB(gooql75C?BEaW8`wMp`MvXKQSk3U`m#X_TwHp zaCu37R#`7ZNgQK;@(AhLSOYIf*}u4xWkkYUlA23WRL>xToq2F=)YLm4UVYZvo_+i0 z#Jnl}fhsqz#!QU;oi(y$b;C4Dm9~D-T%b zM)H>j%!6&MXJx+7USWc5w?XY)1l`k00fgDgX72*VSP3l-;OfwRa5r<$yg7Rp$Murq zRbdYg0IoKcGkX^}MJ3Y!DzgVV$=<~%n||e8jMC{>-o+@Ne$}{9(m+Fm`i*x14h``x zfN^Ypq6+Z>6eI~$;M-vQFLS5yt55bB)Eq}yO1A^PC^nm>JdAglxJl}zu=3e?NWzz* zT3Q!EmTLZIicIW6>6vk<$}-4s@JLz^omnM~&hU7Q)}xFD*I(=VAjL%kO-W0TkLfxz zxVGLI1JtWl&9Idjw(|bb-1*0U)8gO#(cojz_r?4%sPB0nvaJI}f`=K#To0Gp#F2W>2h2+~;C<0|4p;&a3qO1xNg4sui=1yEp zi%iWvLNs@x!K4+;KEe(y75I5zQGp?CA-aXmjwsykuj}c2U%lhB`{IB}u2RGKhUJBR;jNEJA z_N?PV84lp|vyQv@2KLZMfDg6`yV7w*Awe;4Q3^3%T|K=A6@w$VgPvfTHFKjvs6GLGOcX{}hcw4;-Wv!yK!;LtlV(>-!u zbu@vngT&|!UnaY@X6lhDx3Dqo3o|u_B%vGEb1ZS*t!jsrCk%!NI~>p zw=q;5O_UxxL=(N*W2TDPqmFAiKhP^TXIW(&kr&-dgo2D?FFL9+j_8$EN=>wM8$8cr z8Nl=M?LkyT@*8Ajmx>k5zj{^A+`*%gqVEy7`ub-->pQ5+;NY~#O^P|zFDH~V8{@6} zT^b?jUU!0*_X6fz(3F%Ro~+_gpo1A4?g>SvgSG-xCEEe25`cW#Q!DAVx2pW*~9I2~aFuIqQn#RiKD0kZk z)DJkeD6GQ~=-=kh)YWCh4SKPu*-%Tdb^`l~5M|O8h9uZdR0noanaanO>QIqflSxD} zUZ6q7!7KiB2JS@?eOK}e0Wc!H%avrlJD8np4NJ?7FrQVD`Su`tMy(EAeMEfQT)OCL zKRTMjblyFHFTF=Ya{Vp|0U}@UEA6({OJQG~N2x^678+gDYZDZz%H2ExfuWuW5GwYe zB`n)}@VU>yXV{%4R2)$34YwFz>#I>3P2_iKGB6ZVDzvPmgA!U-wnGzAkyZrgAOnMY z5(MbVGm#W4pQyAy(83ul%fitmiWz8OFncD&4o0vFEqjL5LwW_`bd+T@;IRs5bpm#5 z;nyt5ux67{YKF&7P}7ndv*-QN+}IpB-d*H4?G`Z=Ib&6p_oj^1Ub&dVx(DGzt0i-^ z&%XFC|EURML7j|jgYnH`oE+A!-iU2MXO`~0Wv|bg%E<*285ujXb5Bg&K3!;B5R~>P ztmuvSis&H*-wE6n6s&sfZuCZ6FgV7*zODX^eFfiv-bfMLJI5GC5@-o51nG?w!9p>% z*?^{{z8LXFTrvo`R)CnclBv1rsUYNnTheO*qc`Gf?h7H;4yw9clir9+CPFU6x|QVQ zC@)2IktJ4(Ytz5Na~P_IsVIP|VR+>e_sah@U#G*i?M7@il2xyUk*j%CejZvm{zi#D z{3PR5fySuvr-ENiMp<33Fv>7ppdbw^iV%I=Z4f&dW|I`4*$Oitx+GystDk$8;I>>u zDGDc}qEw|_532q|Ls3cYW&jMQK*2a61H^miVQ(*nX5R%5D;!b;;li{l((D((BYK%t(6Oxcl_w!w62A&! zdd@5YlUjRhNkSCK6*{F&lJgX*pIj2gMRK>nWpGV!jJLnJB#d3M+aRmU^F*^Y$TRbr zXU#s%p&HkI8lbZJx?y<$-N=+E_7}I*xn3+^T@AFZgi(%9Q;hi(89it{nrI}YJKOvl zwE3KwdM##gd!T2l{^VNqX}4&$>bGOnzv91o{WtuhuR!3=3a~XJ? z7Byi45hjk&qUO8vIJBrkR$Xjtd|@TqY2Bx?ubTLw29hw}@kymEmbZ<&p941A^_#cr zn!@ky4Rn^k>PLcKoB-dPn$Ne_d3FJwTo+*4Et*|`+i?N@*#GnTuSv`nnq_fxf#qDl zT5u#LO4#^%qbjS6F+yOl&D|&)-=4IfSaD$PX3pm`OxJ=q2pqJf)J`AKbJY9J;5rUzXJz`vGJ`jg{59n0(<|-yVZ((v0QWC zjOYYnA?Neq@L=EzaR|ug*I9Z<=DRaGA5@TF<>;pegksHRs5QJ$n~Vu6Hr*7R}zp?RXcvcR%-G z9}zh7PFmNzY@k~xP3lB400=RPL=o5am6Z)#5c51R9tFO@e!aGodUAQ2!1zHV2A;QLw#ESGTT(DCQ2v+W>Ajf=YdKWGT zB%-mAc3W$~jTHsEC_ff{p7j!)w=6_}L%zKj#V(p8u!EQiLrHKZYb7Fp5$+)#6zp!0AZOO;b?|TAS_0MrKpZ&&}7esmH#P+P|4+ zc*nv~m23Yfg=at>{2>g`%(Z`c7*p-PfegZZo5zuQ?f*U;Pap{{H`DekUuvc&cmcE< z{;Hf=zBMrfQ~l!d3;*VSo}k^s>Jgw^QD!?}d>Dqr8)(pOd#U%R1*+CVa|VGuS+G`* zOOAjT0N1~Bc^QGqy>zaXf<JjLy zqjbXT(X`)Qbykl^s#={E(<|CTSLzYmh^#t8J)&tyYo#aDBM8pH{Ks195p6}yal~y#hM}s>ZAI?CHN|mm{ewxD{B`@N6)wCxHek@qa+Lo`93PN+wM559Jma5Ma!KH z4ohq18w6r*<5+pa!*cFl;9XtrI^7+fV9)=G);MsI z*8+FzYjZ|X&m=?BV*zk5D!_OYLIkPXwWTu=;mr^cKJ^I?{)=};Jy>JsHW?fvuVA8S z9CKPUryFDB)fR}NMvw-tWCq7lw>jAeI26McWMnrR;X}@cu9CrJh!zfA&mC!!!DWcn zmg(dAVyKh+9MKYm0n^N0t`6dl zsmWlBJ*|K8vo^T|NNQuJ%(CoTCuH_o?eW76)&#&7 z-?SKC{94yy9h#Pin~m=)Xnd#LqBfA7jqlAE-yi=MKjybT9l26P#(A#PvAF@UM*6S? z8`Jm}!2+>9ZY(ghuaH#b8(jno#Cp5wA&6;wT`(ZlVEotgLaa#R>w*EX-mPVpVg_w9 z)O5jsSZ|KTpC2pI__|;~tYP}))&tzp{KR}9vS-C8n-c|}RB+KQm!Vf!f$&7r#tJYq$8bWIQ% zjg&wV^T>*s5<(JpzGBzyup$K!PhrI@DCrizm>tIAGVUO9q&>8xR((YbAT~iCL>K3% zsa2Egj$5T^2k*Od-R5K0tQnxd(6d})pXMwzwd$vd%TQd)3L3bTtnSW%%|X2K^-jhL zR!0~2)z5P&O+C2u)y4)TrYoxN57;vJBOL$((!elD<{O0A3=~xi<8VuvFs+WB@6d6- zG%OQRtnD2_iq9WY{JUO(D2hkNP(w=Qr$fR8I~nA%JK}^Ox!3AINS?JM8hS;2jv<;_ zmVs1l3f6L-HumYEYIOPA1^DVQh7dM-At3RH6TbrZCW2G>#M4hmn|}1zcGGHiY_c;w zPL4M9is21LQ@Czwww5A@ls!--lxl-u^PQlbE;9YSMSX7Lq_S}`k8;` zM_!Elnj&A_C>aix-4Ul}c~R}T;OHzbYCRVOp&j)ONb-&tm<4T;n;xk2ToB6S^w2Nr zydpR@^ouIb1xJT|QRBHF(rc$@e({Mdf}_I-Gl6o3%rY*WV+M;Q^A_}cOkEpHLrZj~pMu)$W4me&PK_rh+w?bXU?d0ns!2S=8zCrHcdg5CNE z4hC31bVfFnt+RnaF=b+R9&vzpc+uEQ%ss`6=00V=@Us2S{%?E}pZq92bcPHDw{Hj7 zdLBKv0+)}k?El8PL!T%$=I~dPPME0N@&3UvKpj!@boTqgg`ihrTMPWicn~Ovl!CG= zgkY}vr8)5E-5jg>Ey}INSOTN!Ol#7t_|eH_0sjT}`k=It`N0*7|Aj{&>x&Vq|o@Rt+Ppn)8ik$Rq`*7Jd)I z(5fgYG`%UTY`GyBhgK}X-DPp;2Eik;Yr!&nWH6Zy2!T3|tsS+^c7fL<_D877P$I>_ zl30p38arf1Z-YEQrt#Wr7Jv!n{UzO$_UCr>gXK+Wr3#i~{k2k9oooccYE+yPD;749 zlqyj+mfGYQZEUXDKx35l7ZPsZr}5SKecG(T9OGvX|+mCwgiTy;c+R$HnZlfJOS_x%Iv8QO@gg& zkv)MD2;+7LU3*?J-DQrZV#LgTx@Fg{Sa||0pNZh6vs7l-5ys%Qg{-5w44{7Qxi!h! z;fP=|))hT594lsPhtk+aCE>30exJJG5hXJuo7wQlo{a2#O|nd?`=cZ#27%VT|G_;4 z-Q^X@Tly*ZzeBD{{EbrMB!hDpK4Vl> zHCqD_WKMuvF9#w>j404hGX!%29Hm3D^urg$Ot?0iFUHqTGSc|~zSE1zmt7^m_a&dd zKlUd0NLAL?jD)_`t*bTbyK~?$Qk*AC-0jix?J3ER4ik5@)*6>CafBbeI7bMwr7l_> zy}CiAOmJMuB!!SX>rRMY@F?n{x@|R4%rnsKHr&;}=Gv(JWt7BFWvoa_J8ysRorZJ% z?l$)))zLUsw-+)I^r4joa6uu5%Wbb)YtShgKJX` z*qac>chG+IGW}IpCA$=Roo$}$w=>JP=8;w7TL zMo=PQ6Fh9UK79t9GL2wHa~#nls2GjI@t~1fTntsaUo|2hU}D5rkoBg4lE7^%k{HQD z*asmmJljSx5KjOnuJ@qqku|r{BUr&xBnM*G1NuJBQp1|)vY-X3B=g-davJ_bd(4)p ze@lha`;WOIV3P**h(69rar+}rRGMA?epv5}E`l{dlg z&(9O^EG4)A&z_93t0c1zhlrOP3JmylJZgYlf#|Akka9f`7Q3=^yZ=gO{SJB;$>*r+ zoKpQ(m*4@s5BqeI_7){-cR~2^k?%0XU+=5-Lq6=_dSuNtF#FA#86vzWB%H`gHAwgiU*sXcg1kRhp4Sd!9iwt>xkxwEU;qc!1Yj%D{@jlJx2we# zdD%GO!(v;FyMjQqzig-dalRRtuw5>;RiLwNa00R&`{M_zPG`H=X4t@Xx7b#3%T^3p zT+SBfyHDr8-7K~hRSE>^^XGOPzr*<=w^^=#yN6!OfgS{^{bf7tzg~1XO}9NRy6XD7 z=K5tj?T_=#_rF^$I_gQV7~uh0-g~+e^%xf{+p+(au0U)GiXxx~`fEkp)+NW`-hFK0 z;Vi%gY~r^rn^>v?F;l=AuvoXte;7Sj74;SPLN9Hm#k5jRDuQ0~^7Ps%xw7C;(T%ZU zDcR`;Dq_83oZ7Wi?6@myc3O@rhpg*yFFd@;WxR)1)5>_Vn_n$9(q24KiEiVFFJ8U) z@{3mw-!4T+xir=x<&sXu!AqPwJX^Vd$#*5=KKj2{+?8Rua>7GDEq9UXsoqn)Mg3!( z+Et3DzALZnRex8Q|NTEd{8Rtw&-{QA+i9KE^S_QT*})l?vKde?$X{lVM}w<5pzV<^ z56~bz%nEftS+P*UZ1?7C+E+*vQ`Nd4+($OSfIo1vl7{b7xElC`MtX=+6B7}C#d7l-EL#uqrT!WTNxu%w6fq3s4TE-r~Prh+2ukk zHC|b3_+ljJPs@qF<0kVyek>$@!wOakbhr{kYvB*?nM@f)t1-(HmZ$ohxI9Jk@>Dcm z{TF}e>pmqFnuOHNc`}@BF}N_-00}_RWDZ4fNQ^=e2m^cD!JezE7D1sX&V~shVBwfQ z68j>Z(yS;xov#SuCwbdJg(=Ma`Op%q`HGvh%>&$TdxsXM*#v_Sa=kQOi8x1jwp_6A zL9PHUug>wTqTySqFZ}~h_2#XWY$f$D7$wE~T}k$;EDs)e1jMXH5529aj;u`hxmjE` zr^v*9l7Q8>T-tcwHKL!_z*hE$37^F7ogoBrer;3bUE)aEo)lJY8=Bn%$0 zB^;}$3}Tg}IN81?_*F!54_l-Fn7H2p=a2I|qT2uuCFWZ7o;6i_wk8w+@cu$nx{-om zb@cT7E&$d*w*mcX80-3tnEntU@JtU8w%UhiIMj~&C7;yP;E8E6Uf9_mA}rm1i1^2T zSl%oyo7Z}X-sVqD+ZPGbCDWZF8iT4Hw*_r~Ic&|{PHS%4)h}fV6>_t4K4Oxg>oesk&HBU5#S=_17w!_!hYqBuD|P+hKS%QZJxA^34sth2MoiaZ7&N z-^6v4r8Fz!o0Zis;b{q?BHE(A;BQYqVmcu=dG+B~&STS9J60OvNNhOo8nkpH-M+dw|BbZ$ZBgz740!9ER z<}NHpb}8V*Y*0y&8NWD(`q0^>Kt`E^m0=A)2i-bSl9^KjgLinP+yT6*_NueVL~a_F zBTHIBIsZQD+uNGmN`7+WbRb!u#caFBy8$40X`JPS(V-};9< zYien{is_UOY99?A(SS7dJFlQV0z9JZALiB!?2}??-NFv!DkV7?{=4QPQ^2C}dX+q^ zk(|&URlsn|*~T6)6kx}yUU&`|iu2`Dg1m_oh!Npl6tGG+NeWmb8Ru~XfSF8$_)~u$ zTgdFXX}yo%@><-}wq8UYv#ov7`tqjTqS@BoPG8=`KlM@H|J%aWD($KVz(&H#3A`Nc zizsy439u?tCi69RL0Ax)Cq$u#oe{6uSJu1{f_pG~QV=n<8l4bi0PKR%nT`y&+S(AL zt#v_6O2DZx%?Vqo;c5ud))v7H7g9kBS3{5iunS^NA5*M|l+nAPCNu;Y0J~rr5s035 z5SKEf4nYZkT@qdRY{|fq7;RNcp0%}V82e#uZGUM|MG~`>a%ic4b3`IxOvzkZmeow} z={dD|!2#1<&Lqo)WsgsKGKX*-Qvca(XzwF4e0zpgOzCyFHSHOmP3e<6@^;!Snoa5L zn9{HQyg#)08#mbEzE^7Nvn}rE-V>Y47I#g*x)-fD7~6tP_K10)X+DRo5iuk6ORP=~ zGIQ9v2NMm<86XTh_BqyqG^Kv%4v=R!5bOxY=%_}Jrql&d_v8#hHqCRuAz?ysF=9$x zatC0jED6pS>4wNBDY;~qME55m79M;Fw%6w1Rf{{OOl>s`-|wt0pN^iB3I_~uQhGS{ zxVLNOtOBBHu8Cy9a%n>((wcO2{5(;90bl{=iCr49-p6we)UHQNuG{BfL*@@?3D!2$ zIgpJ~ezh&5*j7PnRj`e`mv>F-UOU?>y9}pXi*O()G43<-o(D-&n)QMsd;gG4=!~uCM-{j=danB`D=q*5GvkWi}P&>oen3bSGdR%9i$Ka33M0fP-?QH~Irb4q8 zhSKC&nFg%}9o&{aZGe`FoXp8isamIGXG0o-1l~9tMl?=j2Om3G`M6!|0tJm^C($}p za|7DaD|Cl!$N38cD{acplaih3MVC4vGQ*)y@nfe=Ht^?eh6xH!aGX!9KK<$c?F&Es zI|DGTQOoI^6VTRehG;nFblmrbj`3&q(0p@o=LU;Acb#*ZpdrO2v{&mIF5&h+{kc`{0*(@*(KbS88Ki< z>SYD8!yYqYz!GsL*6*wd8#U6NWOmt+y-+GYBrm`>oHHknr^d9*Hgp zLF#H>@z`-f2oBB8N6n&!Al=O(2wrf-*@FMHMdVUfyC6EB$WMnAcgh+OfZWMyNZ#!M zU+^?RTjcS|zTDscQ`K>)!f6&ATzN<){>I~vCl%-J#EPFmXi{TP(`#HVrbYKjD@e!}sJeD1V zXWpEW2jfEnE0<$z7GQP>!-4A#7Oa|XhvLdv!s5Vz@5cJYLNL38;cE@dA6~!OITd3{mwf2{46imajKiNrA5e_CxtZYBn+g0`yk;|j?S82`oSO;mj(Kw0Eox25+)QvY%>;kwYkud)e_Lc~H09GK zn*k8;e!PwFtYrjWPBx?0jZJZEvm2!#za+PB$w$rrQJWtKT%slrW^h<+d z=tl05u)p-&1pRy4Ab&1n<3X1HE2|96(woR+t^3zdPEVuHsT$#zMm4_lOgv_jd=1NZ zS~fu_M1J)RfEd?J-Pp5!sS|PgY4-o->;B^UuSZO!oRS_>8N(#FMllzKBijz(U*Q{? zxnZfiUGRwMW&l`05L%3>n=B})8zrd}fPt{ho*OMt(FQY0%PUIi##RI)4Cb&13Jly* zji97%{LlwBghLRC8ykjZr;p%EQa8RLnzaO1hCCAhX7!<|y#1;RjO2)pF`9#Xj-tF> zvRjIUAyjSOqgB@SY(L8trorf0VoqwirZAeABy#Hz!1Z8pxj7ZZZ*_O}OJ=t~{000z zjZ8>RQnadxGWSRwrm4OhK)vaXJ!rgZNdH&GJS}BgC(vv9?J&|!zH>fL+c*umkBE@x zF`k|R`&8ARC3*3T(U2#y%GUss5z2`jY?mZ>dJHDW99MK*5WsU72bAr|2$9)8(_aDe zaS_G>%CsI#1I$N7{{?~i_}q@;i=9uK^7BN3O6Hbc4r8;o=~87nM`U*wkxjcrb3}GK zIQj2;>;L-t^Ys{~hDUgWN-0AQOU=R#irKL02|LYTFj5>@5=9Jz0eiQGPiPRU3pZzN zpqi4FFOpV6tACkDy>8f8(2>Pn3ee8;K_7ddwx} zT711^?q1{dm_PkxzxNl`k@!*GRgWEQ%RIK-W{+G^KKfa0Nadkg$~tBrt!&%=MFK{Y zP6$o{FS_dTe~WUo51IZ+{zP<)eF)O1Q|iuF<-bER@i$5&<>*S%|3xlfMr>aeO-w;- z`yxcyae| z=EY-+;DBPxYVMS(n<8_GI-E<{H($!WMsh^ojY}2YoE*8sc9GP zzv@4G-;IG)_p1$Rs|X!H&5GWqI*XE%BfygqPDMdb%r$~JIRe6ZL$#t_t!3M=emOZ} z1D~AdcFQ^svMA_oXjjaJ7kuX!-$B9sew4!CG1tsSDpGF}js{ky21reg7A6$ZU9D z#fEokq+vSXj9qVy1|yBv|J^_N#ozIPXQl^r%PsjskOD!{pv`PlX&Oy|KoCslZT2On zX*g3*vk)szNzb{3dV0_fqe&IU52ey3HgcL~zr>s&sdQrc2Vp8XsZynBP>2<10R2D$ zzYy}!Lf8_5XH`k@mi2XS5GC@m7ZKMCEqAF10 z0jd})wR{}~##Y&&3B79C7PL?*NXm9fiMAC~bb(eQ{G?)-_or!0`?sA)QlQKgSTTI* ze7T+W$NA=oeC)EnWS$U>U|NlM>EP|hZ-wgazSxh3UHMDWvzo<)iYs^6gYaezib8ODXC{}d!Bf9*@5k3{Q&MTa8in& z9Cq2!#-XKD0Ln&ZNT9;O8GWp0diQFqm|b=hE^I}saYW(stf^CzbDQ-{bBpgdWk2F7 z&-Ki?p84#5fB~tks-~m-mCt_B$Nr9Rl(nnC>zUSL;PYaDs@iXQEm>4EE@-tv&{ZvU zs(R*(qND7ByZsg*a=6~WiAf1C3sTLvAXxD+`WyOa585itHP}~H&vZd>;uED!*1)w< z`i3AKA{RVvsMrKMxEK2TOa@3b#FeZs8ro+ z@73V_cs00=)l#LmH6LJ)630GZoS@D>1H+WDHL(MU{4c>xVvrjMsbYWPIIBShXC}X` zn^A9T5L{JZ4g_l4CbuK7!Su2kH;O?9mEq9gXAx$}cG|ygOjeX?!F^&AbJ>)Piz9zF z>1D@kwDc`UrB#~~vL)2*u8z)ROL`&Igym^CCN4i@W4`y}KJDG_jk2%C6>eiJ$^dsc z`UNBz1AV5*bNkAmc`i7bwaLktgqh(eu{w1^9adHxOYh`l%yGBTd^W)3hp}V~2oQKN zq_*G4l(R_2DBj)=z3YgH7eNe0YtE52#sx<+OBH5jG__P=W=3;M6=nu{_S(rM)llYf z>&67oj0(1DHuRT#%E_jIeAFwIa580zh6PPq&#;*{LH%6>!haRPX6BUCoRWI@!gCg1 zT|IY%A0VNY%)2W(pc)a>ACO18`F!jv)A!20FFd?@*P={6#nyu~Er8$oNYeVNcV2zg z+de1ud*?%JKl}F2iJkG?YI#q^e2D=mo(yBfU-yMy^}oF7?4zgw{OcrGaH~3Xo>s8%Ya5fMrmP>8k17J#%<$B%#TKusqLVpIIH#Csr;PrW4_!wcNd47zrX~<-Gj3!M> zpX^;>HS5*z#q(o?;px?5#O=pq;BX}D^&(qQ|PQA(*$aaeP>lDID zG7J&(i&`t2_;#L_ZoZMfvve!IzTzy6+Y`PLL;3E_q3N}W<;GB*j@m`MW#^bcBqwGq+QfYU9% zvJq;Gl9-4xbdC-*`N2DfdIc|vra)swiLrde^?C_G92$&FEt7~SLlZ3hco?K*2)9^K zl%XGbyXA@`G1=`~dJs{De&{XdhEec<$zV`iC%H*555bo_%DAseQk0>erIr_2=OVH0wF@6@^oc8R5Ye>p&FM?7)p6xop9qR z%WSW-zp%KZ{ZZ|^q&g?8z-hS(g@{RF>HSCT5~VyZwsDeJv$ASdK#uDvl>Q7>cFrQT-J^*mU zZOT{OV2Kd)F_6P$15{N1K9c?PgYUphV+bLzB(#$BU0f103yLM;xIqp1;(BT<(P0A~ z3LO2&-mZ4de0wlQrGh20@$Kp8^j-WsK~~yHULJmJecu zEe#0cKhKjnw&=m5)I6sV!-K2Di4Bxc;snLksNuB*`U8v}jE^uXh?6kH_>-r3196fp zH~!d984nry_!}1=2QKAKoCSNDB=e8Bz?UfmIB-F>E=Y@G-lmuC18)v8J zd4bthR`pnQm~7GH15)(70K#b_t(!-*ZuZtp(enaBSyz(Z0P@`ilXy&w3Pp;ZaqWOZ zNt_K*+Fo}Ly-(Y5aahCNN|fKNuy}o*s2qkQSYdRM2F|(s=2&Nfl_>j(WmI z$|12okWYvT(*;AIDv!u^?2jKT_Y!Tk3%=ZCK*wgc0H`l7*Hl|JINyEVVMDA?-a#s% z@q=TBe84Tv7kRZf;C`{K>PZl&&R@3U{I~1HHgiO5j*D$|{Sc`4=XTm3=bOtz+tp%Q zab#?z@EPYX+p#}>u)Lg7>=y*UMt-fbiEp1eT zT7cyI$m0-T-ap2vT?I$(yAof1?}caIow4N4zB}OH_eOE%+|Mrwi?q9yuPSspe+-Fw z%0+VPcjHYHSX0%ZHxkpJeYO`Lr3+?G4IwTK&K9FXWT|+5c_6 zP77aJI`9?!-=US`Z^o{8PaDB0%~mkK6Pm3cRoS@um+;@6cEt5d z{P@*{XVF*U79}gGA7R4F&s?2p{}v@PsUP#cqkhWW^}~Jizx2|3&jZ&>E$Yn3nW#mr zw}SD@b)Lam)PB7IevpAulv>1r)zl{@bsjh%hLxjzZ#E4Lp6&KjDO&9T!BoPHSdj+L z1*2#+FcwE56hAbE<|%d@w1pbv$BMZY)giASOd|lU_s+4ZMFC8FdVo$GI{BnYt~M8N=}gUL(cVD^4&3Z=-t5k- zsxxpMNaPeZRciW_L815m1t0oRU$;8f#WSkoBtzi(!|UxsI<8WNV>&J?!%@|hm0{G| z3H7eDM_rglHxT+hS;#&tWwd10!<8~b`=?gC_uz+ZR?Nx}d{o|-yc9rqUa?Y!9df-0 zdXUM$q3a?#60^CB2Ypja%!;JJ#6lD2)>kO`Edk+_1iY#`&9iEgs^yf*jPDwlx9Y{r z@nL`QglEP;>FV2QxlSH3|JNwO8`GWmp=XK@CfqmoZkFQ1D)W)lQ+T>+2LQ?>AFbc+ zrg+OtwYV2^!UuKbM$yV!p6ZO-YjtLMdb4?X>dvoy&-eb_4+!0 z_V_5Tr`I?d;Ou%$P!sac>%H{JWWXhLr>}Sf(~?x7uplOP)%6wXP8Zy*cN_tR6#;i` zOExLn3Vv*0QKbrW*!Q5gsjvjR)e@(M6`^g#hs}6c>dta#Tmq^^#F>&Ev_8jFR(dL` zr5K;w!i7b5`jY5(A&V1Xrs&6SmTZ8tOQfD~a3pQk^-q3QBdRLv6_s*HDHoK^my>$M zq|s86cjOo48)YQ##uqK9YW2D$q!#L#)Zy$(-nuJk4>Z(a>X4kS4sG`{`s&*1aN6=i z>Tvz?&wtnV2gXz9$a_P$O_fKscSC|q1h?DuR)akI3i)BWk}inBfvn1}nJlp?atKl# zy5JEM%FqiC#4JWtH6ciK=z<-3vGvfK%|S8cAxL#t1c9%FATrKtq9duiLXhgv1;K5q zqZ2V&#Q>`zNOf2Q!Oko>Y+TApq5L68b?AZ}h+5&Cl1b!f0eA?CI&?{Z6$(3vDb6HG zSF%Wgm``*utbt+alOzj*E(uqXOrtpZelLUR^My+vL6Ow+H+xY_Xgm#G#qu4Cv zW-h7b`tW{-VLW30Ih3anj&pq&W?!c+pi|Gf{%j5SC^YN7A_&Aa@zZsknDw~ovsSQ}r}9C}hnv6Vui5UY z98xN3Z=T$2i-#5dF9=oV0`aT1Ks+^{VlT%TgW76q=2L$75B$h`zBn|ECT2W=4(u=>=nVw(Y+Mb8L5ZE*vp`0csat~Hpzs>O?EUOOAg5q~^;YJm^milWxmDn=QoQ;q$m1XrhoscU;6Y9`=qE+D%X<7@5a1@ zh}IYfVV^A8wy!KL?}A|LW?>IaC&*~iwawIf;#C_3#uYB$)FJg>jTYfB`J=RpJkyD1niAxSxHLYj-Mu`Wr>YHt}q6&`GqQK zLewEhr7ty78(`x<`c2txHL7*pQ~x%Yh>)+I>`>LsP;+;k=LF$}K86}l#Zd9mc z#T8YLgalh_DUKDXP+bsH0F`A6&A-Mz9fDM-E{ORHBrRjHaSdYY({)bdGstBlf;O)< zR?KzIUAHE^m=q8XO3V|2b)9odelG|f*M=VsK~boFlHGPq6dolV_K_rWowHkFW-TSb zv)iwo<~k=5KbQl?w9C3Pa9vt6SD_2e89haYjB&}wRH&*mPh&|&bgBn0{;!I5eR5MY zEvx>uYz%j>KMc1s`-=W=ghKI2k5*SX9Z)hWQ-V5Hxh0s4t(VMGyd7m~5AAI!(`m~O zDbs)W{NGx9=h>WZ;rqgnfU%q}h6k`9!zluN$ZpR0LYe67*B$UEiUsj}>N9W7IbX6t zYkp-QIh=F8z-tiahb(f342mezSTW~(QGFtYPLaJWthmbgVmgs%U4Vtblu7j*D~fk& z32XoiwXj7Xvf|xBP`y)=#5E}0!yfgj`iGpP&h*`n_6pGoMXR8%LbXevHe8W}O!g9G zcijbT7WN?$IiYjnQ(lmt&n2%HtK4J{ZmLz)B(E-b;1)1Hca=w?0-U(2 zMxx4q-JB=kgOoBOhgyX|C4hB0u?n2Yv$Qi{!3utcr5eeGbbMrVSDAF0BVj961_)HF z0wHjJwl0nXXITIGSJjZ{%W1kkV7i$yZ*^ff9}5>-2V!o^8nl6bZHhh z27TyTZ(o@|=z{2*<}4}1&FHLZ1*yV{AjoM=@F2ve881u~=7K@Aiz<>jWpQXec`g`4 zyFd=Nq^ne6F1QC7pkVXBCTq@ZtVk8+f*o72>1cuXwRetGVJ--`FwlSuT=yC_=Zg^) z=90mRi^1XT)l@Lx!isx9XPLUUah90@2>!3L;%@tc(&g>;qX#SQ&P#x4&3t>1NMQf~ z@b$6l!MVlA`eT90+5rqUm*~14wxANfp>QZJkv)P z8pj!dcQXjQAN`77`N0W2nj6?`34Qtl)G{sx_z|6kP6qh8U(kjNS+D^A1H)4p(F_bw z%P|rh3~iJgnv0fWB%1+Gq)k8S$}!Sz52GmwnuDi@BBIGL66dz6AR?Nv7>ToG@$(?% zgZVmj9R5JMXQ-WxQ0|%r>Q^pWQD0kMx zN9YvhU2JW|a~GkPL^f)SUsb3_jbV@W+6+j5zsc0V9qO2ce)@7w8|5@+h~tw7NqWULFAX1+-4$bEdyAxAa!RE zM2bvQB1uA9;536X7u;bcnHqvE0ME@;i|%wu4D#l1aDa^VOKDBb#}?h`k{x=r?7C&# zVEQGmr4dEzm4s0B!P7yiG*9QBilTjF(N5%u;?o^QIU@>j?$W&ZF3rmW7kie^c*WPQ zP)=KZC?oZwf9+#_;*UjzQcIn#^sMlSx4S?-Is+}*S7VS5I*b{2AxU+^DKJXV?E7(L zSj1RSjEqAc%8*HL8q*oeD~iKtg1}F6kOlD!NAa7opg4?vXdK%Fo%=5iEe@lvxY>gE zKvvY!UtUp?>P2vkCQ%Bmmc5@x9Y&Y*X_O>JrVm;HIWpt}EiHTbfuNZM$WcjFkPl7n zL6HLbLET(QiaT#d2YQ`lVYcLJ=S?MEBq9|w1*HnEUzma65Y!iL+V87y;2MqhD z@AxF;oLtZbZLT`FaaLVH7X^I56eV4-%NWn1qV2 zp7bb26d4v||0BaQr=%VyC3T-!ru{XtO!jQwma?9<{E)KV{o()Q4KGF8yrx=QSzF?z zDjx{;E$7Fn4nlAT-~}8{yt+n5XJ0|9=lnF-j}YBb5Qd=6I;)53D;}U$#7)G9-e|jA zKIl-ofOccDe`~G%ap;ss1pb6>BvmU0(`wKjf>hRiXruuYr*YhJjQkjjh&b?A5m9{0 zbW)WM;C4duQng{^?r8Y{g$AYDKOESJm=Atd392qhEFz*Ws4Ds&T>Gh($?mEB#X%fH zOmv}#;*%bH2=bu|-XM{PC*Ivv^=&lZ%r5Ga;G)`_bg9;xbL(?%{oxDG33L5x8y){` zAN0|iPdICR3y0UaiAUbw1>z~oGKXc$*4Hc>s{C*q+A2RoB{zZKVF9Th;Q)Zqx0Wqi z-$M4aKkkgyFb5{mXvgTYpU%AE*gT4`n^`kB(+$;MfDLbY!_kz!$X$lIuVO!CKzdP-skD5F{<+ zYr%@kJ>tc>DwB&XsIh3F8hkAhq2@#9V#^Mr#I<~b=_E9LSuht{fE zx!3|z%WxZ2nf1m}o_6|(%X6`%L%W0I9e1ebj}}`PWlOw`UsMcvKFO-sQfNDOXbBed^Jo)7}1 z){T!IG506AJsO|nhOc{Zb4+r>QTnkn|2U1^_@u%2sM-I;6Co8``>7X5@bdYVoP_}d zWuZ%17bVU-$BCTicfsSq0 zOiE~hlsaMkxFT@F)UVjI7pES2IPl^?!2REL;GsIUctt#`9WM?>68ek7d54Prq-$#X zjPllU-eG@_S7HQWJJC=k6ejHaTB<<-*6)oV#LT}60D5i&Ax7vSI*Sp080p8%rc|{z z%X?36#Q97IombDeW&K7t{WjVORk`F~qO}>&tfRF9^@r+%yvn zbwXjj94V5;<@81nVuayEBM31S5Sp!AKSvN&{ZcyXFCCDkKTcRD1E&2qi*0rNGFLDG zaAiB~FC!Pz{uoPGjlkSWoiQDs+p#}>u)L{{mjh_;V<01beBZ>7!=x`U#!wKmg*peD zmBoUPn;Wrn5G-j z+}EB%j$Pmc&^L!O0=PjNlH}NB4>w=@8#W@gg2`=o3TZt8#jxULgZA$F(8;qSZPV!> zr;1(1f}EtM;6k1Za?W}zbRY&?6epf5U#mx7oOt(79u=3w|56-%dMn&L{}(QHe9GUK z!^PL-+VWl9y}HMRe1$| zH|K1f=31vDR56oy!l=sCk{0iazxkW~%-5eQeRX9j4S*Ajs-t34kFVK*84BU|a78PZ zzEbljj9;@NEuPn(Fq@b7WCT+OwDUKDw0JIfKsUb_Thx?#b8cwyToC0cMP+jj2I=`? zXz^U|urw$OV0bX5wIE%37X(AJQbnU*MM#|TidE?=po{|(ajL#mWHUJ;L&|B^pks$) zVu*>1a&&-r6zp>ZyJK~lmlgjgi#zz)UyG669o_>oi zG1dR!Z+y>BO<*BL9Z1SxKx`^%+Z-&|jU1egMzJ|K9W7er;B>TTRRyOjbO=f69UW9{ z>OBXiDT)P96Q(VSThmROnw}w)NU9pwX3PF6qSNi1)8Nz!PS1L*TvlSZ()2PtqB_|#nv9e{=c zHaYA$POuK-ahs(O+s)rh_f=vt_QKSnc>n?{T*c@%fs7R8mo%%#KM$QF{cVkrd=LWhlG~5gp zg%qo*>- zTki*8qMaV$_rY$867^$xwmP{B53WG1ot=Ynv?hlzMJ9Hkbjnw|7W98T{cpcCz(8zv z#ZAC`XTdQBs}y`Uft~41SR6orDbGEix_$Pm^gJ)n!lV7MyDhjG+8di5=ml^}JURdg z-L5x?PZ@6Q=pg?@_pU$}P$X*COpo*e%mkd1%{4*A(@LgidVwdDeNlW=w?+e+9>DZa zW6ceRa{*(vJQyu}ND9`}f6pC;Y2l$3s(Fh6l4N?Q7vRI;ntc4p`pmGxCC_H3 zav7LCl4e$zJpnb=JLII#T0o&`f5A}Z1Ihywm_O{0_wQjw0o=O?Gm7)g1koE{Mk~zZ zMz}!Y__7^ItA;~#1ITBFPy*qJhd5mhFs85uX}JdE35e>ZBA6CXW4%MZeg%cb@3#>Q zWjY`PssqY)oPQ59db|)biu29)pP11KbGsGg7Z)tsaeVw>`EvB}Dmg&?waO;G1t3*X znccnn_;w2(KT&PnJs>)h?FOQA7s6mj<)#aBQ#5T^c`LWYH!ECAfnk=+jiVtn%Tr83 z5p+lRgxuuSnM|6pdX^)a;Dw+L)RQ@y&=Ga)nICPcZIbt3Y?ZxqU97Pj!D|ksX0!QEu zS_X}r;Ms36bCH6`u{33R?fvm{G}Is~|FD_O#Z(C%B(pego08xQZzXA}e9dV0rD!Xe z(FCPSsFTT5EV;xlR3&(#;rDP9&|-yp$hRjNevg=7TOIwZp)5WXKd9YuS8p0ZLH0R= zAXJ&Q+u;>2@|dy2?cx7@<`PMpcBp_12}n9uns$=T?o9!JRfX1z#su|!LP^SYC`lUC zYU}}wW@V(LrzRhe4^!G7Ow`#bk!iaE_V=uMqm^aqK^Pf&36)g?Q)OjjWIK*8E@;{m zKhu>hb6{{G?hL9>soy%A;tHDz)nB;Kz*X`ji+=V?QKX>${nG#OFR#xN8EjYN)kRMF z+!TVb;R4kQzV9%bgewjV7s&cI!M^%41G4@_gCsKb(7*d5a`u(V(D=7#wz1&?mHr(Y zEjW=@D1NS0iOhM@rgST>})q4C;Vl$D! zOk_~2zd4!gwb`uQUC~@<2xcOKn;|mzOMm2jf9WTJHCo4;c{16CopFY{wq@@yTU}!m z`^thD7exCufy`^r-tT+k6KPCCkf10L?1b(#&w`{ebwOnDIHMgaf@fOuPjP4(Qy0Vl z9=19op+;9njA;ncBXU8^$kB>12G|;qIRt4;U9e=G_negc^FcxoRz+xug(eFvkRb z<(_1wj0UIl$n0-P6Z@K{XMcM&$RzbK#?e78EmL|=Qbq%M%Z3>+>#TGbT}Yk{b4u0* z3@OWK{=n9%+A2l6A<&H~?cl4x-la!W_$sn`&PVLmH?ZqKeZvoMirB@ze*}VPKUDeC z@C@BB65QcTIZsj;dRrDYq6US8)ecqa`t8z!nn~jABWMdjH@Hp|93AhW=7D_8CGvkN z>6q{&nV#~+9*%-YVuq?DDf7Dx&T42sTN6a%m@N+@3~#2V1vIH-lE@Bn{2x>E;0|uC zNXT2tnkb`*pVFP9vG`5omRkY6|IO?9xa|DZtJXecgor;o6bU&`}}r%WHkgB##(Ah@;~? zQA4bMrRgsjs(0xnlLBIS9k5l3h$mk%2$eprc**$Jex+O90DRSd$@s_9^8J?#@<9^Q zd&yA6+)sST#>$ILwNI;eDG`eDTa`#;ISlVsp(I(OT*hbk&L~~>b$N^Ry{f#4dRu+M z+zwxb94nM&nT31r-z>Aku3YyJ#JJ#h~bb#c2g;9ExC}=-3jRLgQdx!9AvJaKUJ9 zLQgeg=q$*0*##31As)v@kk-2h7K)Be=itSPlWd4MEi@SYXt?=nalhPJoGGwz_xxYD zNAW3tUk(>v%cm(au?wZgTrW2IplDfCye6j8D=uk=q#|_ackF<WXJtP*sAjXQ=fRTMWpL~)^QYX`)pO2HLo1!{2s6%6heQ3u3!FAOW z+T}4oQ&v8ylm=@2coy*khE|dx8d)nzz@Oey)W``dAx2=ax%Vc#rmLz(u4A359V)fd zc7lKpq4G3aP=RbGJs{(?)A3~*bw1(7QxY{3`y*OhWsJZIgm^f=@U%6YjPsZ6*kANe z+LWKi)e@#xCm;+aTjJM6qRCw=sC*;@FIQUje4o)Oy%gRZ^%3&8b0-E!n*o|RbBkKV zKNrt#rg-)}AH4pi-yd$ezAx^kyLE^*%Ka8}xV2%*#xqPESPfb@NH(5uzBvjSSxt~2 zYfjY;1}mG5=Mji-5k$$WM`@IiC>?qyHPLnKqZPC9M8(X8ZXGm#l&x$wo-uTbVD#py zv+<0fTY$Ge4sNda&T73p*=qfbYV2NBF1?quX6t$XR;?$oI>7(knSGoPGf-i>dwHR5 zCqnMu_*ehtkN;vg^_q=!y=1vrEPr7C3({xE@3yI6L6vK!2C<8cPlX#S3M^-rQ*v2O zSiFDCCXk_@Suo|6F)F$vc{{>{4GKvT>_^5prIw$vR6VOl==^B}sh7&dU@(vR>c_p2 zsKkmX`TrE^by~SX1CAw4LQq^dyXx+^CCxdN><)?WW-e*UWIY9(fL8Xv%P#4aC>^03 zl}lXv4F?d)nw(tSteHz(;C1J80x3uJss!5b&7(Ir%BANL#nqaXao(Wj(2LiYkZoqojV9T$3nJrdoNM4J)he%jWvM+Egjd0A7)%%Nby|!L!CXWbtzYCK0@`&n5r^WsHEYL; zRS}`gQQGc%g^pZAh&f8=es3>^UVvZBX#GM`#V$Eozz{E>NS>}>z+a#j;F3VC7>69Z zAFY?$SNP4v3n-F>3Vny4UA%xKnQJ^{&lf98P%c;u^ z6#q|_{s#*GUiR-5{U?|FEds<#Dm_woMC4!gN;PRowjPbA713K%l}C_=tSbHrEJ=At zDuGBmqV)*9O_2v>?^KnCJ=3eyYiI_%u*$=pYiP##=88WitfQuw^QhwOr}O7_oPR$p ziy*@DsEjjFH@G|!v=`x0Uu0?|IBogXjxrK_`VIHL?TaHrrLFr8@3uyML|F&4_CsUu z*BG#d*Ef3nwUF$BhxLlkX_AWc2|FYbl$0}{Q!y5J&5t_JF0Uw&pb2s`xipLt5~j#< zI~X*aM1m%`!;E(oM2k}^D3PEGu7ENUi1ixK>E>eO+3<5*VJUE!;e3(E>E$}>ZsC^^@WD@AeZb>!%+SBRiKnNq)j7BBT1 zRqDPuL1{4Pahi_X(n_iKC;f>(`fwLhkGxbwvcFIR}?EmAW@(c3WA0dWh59Rh-oqSz;ye-fM7~_RDzh00M%AfK#7pw zZ;WqzV~lUkJ?C8e?7i>4-Lt#SU2E<&<~QeBbI$Snjo)DYBdVIHlWOt>>b)exUDZUL zgnSoVD7Ic;7-3cnStp@;oLn=|a{Co{?DL_MPC`Oo((4BlpIZeRbrMKcv^EXTxwE2V zr|etrS4ACeSEVE0zl`{$WfQ$SNZD(13hEEdNosbgtO@QKHt(~I1@QM)QnFJfdE6qW zuMICC28dsLlIm1F)%D`4dNUNKVK`OIzLK-|NCQ@%ZKmQs&*zjZX3)&?uvVW9F$+A> zpi0BD3{@IF-QT-m-8omjZ*QW`)$Gq)`M#dY_qToFFMsy^Us;=|`YYcxu7J7d@XOGK zTZx?WcHy%tY%JG+FNcj4HnRT8ci>>?j^2rt?>$VegbRp7q;M1q+O*+zj>q+e))BEX z@+NH=pEkS-A~e!c6iQ5LaQz%(kp~eaY!}NMt zk%4*xGX~p>BssWpDKNx%%jRB40{X}jq+g|ygR7DNW9XXT)$SafuBc10rG{8VU?W;^ zgyi5%5@Vh)3z~NwXaYOu8E#~p=ZfD5xfzuAI_DYgWDp;s8BQc|$LFVQ+I=UKesXKb z#TPcev>CgL@#!_*cUUdncias39eZpp0br*2y4fUN;1%?9(vtHa^(oLQ?#11iW$X27+Y6Et>vs#VN z8YBh|QGftg(b}7_!hz}r3Ym3ZV+*`&*GkCEUFXTm z^W^2ve|Dj_k3@5|WsF-dJ%91&9hg0`RN!$P@qKCB*Gyjin=k%5|L`Y#^0J07ZRV?R z2m`QvVAd!mS8_HUxFfbkNS+E#LKcjfuiBiv-y$d82!?1G4ZH`mcVNW>Fi+~2cveZ& zqotofA1o=d0~)y7gjURad47L`l0b9lnECSj{&oZ6w)kbHIVGUZ79XU#tudM8?{g`z z@oy;>|EYUp<-f93918V&qcdWzNV8}?tGIHXjQ@?2As63M_M3aUlI@hLUa#k`W+2*frX~}0R_bN;TeN~PNpz~z%ZFtXgJ+^-r(Q;VOKrC~?m78!-?^7T zMchdhgyaVX39x$4Q~|zNXUz~BfIQX6m-p*k`%?-4ss5JRBZp!mA_EesbM$z5D*5sW ztR`B?58o(WA17bNIP3P;6abPP-N}~^V5k=F!PcDYJ*au_7NykCmy`xAv)F0<>$w#v z-RsVAFq_h`SKx}8E^Ibn3|ia{hcZ})Z4-RJfE>!H?HoX%MBO3V?h_D~1kF(M z5v&`XgG<5>7Nd1_NZiE`VwO;&3VC4EsKTeyYgFY1A1T1k?#Fa+?X}~dr(L4i{g{4< z&cQ!_=r{b+zxYY+eq0i51M7j1m(e!nL#DVhN85m%fv5n2n200XRhQySvOh+7HqejJHfIz_jjAuuPti6edP?d5cC~Ykl7}pT{(gOg zhro;g*ws3i5J?RhCS(r=J;j7zK1K6GW}FG&H&~N66Ly`(P#-Q$#F?N&3%-QrBRbgw z?Sd*thcBU+9k^44tJO0X+685ja5`|F_(o{5)jCO#jMoQ#Oj#2^_I#e#?^LMwQ8>^a zN56tDhkhCxsCu&sDu#STdGlf@;K`p@JSlIS_QCTG{x0S!s?XyeH}5DhoUdrv7X-E( zlHX!JLjbRkH#f)d_5k}0J1Ahzv*ofK%y|QICb0KbVa`Gi;pdMD5NEj~E&GH#yzWi_ z1bw&yOnF*3Ji83j?a{Tn4AU;r>@rNhMCZ5+Km7+^^>6;!;4(<(thG#)ZZ`4}v!49phI}}7Ob|WZSrwAS}bPC@ZN_4rEuswbmaT#ny(11#t z@$rNJzBxyQrrMI|Zh{vgO9Hc>zm2*KCV7BeSII-?d#lS}lG}~032?4Z%f>IB8mHm< zC}}Dfe>>kiHBQ4WDc=TKtYrjf{OZa(RF1v}zp6N3AbJ;Ct0WmO5WFS*IpGWmF?Wl&OK0cpPZ!OWctAp}q=m8|6*cX#pd#IxUA`r^UUJ z;*eNAqkWzGrk=jmzA5le1r4AH4TB#5LICFrOrPg>RZVLjp52w{w($Dh6;*sANvPRf znSP1RaaUgVm;c*O{%P;<)^wA(D<%1ax`0hWJ8U{R{Di{AEJn$2kVHHu(Hj&lAhoba z(neZl7ng&z{8QVdVrDVAxI=wKYgo^*vOM-sU(pzv;2`xC-IXdhM12*qui*P1puURe zQv8qu+*jhR*ue+MuOhmXQzJCLf{~RC`xSB_>FVrA+QI_Mku#>5H7SQWD7gl%6PCbJPh@+ug?5_M* z!eX9B4}@c`uzZ+SSQ03*pA(n{(H`G3Z6~|BU-#gn-u2OAdVe>h&XZ=b00S)I9d_TS zSO%F4qh1-*lu7TS1zVD_$dq*yP}KW_dNS(${dPd5MZG_u(V$P6**0xns{aHVugkzN z(|wSPh4s@8v)?{#=Ol`uf1tL zUjdDU6Bb^IGZNG|-&C)@&Y@k1$HD`S01UsbwMZf!gSUW=$@Al}a@UV2tWG?3^ZM}P z-uZ?<_kCfcmy~*1TrC^P@o@GR@c46;)GPcv%;V)#+*g;Aw4If_D$51xZEeXbR+3Qo z=b&|5f(<-j@!h=UBv~O_5fCRWPGOLGTW*u2kX5mUkh+q#D}sm1k-C#qk}82TatGa9 znn7~?@pwv;Pg%;y{_19E;Nj$|*ucZ>Ga7hI8QE)}D)PS-rBqzA+MsR932wC{HS~vE zoRHAdLh*4`08V}lHLY1B0j~lEiT4kh`P*`Btb{I3Ct|uNx!g!V}C3FE+Uh*d4 z4%)6tQ(-uIxO*3cMHu~R$6aBq50c^bUEua+w;bxQC@beKe%Q5e|1Lo2r8Uz%kahVR zO}~lIj1`lR-J57qr@C8+8S1cv55}3 z$fbpbx3HO#lRBSYa?3 zxGD^6yH{`Us&JQrg6B2i26CXCWAt56N*55k?#fGa@408*`yMRv%nL$wfUSq`dH&rm zX$JPWM;Gz4M;Gxk?q@ez&u;ajv=>kG`a8wG?=A2DYd<;0+lFMQV%O07E#oPp`w)Br zK%ES`2D0eZtqd*!ybEcdhmVZnY3ry4kHB)F@Lcx$4(n3%Xe)M&lyU8$qer_xkY*c- z7OZU@4<~!HG7$)_5U4J*Gm<URw+vGrMyzc0+q3_LbW|;b`aeMHY7~z*qSP{dS zf#o!Rol#Fn9o_;k4`aF2r6~bFI2&~Pl3?H6*Wi7_8PdgfULe&~`mtc>z$+-5~f7Jx<#^b;elaT07pqu6rP=B9YlbcGgP8UQXFYJ%{qu+ zz3NW-j#tMA5#TcA-*QxmQqhRoQH7TXZ$gibYQNmwFA*4%nVVE)b9eMwq-1VMj3ADX_{y|klb@K zo=*zNm5FU07O~?fg=N6lrm#-7g9hc}95&^lb%iSZL+9s2uZU5ZtWfpg8qwE%3iZLC zdh$Q21gWg&6AiV`)*QMi(9^|0U6+OrSmrI*3t8ZpmDu69Jj$!m59oWAEf)hH; z{pL~qqtE_Lat){sfhXr`p(>p6#=Sh3@pq{BzZ|Li~fTVC^;(H?KKI}2cFdn{!Qub|R1uu|*p z+ULijX3exc(&2khmB_R1ho+jbL;Li-O?S|2EJdHd%&P;JIz`?$zlmzbRz!ETPLM)N za_3vqZ!n4n!-JqIUpHmws$xJNECLAV}!!E|S}o zjATf_qIh-oU@w+6j~D?$ympeL6|irFArou-MmSHr<{s~f8g`u8P<7$MieRoKsPcg~ zQ9XPNr;mEhb}=6i*E*FG8l8zn+O zZ4ais67xlCT7K{m=EHEGk1!vG8-0ZNFx=@2l!Z7%21#=;AGXLr(T{$f100FK3Hvt! zg91Ak&>XPc$cXuPvq`sCT@!#fl?3D^qb}b3CU}Wq%_ZCu-j;|Tr7I$>8E*9jU~Zhh zM!XwSL-|%0$I^~o=EPx500}!skJkwGI6U3*jo{U_YsPB?q(56IfDZtVX=lxJjgaJ$ z$}+e{&qbWbO^w=FMCM^LhE&+Jd{lkV@V8BPX0TZiC;34`-OU~}zKstWcCrVJZ<_~A z3wY)9Zs|cADBx*(z6Tzx2O*LO%!3kIhXNF+1>=kr6l8eS!wwP+ryXKw!h#P~XQc`R zH)5Kx0)Z6i$hP|&q!!%A{ZY_5r+`GN!#HDw)QM@vidK5U`BNGA@ukG%o2Vh7OymIE zKqJ3jM+pYQ&!L=xzT9@Z*ro#9X|YX_9t6_&m+f%C{bC!jHQUW%o46ph5?_P^ay#sQ zTx?^-al2b=)A!#>G!gdC-+znqjn{vBSZtFE34wh6+z!X*{p6d%{OB`kG6UlSe7dt< z3s61c)19$5lIn36^xwS)*s8*)*m2W@xheN?^;LJtbxp2htD6f0$!*h-EgTW z6WR}575eVgd8mR`((@m)M&pg@i}Q^-9sRX9{p^Nv$~<`d=aGQFi=Xqof717k5&?7Dlh^?S zHJW)Qgp-@1?Xqs>kWo&URRo-?WLG;W=WJJjH33G~9RY%TlU;>CvWv3qhEPeU!v4b9 z6dVZ&AVSJpw!{87-{@B%GC7M>Lc(TWPJf+?#u$RgE>Xtoa8@ za?_Tl+KL2&NZy&7*@FZD?BL^FvdGncxn| z3W@r5dqkgU#p!B9)I^G+Yz{+xX5)k0Ji76tD&NTH5nhh^6FkC#0eWy+9OI*m8wftD zhd7F_2)d)G|3eiF>l&KB@T|4fE`iU-<250XWJkjOEeKPJNpXMP2SIf-AVjLJq${Bc z&rx03!Pg(hH3uH<538w@Z+!L7z2z5t!rMXa8N=i27G^|l_I%g~lU|oxX8EWT^G&c0 z6vks(n73SznxhKh>6J7y2&h&{*yMt|KdK;Tt`&C;U85XOE=bK`f)4tIa#QEW1$oDq zAcpBGodYJ|9yK-Ug0yNTc);Wj$&8W)QvpOS$h*V@(K4X9DhwbI$_v0U<#(h8mK&%_ zVveu$X5tS5c1V&8;!F}h3npC(;(N7i4=&cNN@DyT+(Tl+F(FyJzVZ=|&S$eq!ZSrq z4U&8O2(4tiJi84?d?K@fxq1a$W^0aWS5)if49 znxclUOGeJ;;;8N%7wvj&LGN~)Fmb8|G+D=M0<&dO)ni^0>g-AN!D~uzYDuvM)hXMq zdP#j1vpK{Oq-@Se-5yMwP_^#$k=Zk4!$u|!i&N^SqkUnnd*MUwui&+nJJ)0CXtm7vzXdu8>!`K1AE(>Nk`+NOCc||%Gcx^S^=}i0gtx)HJudVwIVrwtQ&%SW+ z`EUOs|57hJ!uB)o_#y}2;jlfqf=>Jk^mXv^o|LT zK~C@f1V~T4mp*{yUeNP|vJ&(3J@ww40NIhm0)b->uXaj+4AE+*1jrDpc1nN@l4_>} z2)qfY!1a=9(+9Bcy+5hJSU6xFK6iCT~uTg`2+L6mOv{Vm^Sac|4-=S&~V| zo_S{R^6bH%t_AGFz9A_e^#N!a)m9ciz=ACQpcJdDKou>t?rG+q<*4$PJB5VFD zz<5QAR3T?4q#awVMRXXD5+q8Ch22eOYDRPz9CCKpl-cZl=%85cJLC)ri?*~99R>$; z;n3}D&*(5XmJ3;fRxlyS9LojdNC&c$9EOVJI&BJFw|||CBs(18C(yM3TezkIf@Hcn z=$?{P08l<;^eUN|ZRn;dE!G zIbi1NzF#35pkoP-y*Lo~HKRWfH<4;)C1Z#D=-Y4=rWATu9J;E5F~NVK#hbW%YaCfe z+Wx-p{dHgZ>Jf-=4ezjN}_#AtcS=eVx;*yM-R93;)ot|8$La^$_^A z?b<{2U^sg(!Lqv!Y|~5NQ?Z4yTtLm~fqIL!B~f((PYSv;?$0~Q_g8doIKF^cLwy7S zsnRRkVSk)&1djq=37ZK_^$u)H|m2$I zZ3{3kMuCU(S4tPJ%*(c)u%dJQ_XCX!z^rlq=KEuZ`1=EFo505aqyo$v_owy?zaMsp z`!{3TwK!B0HTrAWCirQBf&}B*ie0bnPuP7kY=W9n%P13Br1z4qUF@85sF*W?xQV&Sy`VD_LklL|UI(xrtmLTY%O zOmMf{X&jE8OOS|V>CX3cB9-1c01Tox_ zL!lrD%Fq_}LtSEm$a9yP{B{H9DX&O_WrBM+pJG_|8%(5X1gTw2aEGQ4oFgjOD9*P) zBJsjZ@~~c_*|d@#G?Q}P zxn_h{lktkcFeOziZck8UvhAw0Q+YoZulS^ucbspG;u)kTRV=nDoPT4x#QXHGqd$-9 zUeT82=0s2nL{(6>5QZ6b-J0~Kn;_K?uE>W8axUEaX znHvgst0c){5-guVALq z7aFzrG{qW8dbM1)8-uU5`4x?p8>o}FxZ#;4hfj61`P^=deAwg@TNBw2;4)pws+U{; z5=A^)^~^Roqvc+B=HkUiFFktx;-R>Ibh|#ht@;mt)z|)mH;h^J-FC?$01@**ktcJ| zSaqcSrD(eEG1kedi$!HX3nDg6z`A*hR(-cdf>RvmT~BP=D3KijUV zxh#>i8`+p2)|!VJ+uSbL#Kvvtth=0B7j71n&35HJ89iFYoex;jxm)lqbPJX{dbXr@ z7e+Si649c?j>|bdz8>89Yrf+LzWq;(!pOo*L>Xor%buY+%Vac|t`Hxiq}nO@(~_0~ zB?zJih9^d}0p~1B+Z%iS+nOgWsjUcxFC7YvjAduaA}y&2qPZ1`V`h+nt=7zW`KZLl zCRho{cFXNSlhQ6oOKO4#%rRETJLtPw{DN3glRScmS7LLVWS0^w!pL?9W+Gs7QJ3v} z?^ru$dzf-B0rMb~v+?3cd~8Ptnw+_Fq9VGZEo1GR#OCxiD7kBZaifv6S$wsZBhfSs zuNYh1tIeJ38isnvq)3$#X)07~ijkip<|EkU#%VKH-nQcAP5m$Vu-|xEMFD-hTN)P-a;( zONS~1(ZSEa&`C2_Brw>2TfkV>%o4f~i_-12 z36L4K7E#wzaNYUVNi!e7o*;URoO{hVHk!H2i-pz));52egag~{PC9wv1b&1LVvLzf z)3=8`haT`W`>bPbWI9hY`ba}uVBeB@=v~AFL6E!m#>{Q!w!c=)@Ds1S&`yPR$m&@d;EGxtXrES3EXSxHGj- zMtpz}b%kMG?R^n$^935ZbsjWap9WBkHN$-#&~BSehb>WxqIPr)3qj!=cM#g!J8X%W zDH7=exz`Ts?jw<~VdpSKu9!-|{kwy|(tP*K6bT1W@*QM~Y_*G&N$nfqwgqNDJc}+U zR7#{K2@iW!6!CTFsO)UFFF*Ilq%%E#GB$$&nQ{9o$_)8>kC*Ghq)8(=3G%oWR> zB;6k|mf_CF82T?;FLU2j356W1>de#Le$(pz{jGn`t3NtZ#ITuV!U*al06xl0Bd|hi znx}kJA|n&@;YT|pP~d082}E?gO)v~UT9S{ek`+*#*`Hu!PZ9@Xv5%duA@FU>m z0f*#$_7nlkSx`)(D`QsxyDEm;F!-SR@g)QdoAS^al=I54j&e_r)g93juZc{4W4X4&ay45=WD*|E|Q z7!lhqlTx0was&WKo9K-xPcIx{kWKroQ_9l|N1)YimrN;7Pfy~9>PV)PXDu9IG*M2M zO*hxos9KK1thE}`OR<-}Uo_mo12~slfD6Wdjcu3B_&MMA$@t$W5h_M)7IN;oc=}qq zE*`M20&uO{Vh5P{3ZK1r=fm6KE3`au&D{64zRafjX6T2W{+Yl2XC98Som(xjkHnm& z+IsacLXJJ~fNJ8EVXOD*Wwvt^2-(d@Os6(rL_wPBx*~8Bx*|vcS)Gi6G}R`EMtx4a zW1HYw>}3?BsWw4ae69PT0N81Jq^YigU>%ae#cqcQaOu$J*+4NHYV&$a1hqhL7ioIO zY#zJ@<3@*90w1o4;3T2O}c{l()+xEC55 zxgDaxw$Jg*xeR0nioq?}gHp@B6!zlz)4vPHXLcrR%CF@d;54PK`x_Oh zg6-iLS-#cQ#6djuop1S})mTt~!XN6Rf`iz0&|fhqsK7I11*H=^Mh`J4@EI~a(L)Rh zoR$pH!ypa<^XzbrxK)w%YkmC~6r4~$2KGzpt{q=L1_hX*2L%H`R8=J-8NW;n3IN9A zbU@&_j%~$xR3)hbYI>o&sww&A(J6LCAn)Qwm-)-O)~zPZOdHuNJaOENs2saml93Ei zO-M#FsEM|D3K^BeT_IVe_+0Zg3J-G2B6jZ31z{ZvCk#Dzj$UE^b!zwqvlh_HX{EQKD}`cuh~nVz4S-VJu4;85AQyy*L>=ae8W2( z-K{p>5^B|7MIH^s7?f?0{nQdm`KVONOc0Mg8>%1(H@u}1q3txm+E2Y(Yim*TsiT+f zEGC#ipIzlQzbSg@@VM!Gv$RB_((KMhFTIe?NhBe4t$66@rGu4A>ADd~2v27er0ujX zb2x!>tb`oWP!$Cwp=Of1Ek?7@%I-I-F5d~Yqe*g_iC`!9+buw2`RgPW6Q%{l#Dnjo zD;Z;`+H;0LMwtHmJg;Ws#R|76IGSwzU{g?kRvSw}$lW&jmUb(zF%)TS_}l=^2Rj;l zZU>{^_%ISWSug^Gc6i!hfg2@cL@qPjJ~!TC&7 zw^eX(JQG7-lN=t;M0Hyw2gftf5v`Jgc zj#ZEHmoA55qnRhiWRv5zOGYzUU}dieux4F*7f=c1)<0L9&Oy}e1yR#3QJXxQQ3=%_ z{yB&O)}Rz7Fe|ZLF$PhP<8pc z4Io4+GNlHkgc4GNoz!MqBbm7%BQMkyQ3>UKo=x@LWwV%eiDpxMGpK}5`>ZehrC_Q@ z0fgPgWtwV38u|z$6!K|cVtI`LxCw?5Adn1=AWgLio

    DxOdEEZd;)lfSX_d5Mp{k zvtq0j9?-1}oC7L*`{SvdT@Y)9$R?0Rk%EWLNQ_u3^yx|X)@?nr0T9AR;ga}TomTM% zK)6yS=p!=69fpih3Bu$KaA?SB;x+%~lT3BB$ZCMki>IqG_6Ez;UU_#YCk8T@v#CC> zSD;0Q@Oceo%PX4d@*vNq`tF+QX_sg=)i-0Rzy90*%FmoWu8z6V#tVz8OB=@h!+J#; zWz18@_*)GI%STNU9~$IP#1p}_%m$1NWm3T?ooBr0sL`!4O%No(5(@f?^5|PL8_<9f z&>we5ayNof^$r})Klq^UMROhZuYBBuG383%rj zG}R`ELLa^?SH#I`Ti;N-%kAlmN8_r)^fKxvad5F z3sb2QLV0XR7KS`U#4m?r@%}quwESYTy!RBQR^gEg2jsRF*)e&Efm?+lVuluYd*l9M zxx)V34*Sbcu&_TSJ?+!}^2mq%x$XN4&zf(7rX{TT8T%nxxuIeB33{hMVOi?ShRj@loE6Gh>oj&%I)uSAt;jj6eH~jGXy$MAfdh;QR zdw(DN1%)CHxra7G!so0CR&yOCYh^mYtV^h&s~@-U1nPaPJQryl#}Z~NKhd&Xe8 z93%^$9TjE_HfW2o{DEqbbWx~<{;$e z;u;SzBM5JNf9S`v2yBS7g%42`I08#J>3H2z_aFQ6JD8d{_Z@|HD7{ zEno9LeoRe9>SJb(q&>N4jwn3{H5FpdqjD`*K_jjPk1w(M7siOkk zF9{WfHwSfDGA4fLsDQzUa+Ku84vB_VT}B1GLyib}9ke||$z_<$B;^t`!ezW#B4Q6P zUwfX~fsexxZqKuo*zdq%(GHy+-452K zd32w&jS%OHgnLyT^hM9{9ch$<=3oR7G>7Qb^If-cw~zQ%9oKCJsoow)b=oCr-QO9c zdOaZ3kN;!8`u-a{gxE=f$mX2t76LH1(9*yM?hGlXoiK=nnIxJziLrDGDf}%G8;A^$ z%SRHLi3=B`1+x_kJvs$3|Co#iV^_N^QmefLVU!6rIQ*SMf~ zX+;o;4IRD?rf$%8<#Uwqwg_TcIWizLo;yx{$%2x5vlTI&T|$Th!kqTds^x7-2uKA3 zDI;;>=vs3W{(I6*DDW}=dF^xvDNZT4eRDjb;22mtWoN zb!pox?RyRH<&&6bJ&FAdI{1cqF-PBCXWFbAq0I`-`r%QQHa-L+o`V*MjBQR$#*_!- zT#G(od72p0J0r`t)`-cN?|AKVU;l#hji^~`V}TPGY~el=?tdr+RCma8GNuL%%TmE~ z!4wOe_It_fq6=Hbio^^;iUq(PqUj5O>f4|p#sZk~q~%Kx{UJ4yk@P7RU~`^E7u}RZ zOvcm}W1uZM?b@{{i^610Y64r8t-jQO0me8K(S_o|wR(~^oA-&%+aWM9o} zo_6S&jiWql#kj=!E1zgvvGS0B6|DI4%#A|f zLW2%pk;c~qF^Gu3CBQ?_Le~~*X?#r(kp@aCh$MhU>YO8uuL&Z00KlK6-vh9G5_0&V z#rT>eXu-4+LIULW+lcWs$ufQ#HNBG#Ac()DR6c5oy9DS}hsf z|N1vP|8u{_^Q7wSnS)V$KNuu3c?#450~njgIY;*s8PO245`RyYVfqC*7EjUrYD2$) zbvY=qMleSAu(LWyku1Y4$|FYiM;uzrEU0Zd{AD@1msUdNNtXqh>_K~s?uU8KVl)3R z&sk(d8|FD6xkK=iSRRsgwlnEhscrh_=GB=w%H>qgkb- zK&U846__g}A^&_Ijll|A)83RUm`X`N-Acv~s0pDGiHD|&v_k`R3!oe;L|{m3i!|cJ zS&e2@=9bYj(}2mpbt)yTmY}I**=L9LvF6L9QqmqH&~R)>g3GxhDVmy&LzlyrV1Q|{%P zQjgP??^BOI`!{~o?_GO+NZon!AT1BEgRVnK1z8O24JKmGWReVcdeX^>*Z(;|ETr6v;6djm_CKAy(2t_Vz(1R;QHbQ(Eg z`eiV+5z{Y&nT?o!8BA>C^vj7DZy7}OMEvd5%6&K)MDx$>6@L0WHR_z{E)sMGb10Fe znL`e{LFwjvFMV2;;bll-{D$xO{(tgT_tMqfZ<83vOt1n8!@t7+Qk>l2VXcQ4#1)$y zP&Y{ofrBxV01#J;HH}FO6q3n8f!2?;O^7Wa2?B(e#6Y=;m|d(0o|*R0F^PdbIciO& z9J=QilNd;faB36A5R7y5MxOZ@Md3UHIKSlv_g<5|~w~Et`Ws zA&DyG)M;6Qj(VH@`P=j7r(L4ipTC)M>K}XI=RWIG9j~QC^=4)ZZ9Nk91nk1h?!ix` zX107(l3^wYax#E+D7af8*~PrI3(}uA!Q<+1lq%^Sd>Lw)T##ng1li)PnSHQ6sXcZ< znpqPBHwdT7!ziLYt5h8>NHc4Kpv%w#?O_S-tmYhPW~-pKonXb~s?cTliZruT5Tyjc zEb$N8cH)9!W=(RBd5=YsTmbp^5xka3qN$URZWv^6nY6#8)C#KPcFoE(Bry=PvnF+@ zNg{?~P7^N65fzB`=<)I(yGKqDuF3BG<~-B&L7_q~B-r@!uQ|za2+ly$hN>>asM}B+ ziFdVmLH&ClNxLp=xGg_{i(PlvipmV(WPRdSe&| z_;)}BEIDAMA07}~{b6_jt(2`eSoM#wI!L}QFXqQseXy3FV)gAxvD|&7{1~f0NhQCA zx@yZB`{>4~kNeS0ki44``RY+_b!c-BwrNfZUUyP3MAQL|f{0pDh89sbn>QR_PgtJH z+8*^0)7$EGf}p8Zg^rM-(T zC_1SKvO0w(aF!yqT|83GicYc>D?RlVo%L|BqoC-dA{gkYK~mcYicYda8$C6eEJ}Z+ zpQGp`ThZvL(F+1MKOb81heZ&H#zIex@eoX1#&gvCVUcut>LmtRw32e$*;zV0HE7OS zNvZML(JMXmQqf;dI*q0gu^2_t>8Y0(_KP)La#9eYUV7x&9mrfpRjNCV<||dqB95{( zL`QvL5r@hetD$TqPTjK@bq$+fB^Y~!XFN}GJdd7BdX_>lMjlu%#(=IDvGdu@ALVf! z(ROp7G2M&3_CRCWC29@Qj6S#?^ubsB#SeY$Z+D}vP!Kcf1sK9%MojSacW%;bm>@a~ znT?aq&4S!5A0e$tqi%xx^+rc3fGVQXkV&I%f(JBp2nEcMr~w$F*bzw`VS>jc`%;jK z127Nt30aUv-2?%&1H~l;ajvZ(jk*bf$Y-xBq5)P@cI6{{nFQTC964lwsKK@P6Y(6y zsN0e|q64LHI+Z~jB_+G z+le-X9?k`0X$g3GHuwV$vn9_!E-l5%5;}Phl5<6r3fpQGZK<6jh=;(1CjgsuA;t4U z$I2i0nze@$Bm^^$I{ihb=v z?5pLC_@45YcNHF|XyA1@G-F0H%=d0~{oQrR=s|O7ZosO~5%OJ#kjq^=Vlp}r^3-(W zwB=ii#B}3d`xXE3Klq=*G(2hfGi_=G3upnlq3!kQF;J(SL#1C&eKA(=`bn>8WX1YAIqH|Lm2 zAYjwh6*0gzvvN*SKJw_R_IUU>6+s?8PO7JO2$m}jc{fFS*s(gLkcYLC5%c6MMHf%+ zVj?WSPm1R=1Txgvtz4=FrtcD_iOY>?i5d<1Rn&y6Ud9DjbkM*>$tB#Z=IRL2#MWdE zRc(VX`OzSUH3PvZ<`Rkh+~FS55p78_l<+VhZUbH?-W#9?Z0`-3*J?+Hx1gP_7$;GN z`-G#1J9q(qfruEwakxu7&ogf$3?hrS5jNv(gw2iPHSB)zD|X&(gw@*Hh<`FG*moOo zjM_9)=qFWfqk)-eGa1m6kpZm*JmTC$7y*YTC4}{BshoIN&lztq4*-H7X#TJR1W?OP zJH$A6gBfpef&^#SrI~3E$oq5Ky8pxD3n71HP(M~cX%{Do&4p}NFoh3i*ZsI)ZQh6F%vix=K z*~PQZydW9LcRu^>Xa2wfeTew~v=L_ga&^I%UU=r>#YZnaio}ZC<>FbY=W?72(hb#< zG4906cCD<8Tt}FS2I&|dUAzZJE8p+AM;8w#4RD5FU~x=)@kAvp;;TM?@%&5AUp#t; zd>{F0^($SPKv^oiWS45G{t$J2zbbUK%qj2wVqlCOY^MDHPK7dJ;r6b3PGmc zHcFg%@0EU4=*E7^=YIW{j1RJ^+{qYZ#SlI+9n%f4u00>Pf;w_${M7_|74$wpGJfP0 z=>(Wy8e~N$ARd}-tO@RStV7fP!5}Mo&{2?z$^@Nc8O}}nWvIeT5N=5!S>B$GS~V{p zl>~bgT*9fLqXMXaIPdY$;-s165sUzm3)!Kp(0&_n(oC{)CSh_-hgC|F0w(Fq&D(u< zI99wm&fJVXqV}7MlV)q8)2T2w@A2K!k2%Tp(ooye2jaJ=mt8zB`Y++HF>g>ma&Jd> zedTa60{KdOWDJSuFdKDb6sfh=^gx(eaB!wEq zzpch6ooT;cTVsux0p3F#`B60RLf{jEs}Kfx5R{o?yFYV?JM=B?#Hp8vJCwjf-^P6< zgsUM>6Zu^p-YN5H-LHoom)~tVLF@W||Np+_qa357*}P6Bd~)OdP(Oaogb%dYhdd%V zf|wa)Cj3waYkS5qX2LQ2yX37gn6^#AOYWnj%uh&!Yi=DKg>8p_%!H%;?x1PW6>Z+x zDNHpmU7Tt#P~)jfHDu>H&t|~v)s2?Fv(N0+Kk0i%sbK8v5wKUd%5y6~N-%=HJ>}bE zyRmO!vyUHSS}Q=xnPqhrGcHypxI}wJ(b*K50v*<~*AG`M<)HdhB_F^p5pBuKaf#Ia zNeWKiMst?VwBL;0byN7+Kk~unzQRqR;+ds=cJ_1SRYYI&2KC*5k;zdmWUF6#6 zT|Pnril)#6Psqwi?GKE!2-aw3T#%;F1P?H5VhRCQZCD(Y!=?%Xf>Q*+PT8zTQ)q%n zV}Xeh#ud!HyA}XTQ)q&{nof&!jeODn37GiH3#@G zC*`xmoT(ix7?_LPFhbiVLS_p2s@8iF?nOndVZ;x|7Ii&tTU2C8@iHqLPohu8|3=AR zle+;ZP(^7p@;rv@Nyd;BjvKr2$#DaIo!XIy94y8(o*$x?^=7*~sq!@?{<(I$Jna(A zcKK$YuYdb%-uUhJKc)^TQ`DD*s09N?D+`)t1#3z1DstJ-E>}UDjJ(HiD>b*}qhgn< zASNRh=<6C_EZSukq+K?_(;8S8IW)*$TKp+PR1<`Er=&NSJX{F!eMQ=36Wkq^1r7vt z(w-yjvI)W%!yGd@-Wpq-ugCzT3a*dZn1V#Fj97_ZM(nalo}?v|ORo3Q@tGtUrkLao zfiyfE0E|``yWIRh3Hl0v5m2;*zMcSVYbE2=DTB{M5`8cEN0%yBJ^B_LLUMG>Cs3SP z`3Toh8vd#zj%nv9<;wJp;ss)kLE3kaH!p(;!kXy{fpZ7&CZ6X?s&Q$}c!@CL6?56} zdt#nk0SDTele`yoF1#A2+YaW{ZEwkI)AemYKb@zCfkS$DVyO%fb%YE8a@gyD@WK4C6tT;;hv=9-hBi^(pA>iJj4Nv) zNtlj?GIu<_B%d5%)If58!2wBjIR1f8N8G>3I0$GboIkzqu$eOspceps=zQ;`+{@>B zFB6g$G^eI(MwV|aFR>9{{eyr1hhFrC2{qwuGR=3jL}>j zZ3#VEs7qZiMsvIMh64r=AEFsSb)pMWDU~g>3+&LM0n3I<-x&uGqdDkS?W`c%zg7i( z#Td;2zCnVx4IZkkj4U|X!J23e_*I03j1P}%^j_xBG(~f$1!CHaNG^+g@nffG&gW_# z(Wlw2Nsyg=@j6%&K(a!75Y~*bwhz{n8QjfzrpvQO@XYfB`ExVNbW|Iw<|~@`;&P2F z8knkdKozU5i_v8ezBAcg%R{xVGce+5YX5VFUET~ZqC5{H>akPaoT`1NE#IeofA<%@ z{TIXBKV7KRzJn?-J>NqrFh1Ts-FLrk=F#TC!h^apYTrR!8MW`Au1wl@NLA8=mtP%l z5t~5VrzvADZCF#%(A%2BnlftNI)eBLnzAZCzEM@@4r@?!rTnFewe?nCSt`;TRa2Ev zk@MWYyD;}}nhtvzROHwE!smSOP0r=3NEK6&hV(jB@a}eBd^&?$HQ2G`0LY9g(pChQ zFN*^}qpcCP!~cp%FFL3uSSuZUXf&!w6Rfj2Fj%}0Pxv`fMVjEgjs-!ZZi^eHiZnr8 zi06pVz7?d3G{N0zqg`#g!mS^_j5w$!344H22pqrNj`4W@+6{R3s58wuO0fNb5_lIl zT+O}!aL@rGOGmFAeGp!k!Mm5^>q=U~);yvpBVIg!!yRm&xEob+jfX%`fU9K#Tpo3L zU4vil@T#Wv6ohVHJ5LBtCH~XH^dtG?Gjea0H=f%N^K>_QkHb{Hv1a4LFiEFur!t)?w-zVIJvV-eF9sjQaNqHZO=0_C_nvq z9M^}W2Anmvb1QD3Q3>oq_rLJ`ju5bC{@A(tI z>|h3cURv)Wbo?BI-6NV$24H4Ec4!KR1%W}{q1nB$p3%G5ZC1?6Guut3`D|vRcY$h6 znG~=+c4odO?_vqCyCkd7N!<3mMDJpES^*Uy7G4u-{$(avxe%A+j^oF*vj7Q4$5ZnW|QX-ESkk9_KJ*O@VwA84nLE>HmF8R z%X+y7N@~y)FM1hR%Yq5g3LgG1P%RQ>dKhXf-6GopO2fNg>{QTL$o-(9pNzdocZ9jkfU~y9-81EBTFVp_ypRzFkJ`g95H$sC2dt|%`=oO;4B6x#^?!D!6Aw< zUim6GL@~zeVuFJdW4vM}I7l%@YiELk6k`!S!Dix1DaI0f0wmo79Agnafo@|CB>;q= zk7JD1&Q5cfV=TfahB?OK<=H&}$Cx@K6ANJ~d}*dvKL(kYn!8vLD}R|rt`dza-#7MG zHmAtwK{E)!p1Ljw0eWKXh2bz&)%62E4AfSM_ zKX074KevODebQ_KyaH5(xe;I~S6vM#-m7d> zu^33aY!%;Kwv2hIY^c|NRW;`OQRyN6YjhY4QRO(88IZ@2V>H{YL!|}~Hu;EF-w_~j zYFvBV9$;$)ZgXku;ZQT^OR}86-+CKd)_W6F5Y?8gH==^Btl`S(jx67&;Xdc%f8k&G zbk8&CLal~#nALV$Fs1ebH83m7GaU=Xia)`*X$F%HHv;!P?pBg2h=NJOq1_XXt+K|q z+9PVX%EZ#g8Xq+rN=+*PMV&ak9vOvr=&0ci;PTTH34H2S3&%n~X<8(k0oHiIW5pE{ z%nEdnIt`PO3VO94a#X{qURj3hLCR-XHbccW#UIN>9M>xHPDP(|Xk)XeY)z_B$8PtbRr5nJpW!2$D-6PgDRg?a`CknWsCS*WV(kt`HXS z>8)YWm8Uru_jV*Qc^Lwq_kZ3C&phvekEWoyY4r`;?hx^zJx^nWlB+@@CMIstoyVC~ z@TxEXTVn+mjCotmmq(5Rg2*51BkqDRt$tb^+4TlNq^{c@!kAXy0mP=~xY-C(W^84n zt}g2%0!9Oh)b^oe+7)@VO>lqQf#;4OfD;27Dl9+8m{vz5MTQ-wz}L(6Tc@=83B=@O zN$_)Li;|{YqBdQ2TdaZ_8h!Zk^gR8CAN>3T(p4dt zQ_@woS32&e0nS%4Yrv_8pl!$9k;AoM%Q2Lx#f8)I7H|@P^%%V&f}8yyv~33`U5px# z<`@l?&ykk5u82cR4>=%A(zWPcX4Ixt`=O3>gfLmkuZl#Q(%2l``_AP0iod?<`t396 zYHBJM_;siUH*jovW5I$6!l=Sv;DODgtIc!>ogPr85D250g^+={>!iXN{=1;^L%?SsFe~I+Mp!lv06_q znhg@j#YED6y(fwr<;W8l%t85ZDOXNR3Mbfv6V%JbPMsy_0%l;^{*av6KwbURzE zshUhrn(+gT$VzoB5wxf@fKabg!;5HWCYwuu+mX($!SHb=oBK%Zo0^vKoP*1oA)EWq z=RNzW-{huQ{UpP))Oc)p-N7ZS83=Z0NPBvyV{kdxLrv3c=LjY<_IWe)yzDev$KVo3 z8um>=aD(P8m}U=`VC0Goe z2KJOCSX7pq6;lZo=9Ecj9{bp-W#)9)i=W{>r6ZP{pEuz>2|KIsWz1-fjQNO!JecC0Ojrz?P^*6lw&p-atA6MDl`*Y)m z%~9FjkxY1EJC#b~jUF*m+L+b#ldA6}Sqz_*WMgU!Ro3Hyr8fMbQUxe9g?_*lFKb13Y!$r4PK@%^|`2>Q)0uvhq* z!e3E-{>5QSra0Vc90lG!4q^&Ta=*uoA-6fMd;2H~CbUX|T1NVzka6lfv{IL@l9*8- zlE*c0-|1@OJn6ogBnBmH@UkP_>FzFcyAE;;Qv1AmPX1JvhC zm~-S72VYHj&U)x`ibSo7K_otB*w>yjzKzcrB;#|&&G4K-Iz4CHzUk*|fLMn-{;`FA zBh33D+0@~A0g;NZzn5mN^>HD$am`MFX(eT+WZTnG;9a4Dugzd2iaCdvWdpX9ecXRb zI+b|Bv}OTgf*oQyY=iTS42WQ70sBhapGGe3&uu^dW{$yjx!B;YtY2rlUTjlu+m;V= zSZ=#rY$Jo>=Cs(do4o#h5NLOZ$KUQ3+eq@T-7L0g@B;+${@nKS-yRp+NEx}^Ew<_V zLm=;;zyB8J8?XQNu-K--4-m-vb2}WL2H!XJ_NpKs{k7^QKHVXMA^pm`dk^sGZdEtm z_|z^myWD$#ttyP>W^S4=H#3@OCzr(zlp3EaS5Ak20ZX9Kt6Hfl_;Qo#{Nu0rD-Yk` zom%~4a{-elIszFbAUV{%@!7TV%*xMt95DS9?!ZvCepJ zUAI0#-`;M|2JnyNMFR8743#%`;#7m{bL5;=rHZzYf@3EOg!=2qvpAbZQHNt`OhvRTi)lp;SAhIM}_C#s8q=54UFg7@4DZNyZO<9AqSDnU9G@Q93p3ES|qg=VmY`Z#Tjw0_&Z1}tPSTFA(VC{W~h*${K7@D zqC69rr2e8>+S$v|MHY;nz#93;eVQ>Y zlYg0QveJ#4m0=Up^^}FglXV^30U}DVuVacK(Z!@+Pmy9BKeKO<;~BH%rMr3ihr|V>-A2PXl)x)fw{%B zF%$Y}8+o9KwA>>P(lEO>;#Trjk&g~4JBCN8ky3FBp>7%SRvv=ITaWBV8DB^3TFE8B z*G6P&brq&}k!ZrU8*U>t#6)chk6LiTMISNfc zs|)?DEZnt%M$><&VW+fAvKf3MicCaLw-+09H&BKTlCpPughU#s8_4F(~wF zJCGxEv|07>O+_*+hCK^w%bi@fyZ{7&WpOPcr9Fn_FCy$ZL@>6vQPVn*EY1m~yZ{PS zxXO()=OPpK*OqSc5q8#{XMp3*#u4d}EssllG&Cu^x_e)H@)^akEu;4g=PWBB32v6} zcfzY{d~Q;Rz);HKI@HT=?^%YCZSWzvF3~0#Csu|L#P1T$uZg^u!}db9za>=Z@P=E4 z#+EyZrd!S97&tXFT&a5NXSj(JD^Q))N=XN;B*)`TcJSe0i8hFGX@xLBSjZe$OkhjG zI>nf3(a;3ILn72EK@vnSERFFDq0k_dL+|+eo!c;G!*r5qgJ6jaM1%N2xTe84LQd3` zWMQ$=LiZsv4bL_fOn71X(EZoV0*duKFSHH zV}n>{m6+nA6~xR|cGfe7xrT4+qC8%S+OeCwMQnxO8$Rke0HK4J)qdrlzD2^vVnA6A zJHD!*Kd~B-QGgK}7X~!Zi((pFJX?0aE%il`m9w>8Bd>Q8tfRYL0N|x^1GXOpe~tP% z|M)rHam5t;Vs-|Oa(C-v>tNObnvq+J!)h37cV+rQ8dBGPl+p^_&P^b90H_@f3yZ^@hytOcG>U^RmO$(1A%x#u-WLv)*l1KR%)v=|%2T~)7jhR0@# zZdxmvlpq-B^v0lYz@H>!jwSmV0m z0m*rnrU9`eZg35<#15ymX_5PcW)GgphV(i+a(Celd_4F479Adxt}Iv?1KZYSxKT}T z>U`)~MWt>FUdZ|OH=ydRC@mDU))HUfOS>2gb`ZQD-pG!HCGb6wCFss`9QY|bmTH;47MwQV^^>4ZZxb0vw7$qx=|iFcYl z@??qtmTS~g<+O8tJE|gP$cB~CZ%>9r2@677>!+$)$n0448yX|Y*Qyma~_(;t4 zoU6}BPfofGob#va#ESF_xtrzK&kJSP#u{BZ*SY;dY!DW)p1mAa@GOMvu9J?_0}Ij$ z5$f=%S>-y~Hrye?^bwgJ^Ze%#Kl20*ww*H#*>>8Bac}Yl?&?k2xOB)QxCj!|18=JE z5Tjn`AZ;eK7r6;&B%EgpOth+4Xh69dO_6Dyt;ZTyDgZ6&MfECUttsqO1qqKwIq0aG zw5RYb5{a_V5{4{i8XNQ($&`EqJhGK)sp6O#Xgb(47OKc|6I$#kZA$^VkQp>P@-xG= z%+z`8Ze(G5bpN7p`G5ql9On1ffG4|EI5C)eY36`I&)m72G%^W3$XNL5tZi5u9LyB{ z=NUNg?W*5mn$od837th>EjM}!eTo_>u71ff&jCz|b00Us&P*S(w zLgbV3pZkO0KSnwI-GeDe{q2oc6uq&Ll()t@Tw*AW? z;e_mLMBxKV<~4qJn_j7NCA>Di* za886Antv+ZIh$?fS21V~e287uUb(Q@iiqnjm|0~|hkrqpptmz4&2!@ub9$q#0kA^) zW@%l69nK^x@1bJut1EmB5HARC7%lT-s>QzU5x0bc^CJK_QSuJU|I+u-FlI8J6^s&} z-jt1Z!`owSkuRz`hKs285RXB?MTMMgYDXkQY9E9kElOP+i(u>sR9XWf4Tdb`9mnkD ztVm*@;^t!4?9rw!!N}8@f#a1jG8G1EdvOPL~xS}MlYE0p0-QnwW z=TV;#$EMFaR4SZavae*gYfM%~iJn1nwbHg>A~HLK ztnoZaROu;`sIy4QRIHG~vdCPlQXdrzeqa0}&s_&PnnVgs%>lidZzG+kbh6tgBpd(# znu^J9I(a1(ypmc{dm3ziEUU&WV!T)I-Q>|&(2{Qx?($Y6zw|z{Z@!Xy-BZ$eDpgjF zMtLfO#bIJhp=648Hh%v?bpd-|ans4e4VBf@ube%qz}D(z41FjN_>mAegOpI4Ln_cy z77__@Qvx60B-=vqguE4P&LpqQ7ZUC&4}yTcDue-fjg@04-raDs{49hKhJmE^M%JC! z-6>xpyU{>nyk`#iOQbo%^zmm@;SR8+VM&WtDLENHW^hkeQ~F+hSUoTx4PHP~;WVJi zR9dIUW?n0Haa;6y!=mPb&(h1GaS>!uI7I%5l-s!6EOY3epfjh^ia!Xs^-3+_GZccD zDT+u*$9>{BIB1IJyi|SD?iCybJRr74=y@QTK>RuCpZWsDlSFHVkrs4n~;1N_96 zx77LcBhhG)n{Gq-zx>bsO>sV`nkD6V(WkJ4KXfaH%fLZ(P9sTPpm|;G#t~9HY}raZ z_|LQ`-ol8+-yNgX-)2c(O2nZEZHgSDBVGL*|^mXJYx zEMyi%DY|ZW5YM8Kpvy}1b$lnfcOK_ZuW8kGP`+o6HRftK;^9qAqVbCl=>a3wLHG{u zou?cgSKnWmrAAj`cZk*~@R|hGLZUuS?A-S5cz(YAdJ$t3xAB-loAdL|gu6-LlM8bn z&lMkvp6QsHHf8G;taB8{B~49LTx*}I9oqU^OnfH1g(8ciJszeE5X~^EqmUA7s}R65 z(y<-?bsC|Mo&ZBk2`1J`f@5rAC^v8x#ce#RF^w<~TJ9wGk|fK5D!%RCcv;79`-`Ha z>}mIPT11gap&@6TgA>S18`iM56hURgJS8GCk)n5T3WYzf{G*-EnIlE-Hk_+uAKP)$ z4!SI13HJ8#TKCqzUTn&lGB9gkX?qJB-sR;4W>WB|UFb-RkM<2K!m_mj%XHsJi^{oY zP0rgV#j>>uOVp!j5OC-Qd0ujy3(ff)lp=deCD!PSJ6W9eo6?X9s2$FOFvvk_Lw#XB zSfK;x7*>qX6T%H2Qp@T(YHv7Y{~jqnt*NJtou4L&$1AmwLY%N|HdS1>^%w^2(Q9lU z*|xV!-l5JW$-&_I%C18BExBaTD4Hz6$#VOU6Qs_^&96)V981ag+S(W9w(S z+uh->qYeZ><$M|c5X&mU)kLnOX@6*i2v8fnU_yBA9FC`Q4Tg|vp+v}uw}#X$B4`c3 zWzer6vZ|y9j`Iv3Tqt7vd~rFG7km>XyqvV=Ir21tJ4?=Ftp{arI*lV(v=(P@Vhtw6 z14@5>zxwuyHjU|s(>gWIJ8FnQJPsW)3Si!Wvh){aPHujPG!)J1|6iSyeucuJ!cj8A zUvX7(HydNozq~_0V-}Cr6Qsh2~dE2d5QV+ z=jU*lJ!Fohsz8Re&JH3i=zcBmQl%z+*ANv5 zT^=3J8YDlAX<&c?=v_4N1)-uy0gU}dn&e+fG_Oa9Av82MXyc&ig#P8e(f>_p~ z8-RZ3+6_kFH~v(6YO-#vM3W9;8U+Zs>3JzHdWL=AETXt)pF^!$MpG+ad4}P7H|&^b z7U6w?S!anW??ZlEQ*{7q$oWG%>n;VqJfyr1kaO>3OBgsHNzRS1O-S|jZu!2AhJEON zy?dAp?J#maCj{@fn~3!GG`vy9Lv(U-Ah;!SKF>LdR#0^8l*jqs@_^ste#*y!4I)@_ zO66WjdPD33JsU4SqrLua17H|^k}cu{iMc0lKa-1SHm}R2FVC`|U|;7}>Wbq0U%z(8 zPmah?pm>NJrD|TF&^Pc4Ew-T1c0czYHet~md!4w*K||3j2Obno7&p%#qYWe+>dxaX z>ddkne55JFHb}pLbexzlISSa|PKCIB2_w{@@fyOl#QS6Mu9-4ENcRFB{)exMbK-}u z=DV@L?WauM^O?TH@=o>BNcV{I=2LbdV{6lloTAAfb#xR*aLCJYmxxir$Tvij>rVi8 z8xy1{1GsvP7W2FDau-kb?igFpfTdJhQom?_XxzVipSS^YV&-Pi8kNg@<@)wzOTodG zY2DZR^v-;BuUPg|JXuZ1$xE%TdIvGQG_Y#5AVd)Xlq+68g3JJJ^Lc_LtpDk4_MpgBd zhAJYVv%C$8x)Pe}$7fJ6!kWo!1Wj~1j6YX>=(6sdd;2P5ZpPGv3l<0%yv5p%Y^Cc6 zojrT0={e8IHyArFq!bkDmsI1P@c<6T`F7rez^9$>!M>+hle>V2+G+Iaae5%tJ zwA#Nk{(1@&HpwgE5)leU^7vmQ41%x%g~Ky#gZ&!kK$E%)P;eZa8;&!boF+*lu^~VV zFTDJuQ(4@-7UY1y!$*Y=5nUhpqtv3AinLR1&t@xusvj|_L~m_&IW`y8n^z&ePzJV_ zw7nfBwHI8`gD4M%PbW!-v89M;mbti%>XoNIl$D1VUC( z>{)Z$>dXv>1TN-{&ugO?F5yR=??W)*154$-k>>dfmI+f*E;$a;ZUPmSIIZ&eoN5-4 zA!7BrAQD7t3495_?X4Qm^%F+M7f$c&<0O`8jaog?j}K(?h*J1JTYLaku-#Bpm7K*I zAHXGVOvm>&9AFC?mWZC$PErJqx^*BUi42{aF_$YQ1h^K=HF4oPpAt@AP+v*5Mao!T z1iFz4z2M6iMG8@ScS#J`5U~k6lU<9Vp56VmbD^SP(}Bs3MLI6ix+Ncxuq?z7yrAIF zDl99MrXiTk$E*$qpnsB!uH!pS_K*5`x2?>P&EfgHz3V-z7{Ok*B<}TP);w$XnuTVA zEFMny65nP8{PlZmc+KXk8=#CIomhmjZLJB4Tzi-l(KNIWYXO|!>*Xc(%bJIxZrWl2{^RzDD)sYb=dhiaMdz z{7VyA$<)iUDjL+y=hZEKDt^LAqK1*5FZFxFIY8LT2ccf;+7H;IQexc~0m8b4uWc`- zYbnlj7FfR67r{}{8{zdiGB3D*+bE5x2a7>RgS&NBDcWQxgjf@m`elK^5C^>KOncqY@c&(t>E!uOK@t-nL> zd`32#=hE|505rxmRyUQb{bh5X%I2SJ)cZ-DbF=$6M>5sFS=NcIFxt)tEcD|(rUU63 z1h(&K7sk+*q#lY?MLiz7tTB@~<<5#_Ja@2LaOOJ#PlfiO7fS+4Wj2`#D|HxYJ zrCnaUhm&InN+ybmKz#wZ;O=tT`A@F#1nGQh{-U4D*)(4=xq;e3JA5#c<65&L7OFRJ zBL*hfjB=Ieg_alZPFTF8t***!z1NbZkka!J@58ZGNUq z0@If1n<7K9O(=HQF6J=p1f>D~H#--u7iau*U2z$nnK*})|qcNO{H49COoF#&a76Mygk`-=c z=b)2#v*fFw9XR8;)~HeVvYH^HB_sC7EC9O_-I~$K7rCjn;Rgpk+z$~O4uJ4HHXfrH zE2lJGUrl)wB=&&8x)!0|y~hI-qFl;)jBRgn;EId?DKvp41>PCI<99yKaxWG_f2o^v zkOjijjFuK*H0f&t_m%%5m`JL|F4U$;UcYO9IejfpO)xufq&Wxgwo#9fhW53kg%V!I z<5bp;siZh36P3I4Kpj}%SM=l8Y_((;EQiQ7gek0Fpt-hWSIh&+%d+H##q}LAWoOz? zMVCAuQiJ{%MCQ=a7`bs7*-emkdS%HTaAZuL;pI*4On!iwrVF5QJNGZu3y)bdSxe*< zo9G!46Qgrfvoe}+R!CpvomWRF(fFS|N1j9eiMlVUf0E~KQdNzWA-v(SR9v4%u&=ux zI~MS2_A|2{DCn8IjFF|HUa!cPO!sCJ74@L{z_923{L4v87Q#1=|gdk#;=i6pUsIQZ1#3mNas8DT+jIW!zH}hVBlT;-=Cah$ zyV1pN11@+^S*PjVu7lrh+dy6*sO{Xheg8TX#qQ#}#pHdsE9OIHof8Kz4LjuI?70?^m1etU{b?DYuPOa}T_Q?=ROj#%p zi`{>yRO98Wk`!PS1?ga1wA3O&JgY@TC0+>ddPpl|8a%T`vr@OU{|lP?i_3r;|t%{=9P6g z8MFXFlAdr8Ywk9Ujk4Qq?ROBp4U7_#IKL%waPka#qKN~z$syLWfg9$ zMiJ)wb;4FUAj1)OG%e>-w6}arjXpT@edX0r=a@u9C{D?GGNIK5bhJ}b2S-9Q z@{wuoI=i?V?2AvpplK;8jw1v8+5Tis#uuIh3Ws?nY$Z9 z5l9aD_I{-La!A-^MFtZ-6=I#;lE&(?(<*SZQwA~gRjcJ0o{buMS6hE`ug#87n6-`+ z{vh)5&UlWFh5I#en_u6uNrpN{R!ZTvN|n-t`R>bsU+t*VBbDW-v;ZM9bpJu3B+#^g zf(!wIiy%~N-R@0*;#6)8=!31KzTO$GfHzoyIKh1@lzhy3EhI|#Z$Y3JEp)K-lb3xy zoCqE9^6j{mm-r;Ji+d*f;BS0P#?a5x&D;IW7k;ke3WSmjmkuc(5q!C`5I!C1Z%&FJHM1#c+TJNTg@e|TuX&KapVuRLV3>2 z9ldG3-Ju9W5gvWKwe1hrb9+zT-1~}SKZU#OyJOd&@Xl0DD~}0&Wb8{dD2mojeAhL4 zziaFj*td->)02FJ;4FiSB{recdjj^veAcmFDWMCJQ1LH$kTg1>K==y_I4{jCwrnOh zSLT=a;9-~@q7R4l=Sd}jntPC$9bhBKFX^{lK{H=<22$F#9U}3_N5QW~j0;3K)CaL- zz=x>&lStN?YGXH4HY8&~dzdOWopAn=b?05LFgKZpUMA_v8;9adh^0eeTV^0|2Sz^tbi0*K41Ns4# z%;hQk`96SG;cQ0;KAln+-b!##WpWnW{LE${ppaIz!sp(%-?nOrZB(2cdG*YTf zNO_9nIDx6SFwm!J5D`#zph-^)+~IW9aNYM~-}~auqf?7FhAOM0`Csy7dfqY{({E@) z?x3ac?|EcP=m)QI6geb8UXZK#%7!gW&2CufV+r@68O5F=)D>(yp7mu9;^VZp;hhGe5@{Qui+57KW!n_ph;8c9>NuBVeJVB!6+6q4Kqto`W zwKPd4@WRPs-j=skN#ljK#&QyR8qFFHP};3}Noz#-)vetO+f}%>_d*0eVIS>!vF~m0 zW}_Xb;9+b$lWBIO&a2EjoIoxhKB3oCK~a@=twBKBsCHjj;+Ng{R%%_Md_L{YDOi0D zZ?`6D8oRW-_uM-b^+PbkyTn5%gZ~_nDI(6!9|G)NdQQH$#dqOP6@h&!{xKg$%B4>U z0&==}e#=i4zGJZ$p&{-kK1-3$lyad_b5Z-H0fb$PEiJfNVFG*Tt*vh^?kCG?uzJT-{gub z;soFI0&Twj*kRXMSF!?t!Tk{6)@87$=lG0I^||;aPR;X?02Z(2Z%PBt&y<~)M+5(D zTwb45T{oQ)Q3Ms{B}m!ExyOoBcASMK?U|5i8(8lSX|2f&$It`{)7NX-s6Z-LK6mT1 z-QQMVBm6Ft8CmFD%J)$X(pr2RNJvlv9=y$4!)~XjSh|)Y0VNDb_yQ6gIsh%0))6|_ zT*1rnSW6CHsr@%C4hCI_&$F1Xs4(Hodg|Fq>VGUuWU7KgMQ~)z3ECQb*__D)zT^uM-^&hfoV_1q-3khK zqZm)P{DflpER4XunAom$nawakfAxe>kcQnlmCB{$m|}iy%e09USDgGO4s*$n5p3N2 zjRyMFcCSP&nn6T@$)#2JLTCS5$XXdywg`vq++FD3Glj=iu(q`NmSe9lKN~^^9 zDz8}|p0HvOQS4C($A1abfUL+99U5r_k0NP56KO z`N6jRD6PgBU6xJp0#Ea4IpBt4sBPrrt9-5Bf^-y&`JH5y1w2V#;(0mT3D>Gcv_F!H2uwad_8GgNJ)Wis7Bk z$Sn1!Nc;ThvELr|2|(px<1BL3z?OA@E7;OXxeu>^X(~pi?$Xn${2H7;II37OqbhJA z@=Ox0XJ^hwj5Yccr+UtYmSwFI)MtLvZYu*LpNF=@1JWyABAyh7BZiA8g=|@`rSU*b zY4-EtJp+=vfR@+RN7{RX>VTW*3CZS2#&7RtkBqgQ)yh#d{flC0Ni9so>8yS)%1Yqp zL*cB93<^Sh!MJJvFc6-VBwmzwcc=l5R-f<bQG|Lnb zXOUn#I+X*9pz5F=!#`xzqxxGBY{1xrw3z1|&e1020X1@77(p8W0yNJz3_V|ZAG%s* zc@#W(7KpBE@Swl~_Ch}4FMlvMo#lYNfBqq>YCJd+=cyR7KJ&GCE^fo25E$Y^1$Z_J{3zu&WC=MgL6w32yxm!91L@ zd@taB>o7_JcHDM6qjb_KH4W@{HT!pG-w{$UX4_H<{U^_BY|P(%Bvd!Qs=BU_E-ct{xRoO z!r4$`ACLBeHS&!IW1o%ee0MW6DNhKAM|(;=Ucf@1>P6opb>G8_{|?rhu+c2!3T!b< zB_bU6Vt*#nu?SL$2elMCX0bM-4$uXZVJ;oK-gv_BGX$7+w0-FAKuUd`WQ#rRFL*{> z>!)YE1nX_EoG{FYe;6TTfxQK(Ku4?h{A<)|CT~%y7p)|g7*>D5g2>oDkf{KKJu>D% zFd`Fa`<1e1vKAI4Be@{MFtT#i%_iA;iqkI^5_eC_I6u}XHQy82rp;4zm5%2PAG}A0 zi5MltC#K`5Q{eY?$p7c)-}}1;XY-4Z^+h_AeOjt{Q>GBzj??&ZgUE!TTTfx{%Ku|U z;9g6BkDwf%+dKEES!9pxzMMD|L?XqOY7NtWM6iaVho}&gh;a;#SrIm8YWtNI!WvR> zS!QYqIYr#=6CJc=5gBh4PS{Q6lQ6uOE;6hi6h9rW)JIQ`=rVnepRPZXGlqZ$9zA@E5KSh+sK9n=7gfWFrXTrs`b&zdZFqV}q3s4+a zoJzC{=v_y6`1b3Co+_e5^t%G8Us$kO>cZ^_mImP*76RYK6J*^$>L>0eWMlK%&ynu1 z4M|g$mdNr&;b908SN3X`6Uw0hi(})psxI{J8U7}XpW++*k}#zZcuQ@exduf_KZ|mm z7AlM4oEc&6w(O~sxJl22f#jfbgiwB>Je9UYj_OdxzmNilho|-7a&Mk^VpP)_#PzVV zTp(SyXW#!q6ff*0ejD7|{j4JTr43cO>SwW+FIk1GTtgWeS`aX?M?;g`6+wU?8$=3B zN|bXdHmC~Ol>RF!tRs$vRjy0lwTDL)wiEjHk$deTT8@Ig1`Z0d0};Uo09kQ$6Vm!% z>;)l}z+lH}VedzogqB=Yl?o(^6VN+|ZlF;`E!Y|e@PIlPp?_hb^%#&Fbs^#1Z&pVg zvrkNPDx@laD&)r@Z4YqLB#O{~=`1;uF!<-nD%!kH>N75?KXV&=m_C}`Q;h|7qaS-0 z=fXwy7J41fV>v=?nC+hfL!irHJ3&eTw|iNtJje2jiQl_rDLVEJAJ%_mPN&-nb6cbo zw}T7yOw1mfUq!_wgEfa2g##_Nh`s29 z4A8;rVYtw8(}T2{HI6k(f2PyVu_>UU6@~C4-h+I=%>l}`q5Uqzkwsbb-dklw0jiQ5 zn~>A6Y?0WEQRU)tW&PCw(DaJ-@1FU17;paiFAMaz!$eue5y~k2fHEW3Qbp|aAT-Q$E^{bAH zUzS<|$+{9jz-(T%$wU05G$w*wNR$PlFm6-Zqj5HW#8$o@u`o6S@*N8qu4)SH~J) z1;NAz9dL&0|7GDjcyrs`-bktCsMMYkkZJ@9ioLUo%PNJeH90&(?T+#rm6HF9EK4W) zIx=W!x|bv&K{lT>hyD;tDWP*6jJX_dYX}L91jYsDZ4Tx5k4O^|0}#bZ&Zcv*eJgq( zp0Iahd+m^^bIDDLj{|K%Oca@>i>{s6t$#zWg1hRSo|2+VlhnQm3zEt`+0iQ*^>?T* zzK`AKVR6aOxS-DsnUu!RiYj6H4rI4a<_RNV!vwhjenueF1Uj16J3Xb%R_*4c-<5XK zP-UOn>ybTcs#Vi9In60m`v{66I3wmnEE@+t$E{o07{Apb^vny=w%0H?969a7 zALTaBMyb|qM2vQwwG@k$WOfv`>>W$(>)R~p6B0YrK zk5}z=w*_X(+zh>Mp{?E$0;;ag_>Jn}*bP9P23MQceOB zF?btv%+KfskbJ>|c$q6`zSa_uq|LRF2xC5($t}g_f-f?`E0@A7A84f?{`dA#Q?D%L z63)U`=rgA=c$tYJQzKouBWx_dn0mY)e_?}mcUS($^cgemX!pQSxC1w1QBiSM#$3E2 zf$s$I^w!odeE4|r#f&fhxX<=6z-~RJOe?L~ZV|F&{e8NhgP7;-f()^5bio(>iz>(JFfmuI6t$fq%lQxkS+uK2Ib#EQrCaNnj?^mE8w0_LEz#Hy)BwO7+>|* z+Ee<`2)~Uo`U&WD`owlzGGIUG->ue}m;PRDwz);u$`VJ0HS82(cGh^rrD;CqK!iyt zlN8^}Tvv%n40L^wqheqo8#SDkXIn5@x1MtMg!HGVX}yZvN4*_1iAm@I5j#V99C{)E zBescz43%-&PBxtb$0uOv6YtJ<{ew@}lwSXlFjx*?UqKas3 zcyA^dRq^Sxq&qaff?Y_6L|mv+LI*h|YOV>2+%0`>a7h-e*o$od0O{O<1E0Uzgi_{H zA1Inj7eR6{(Pp3`WDXT6rAX%8U&FDjVecV`rw5Lgx?bUN`@ZuIp6ljrFCU}n&`wIp zE%QWL*={!P{LI*2xy zNT(rGmt zY?sva{C#`~El@8cIQt0sNb`RgRouFXbRMDg6wVoHD02|Hc##@dIg-Dz_lL${3uV^`wC^(@5(OP%HCo zO#$6Bn+y9;Wzi~;^J z>ccMTeVt_dm~Vq9`lnMoTx>YA40ejbD;fpI=;=RPXv|S9iR}@=M3EEN0$WOQgmEeg zUCnGSdj_w|ghBnXD-$qqDSp(P5}i^m=ZJz6WQG*oMq5fke(n05KoVKb{mpNYq1K{KF4?OI{%UHXtu~kW0+?4> zE$#PB!R@$?ziy1AXz$gdq3rE03GZ(mdwto}{!=Wz(tHOh@|ID|6QAX13AWTmuU9e6 zX5DW~L(T)lKd^eUnm=Fl-3H%y1!rl=nSTCU_Q2NL*{EZz1d&9{2LS+UW^stJ6J}Fh z(@#uLft&b2ZXoL~QDD*h&ld}Ar~iCUH(Q4-ElJZ+kja?MXj5`()urYPD~&B0O_*Q)jT9V!+9wY3)d8K&Am_xbVQ^D2}4i)BZt4Wjy7@ zW;ZuTW#=WUM`wr|P6)7Z{Na%K>9N;Z+q3ef4yjqep+^r~bz)a^Gbf>_83x+$J2iAg6PtRaMS1&3 zL__OzTfS-TG&Uu!moB|&E*+<2*jG|Rrb-&)ua+fQ6ZDpGFo`yrlV>F_laYzrr1I}H z629{61SEU13pzIc+Ukm-mP&SK3><-Aaz{6+>k#$gq`&TvloG9?`Xq1~4)#TlAs?Ou zOr4}`c1E$iGt?VVzXz8OMK!^W~-StGvw}dLJCI=P(GVjJR=)e zT?rjr{o_Fty&*=aO7aylO4LuvI;4h`f(;aNRlM@hkCRt|9j&&+#V(y1qkM;F0}YB) zC0IZIHWXo5rh+FAeHi<5x1Dujuw7YVsx~Nx4 z5N@IQ^x46dFC#G0vJE8mz8Ui4pE zWaHGzkD&osI7#HejU|t??3yyQJ_uvUlnR3{R8>8ZnsAGL4ryMTmZq8_{7tw)#R^6huh?N5S!%#iG!Tb+G4IY4%=R`r*-g!GKjReGL zsRvHHfsP{t7wJGtqMg!OSuT{Fi$>96N>Ou$QJaFs-eRO~)2VHbg*}(`lIAFo!_+qP z$ZTEI7KT!VayIy!gW3{#gFSV2Di=&YgfjSDq`W9Lo+g@Wum3yMvQT@lo> zyUSS%)tHRoN`y^~xm6IDm`#Odb~+8%NFkS^`IlYHo8|_#23^Rk z$T&FL5ZL16=xcg)THZ>msX9T>E0$5Oi}C<$H(kRXQq2BvC6tMT5hQ?*XL!Y~{A6ge zKTot>EUA4Xsm;1W6w~G^3Zv|ErrQE{)U{HydFOLyyGOU+z@n?8cnf@DQgAx_Y*HHKfcD~Te>Fd5Y z`|Q9!iPzQtw={L2zK@bM{*vtgdCA>N%fsaGM$B`&`8~Ar-Xeg;?l-;H@nDV3w^UoR z>j-uOx$HeNf3n5dEp%)JM#%WMs-=h~*SFgb?EMsF3@M951MEp{z6e}V^gK=*mB~Cn zD!w8Js4M*H?d^Dci=9QM(utTp!{Q-1jkEI7EQV&0*(kLg1v5fB8nW*(c?^Th7C7hb zSX9|zEAg46{%R>dGs*5I67)*5Xix~EO+fROb5^?#>Z(f}Pl`e&)3U?;MMvXFs8bw? zu(YM?{=;MVbKjD^RHw>T7@*3-LAHMnFQ$sP@(Q8?fR(wc@$jcuNUon1+?1^Cj)Xol zVhL+AYIpA!6Jkn>_MS==+`GzW-7jE-MpcC_05lPmy-FuEOXRe!{#w*3eW-2a?9wvo z=WdP4eeYvC4_0}%vpwf(k}%-FJ~K(vcE)3$5z{4g*fy@REx z8h^ul{SI^j@v4#V*iX{oc`CX7y|zNu$bXqM8X=AYXU?AUGnW*&_fZl-3idC`RK(n} zCx9Zb+mjtYcw7_s!ECG_N~_zL59w1dkj^B#wMw(>;6HW+qQ+qJvn{vDE5maO4s{74 zL@-zQzZb*iAHVDs!MNPsD#7x2Jl;;;eInM`{RvJOQ~Nxvv3<3CcqQPwbl%*})0d=o z-Xrm-KJ-7xdOft*!6mp)I1stqtQu1LCHOYxqe?(3rppI8U>#oj`JB!i9D#Ed`K12Y z{>finW%viKd*ksS9fvy-Q%kB8@(X0iU375Q&HdL>d6KNcd#LBEY#bhwLX96e*}~m} z=m|yDhU}TW5SG4-HInHG^nx>Q?BnN7UVc2<-&k?Zm;#w=3%L z_JDg*2e8?uWIW{-^Ii!m0h>ZtXMS8ht{btgN&`0AR>loA&-vRUL1P^P1E{y?(fH@W zyCTHi%RWoZYbOIXy7^wq&$8ct12N%GLT(O1TQINSR}cJLJWN8~v6PxZtFS9z;3fw2 z;uH-aXNM8S(Z`*2*LsNOt`mG$?c4)7$)0!Y84~=bAXCO@@avy_+rp!-_;32U*3>)T zp_ax@++JsNvK?mZY+o=pY1)7Tz5MORzS|8wo!dIsKqQq=&by{ZYBPbGQHRh4EZVx( zj^I9@T^g#^=7|;Y4O$OgyS_#kKwI24qxIO?ph2F#x8y$v8Qn5+d{^}@D|h;A{Q7Lm zzMOj5lJtev@EP37e16}ysx(wf39`9%hV)W^Ee4d*0ej^d+8p9%5P*WMAu6EiQ zSJ>wa=F8Bn90d&>oeorb4P@#2?1g4paY3PKSve*@xemD7fmSD3iwsjnr`Z>%!{z&P1YfIEF>y78Vx>BIzCrL3 zIs1ZH>2)vE)Oxx9_6~;^NsK(JAC7qrV;z_EoJEhx%u&?X#{I#G9%~ggyrFHpO=@7_ zuEi%6ur#f0Oi7!wsL}_OmW|>l+Sc2WhbV_MH__GS0OD||fH9&;UMk$)%FB}N@RIJR z0%l>E-zXi1;3hOYl=a11UV164VP!*yy&9UP$3TaF!>{;Hzvy)V-%=7zHwH$@37)_s zOa0Iy&`=tn+E+#<;evo4voVx{d(-hDNNwYSNKvu61VQx8YDO&tscc*@b-A9Pnt3ln zkh;bN0jQv+17rfI4K-LHNMqoFhZRU7L?Isl@Mu=#v*Cgr`iAhU!IjKOy_Mj3I*Bi- zysi3zZ}P@Ke}`6%f1^}_WDTgA)kTh{ z0N22%^Yr4Wv5WAcWt)els=_Hv-Bt2A{y_Su?t1|OtO}=krKmcb(mt68hgjOG6orC~ z)A9LmREh!^skA>zVO2P#8K$&<9ZpGUG%Y95rpHg0xLAO9onINUhc{Hn?Q+M@Tb?>8 z>oIzrKlk20^LsxKUZ*b9DjH*ifm+zFcJ13vzoxvKMbYe_o2qwHCz}_+Eoj#H67Mk# zYfRA`Jkh@2Y(^CgG#B&>9Xez;)kn00S*g7odL?81s6P}S6{>4efAD3CH`bG1mHsFq z{`!`GsNdO*_xWGUDy?O$iI;JQN9#NnyQs!Y}t78K{3Gg(w+=ct|mMUt8#5U$zMY!#4{ZP5AO4(4(C`j}w&~acbvk=ElvF}9d{zQ;$JshT z8+!kY0o-w+N|A0`m}t(N1rDthvKk94VY#h{lnoONu!$JFLU%qNTJk0ion386J`SIH zVmQT` z%ecqe9}cA@5b0f%6q`QM=v|c2QKdjqZ_daHFlFsLj^|qR8Ov+ZK~HvK`PLdS7I^TD z|K9ulalmf1kHcdD>*_#eU`thj5DNouRRP#nmUJkBN1#PTaG=win$!i+Kn`^Wbq1Bv zYar+4cR@IkN}h=XbFYD%nzRTWWbhKIqL_xx6hZFHrY3bk6x}$Sfr1KJ$byV3T@Xkl zDscqD;JjoyJquEkx*+l$Cb+|d??zBGX^}h}*@lKCF}zpxqkV-7DVo$JJ7`+bk0w0*G~sp5 z7*|x&Rm_)o$BS2A{)X4T@hf7Tfx7cZ&V1f2YR%Vu-69^>D%biED!p9hDyP@KMclzk z*ZMKYjq3xHSwrVxT~0osoBq%SzZiJdpJuG)E%SFBtBjAv55D_{*E`ko=!Yn3>4nvT(vj~=JoLV&$85sfJ7|7wenpl_GynOA7*E=Dqf8I#Vu~2wJoyGj|r$>ei{_UjcpG2h`@JiVqGj z@!RvSuGFn@CDJCWdp4m`w^-At<5xRLk6o*7gF1dq7UM=S=ewg2CMx@(-tc^T#(Trl z3&L5bBfa6ZJ-m5uI2CMP(W`3hg91d-bu#~|HJIfntLSYoZB>fDoJBOL_Itqx8&pRW zzj)4(X=5U4G;!7Am51+GQ95eVbn--L*2DEAS61%NB-vL5DAoq)v_GGzw7*n((g}lC zz5*1ZGE{dC)UGe6xd7Qs`=f?mp#mqky993>r=#%U@T9@A4*)6fHHd%L^RsED`_A>G z7g*o@!TRRiqBa^?Dp9us>-z^^_3qF8@Ki*1*z7>{%bvtNP$aNo*@k8#7BkZK+a3)| zwqe2C`4QC)iGY|xd8ET~+lHN$iva>1P~B1zfcu)S4RKnI=xl)ft+qpg=>n%GN8j{G zWwMKL&`KelrhSi-Lwisx@*HomtYYr#TP!Cd&tl8L0~(+2YT#_`kl2>>7paSJZdX#} znApbkF>b`P{H^`|By`o3p|njUZ6O2QNctu@MBHvXkl0inoZ^sR6RGffb4X@X4{0Gi z)|>EmT4T!8-cNtRd%yduq7_nM)m~O{khQT$lBWoha9{{B*jNmKp^^*PCEofTBw7rC z2}09c53&|T<12!?Q()Uj-HA%dhZfh=R|Lu#Su?<#54+x>Ma`HX`ehXFhvp}PBm}fv zo(&fSGZAuT1WuFtKL6^+(nl$1nr?(tU2yK)7pjH)Unq?Dl)vZa_-5!6CHjg62B|2T zr4e#8&}&+(B?S>`MxB77X0VBUAreBUO+K9`?trXcp)dp|S`J)AP?! z1-al64w-_Tjw^8-vtUL=b!fm5z%ly8dzVL^HD7YSAsYyxTl<6c>cmOPV^}0XqlIER zK+LBtiU-txNs_*(OCENhl2b_~BMiw|no<-FHH>IG%rI81Hw4GhZ_XvLFfAIWXFbsH zgyT7iagI!=(P8D8y|ksxJu-ifd zPyy^W0C|Y2h!v?KT@dS#NfPwWRwT|VQbiWQwGG99Ad`O;0EH^D2qNWx*cvOM<)S@w z1%3fXhIo>gL1+Ux;v7XqmL<`)BE2IAjE?UCRcMM`5;PtR*ZI3w60XP3nN)nLldkEl zqsC~oV%<=u3T!ZaZpyA5`u7<8j&rn~o=}ZAaqrOb<=I=5$wiSiR={aSq)N09QChG2 z9Np_xo_VVKyorO)MwE)rl}=b@PNWl78q_#p*$xK}Y5a<~gMs8Zd49o1#d1m$68@r8 z0nS%=_8gz9@RmIJ{pHE$-J;fLEP3+V$&3v(gN^vf4<<5q3q}--`i4ioO`$)=Xx@+o7Wa&H>Eianr+yX2T1V5{(}P z*EZ$J4hH8S8(w5lU>rqIx%2WlX2Xl|>72sF6$i{INlwbIQ4dDVY3-QIHm8l%tW&@= zWNN5tYZ|V4**)7l-DNmhP-{EXE7>eMxbl$93`?3r2VtB_hDkz+WSDxiFfG^O9*AdeKg(CF zyW@k4-4-)zTW9b0&sed(Y6r(vqqZ@O`|8L(;+5W%V5fe?K(=moFNte{ti8zbI^O;?(N z2vO^xcO)&HgI;tE872T|gF5i6gI<8Lh+6xm+*tx+GR_-OlIab-`<7i$w zXPft<}QnFCllo6i~HVf`Xn|aRle^l-iU;(`xznx$a^o!yrHEW5v}_89-)`AF~a)qPYNZW=Q}&~-NxTbv!wujQozsc6ruB#PMdsj6Z7l+Hd`)U!2Njv zrp*>FAmvmzZl~l_su@VP?FGE=SN_*B<{vR^Gu7N<@84lRKvVh$M zgXH``3tEpfVCuUF7LxOBZ=-c$MJfgtL}Qf(?E4NBh|P*r87_$L4QtpjSzaHS%ESeM zWmfzS{0bSZ6WrzM1r$LH4@4Xe2V~Qq_&_hf1v$xE0`?BoX`+_$isA(nN$?s;7*5s) zdP$Wey?`QF$lc4(Nc#$xTmp8N40iYJ0U7vaO%^6X(&^pd3H8pix;=&8{jio?MV#lX zB!Jq=AX}&$fg$%GoBx3ryjXtwFc0FR!b3@WJwJ?&un#XKSL3$R0gEHRLEt&_|0Em% zdj}@0%#Cr8^OkQzS%zWn`+|StkNtO{fVE-D6|l8W;SJtX3!(rrK&BwjZ0o~_HsHff zYLB^@N5B>?cq7(@wpWD;*v}D_N3vS8S!9ZJVXIBMh#5y&7Y@K1X`9T-C+XP1paZFZ z%b~X$M2I}}de!5a5CvQ$ypr9orls%s(L8a&Ws3RQwMjm0DMH2M74DCX4ma0yw zxnapu-FQBeL#se_o!h9Z%1WF+Yad!OfW}@u*dZ(Qh$4Ar$lZ=K_O{b61W%N7mo&1b z!Lz7BR*kW!qMlWz#0X>*BBM-7z!1~OK^vJ$4 zj0Ao$Ny3?c>T`Wn88sBD@htQuwY~&vD%-+$kv3og zYTe|%wmF2p@=d}+LlSg{VMn!fYQw3FPjh5y>2V;zk~uifnQbAA;(WR@dI?wcMg(H^ z`c3$QH_jZ)TYkvh?0)E1{pkN11Y*PP=4clX-*40Y&ww`k`Ys^8-bD~8S*U5&W&7}E zYF`%|EeU6L)A&M$anRY_MC*?wg9l2&+1(ti^j7ZXSf#geH^)o8+1(s3_2vTZc&Rtv zo$*p{zCGim-h6*-Bo3YTQ{REkH;6@JNn2wqsL)?lPI2u}N+WWGm_(|#3>c_gD-TcX=do4s&LMt9&y_MlVQECS!i{ZLo zBH*mhug3EI$>D8&EhlZaMuw}L*|fqu&7ekin?!#(RdMQDay`PxbOjYSm)+8x2>%=Q zd+3wd^pWDzGF{<3l5c^9L}aQB-X$_6G6AWcS5CG4-QWGGKl3wDZCB&w`qZ6hOncs- z+eDG8wG;jPb9`f6utTQ@1fc~EJ4sw+x19O4A_!g~$#8){!IXe~1vQx?g8dEe zX;dlZGP}r{o>PjXr%CB~;9|-z1v0QA>x>6l7?UO@BZ%2eN{_EYHi<*xvbiLBs?WN+L^+c`oWXdk{B~TQN=E+JAjrtn{L#~BY zEw7lB9zgh{h{K9Ikft>&W~FyP3!b*Fqp+;$f7cSqqG?;CkfR`0++s*!vtm|yCs~Ms z0I#=#S?P5r($_Pw((P<$OdMKjKIPcJAgQj0Z`l5tTIo5os-u2v&a#5*Lwgbq!L-i0 zr|sow_M-#azzPXmSW^A9Yv$VnPCzBS>$)SlFl!4UZh^0f+SC?i4hnyLJhc}|Jcc3( zNUgZAI87T1U|-!#jjN813chYf)kU{wIkT?z{jcsiuWqlh!^nU6ZV+BNW4q+x4t;(UF_Gwuu2opl1FW9vUd@&*MJ+6&DYDpyBKvEvUjl`^%-(VRp8iz zJ_A!!Zi~l#2BxT7a@=RgcW2yZsBX`w&yc-8WG`>*GpO+m9%UT!cIe5(rCYCJk;(_PH4*2QFWeZ(Kg9p@fA+ueO?>k2 z{BL{{pZq)j8{fnyJ+~Svczr?UaIsIFf`nY82$q?c1iC|VWQ`sNfQpee**eb9(0oZT~$25v`--jVaY zklEfHrDzhQlKNJ=Aj&o1tww(8i0;0201)457sRMazW&>1P^;dv9+6k%TkV1%?_jeK z1Wy4HcGYUkkzj3cTi>?>#<-cc8f)a3!ttY$^tY!^hYBQzXT6!=pxTc|!q z#tbfqBLWJBb40axr%EplUH1STyOUlY41Z?um4CLpRK6y%q3jC>) z`8ut3R)dQbX_j5^ctW?YxDLQgw}%!V%wSx=ZJ_K2YYWVW^ciPp7|pV;xL>W*8NrT% zj>v*ymR%CVNC6#_C3TWVC0U`(5Z-8k6w{(vfU6{Hv>A|V;uNeA!Zeps{a^n<$1Pj; zg#HGjyz@mr&(y2+y+yQ{8UNsE2`e0*ip{qVAua!KHC(LoxW@m+dPASg=8pg`+K53i za5-Y2ub3l_cGMJNgsKmzt?4zRLEFYFG{@a9a}3V+kRmkTEH>~|qYny(x%$Df9Z^j` zVuEyua_$*zw%GOiv+JhwCS0$@uHOx7_ltl2Q$P2A{LF;@dIFG_T{ zSz{%-gn9%yhgc5Jk~e)&K1{OP93<|%9sp$A9Dt%owk->001!f5MzT0ZOnz(+ol%d4 z1r7|K5*+6hY1hlI?hfq!0=A{=BzKSnbBV6ot_iFW9wg9r*A>hf00f?Jqy&kNgpF+_ zbBPX2;pPDxFwCo!%q2RYK^g25ek3eki34<|wSM@hLWe(m=Dfik7xt974F8#pAzJ9gzvma!mETo21x+cdXlNQc>xA1om$gv zPJM`1dbBTqrqe0brw!=)+M}mu8*B2l!_mQdCBt0vBMXn9Jlq#}w2j_&y*2MP-c)fd z{1+Li0G?SRF3fAnl&vJG884vrdhe?AITy*@!H5^22U;MUAT(VPXQ{KS`LebEGa<8c zNu=ZH3FAFDa4=4O3+KHDSM_^FPIoEr2_QZ^K}eI6atkaD%)v)ArMIWqXoZmWVekYE8DR@E?CaiZ}%6VsY}b@ z=T!UzTM0Vj=j?F4xmd96E_PTj&L0BR`O9`3f48~VF|hVh$@X-ytFV3$sQ2e~+JASk zBTuOBzgu7Is^7nBe!py|{kw}TM%c&x+lyUA=&+NxJ^kR`1(5vN}uYPuy z6y<|UY=M)!lL#R zAZo<=-IbT{Ua2lXDK5l;i4bX3K|aKH-%y>Bvn z;=rbqSuf@FkxiBiM+w>AxPD|N8g;z3LM{|L0JsLvDP3>~RGxxthoy((gV#6qha-m9 zB=6sN3XP}KbB*A+RbbbOp|_7veMjk{LjJjDLEV0k%xyxg_8R5!J3;#;^i zUUlTR@X~w}en?Vn@I&Ckx$s^$UD!6S|8^^usT>#X8?J$G&h`>+5y6#()5{b?b`z7&@C-T! zS-;!h!^Xx3yM5Q}rmtutbg<%SXF#10OdbPqOqwn76k|Sg_IZ(iVv3ROHd2SpIaWUJ zk+X&9tsrB?ykTB3`@Fz85kf_GTN|*mVDjjYO4`^=LR2>uOcXyRdvr*SAw4Whf{U^B z;Il{f41x^$ess9m{hrTq(o<4TI)MGU?Wr33o8|)+2i+a&@(H!(0%$Q8Bx~>si_nayq7pwFG1S%UV+hHTs2@4yKLfrk9 zB?W02$LBzc%160{e(td1TBB6Q|E5qPdpROTmo$N!kgVh1^3qEowUiw1vE*o>T}h)1 z-XdDmCvl6CCD)HovcqTEH|E^IOu2r{`;Pij_ctQ&Q(p6}U;KkXT&1`!FF6{@3swmx zf(8!>1*uPDU@DEW3xXJcCX^Z9t&I$^uaL1~{80pf43ZVWWrEP3HYWsWlwA;`^jOA_ z716F=3(_dNATYz6Q4A>X0idHskZRQhk-I%0DS{P|1XJrBho(_>!45N*q>>0e7R#Q5 zAdRvMf@sAAQ7F`uNC=8iE|Q>SmL)MJ8L7Sc*VG7KOokHk4Uz)uS4e9z6fA2VSUHtg zuS3S8S+lx3Qs1E@0NCwC7hC0PViFmdo{_0vAGR-qX;B2IJE0ubYtg1tgm_j z)>?68e`lmxiuYh2TENk8W1tEn^1bvWENC?r*87NlBtL6iVYZwwH>P;I#2DDex` zx(i~W6b%JI8mk^d4ApuO+_PFhL9o=fwQ1h@B6vaiD15H&|e*T=j+7q)-!TsP+ZML;IsT^;htaJMnk$R#Wq z`pP0&VYF1$K6`y)4U-GwyV0CCG)&G}D)UP^Agc5DK8nQx(8xJ+WO-5wl_VRmzQq0+ z6Y`>BSQ#$0=O}qmKgSb^J#lD|YzpIC)pr3tr9ZWRBzYa9MT(Xj^x;Z=_+^sgk zIaf(aHO`KHLNF^KC5OfHnpcvNwf6JeU`DZAqBUaW=2~jH&?GIt&5OCiOo4pWC+)vl z(h5iVSbZOAeRmFK7)s5Csg6&28f`s0s@aa3i+JzGm23~9Jh<|01XatRwex29;DTkR zL{rk?1qkBsd@sOWzX=mVharbD_hn+LDyo(4$|F?eBl}rC?pw;Jmhdb4j#g7yY4uce zT`_&f)tA5FE20Yfju)~0`Zs>X!*{*?t?!i91F0@PP%&R(K&oF59S&wC+MIWb7BAp# zdOtq=`7eC(R|Vs>7B1TAXcRp+JIe}2`A_VOCeu?b69ByydsQO>K_@MtD<=B2^;Yo$ ze8p0t-0v*O8HW}xzyza2iCl4eXz>C}Fe;Rrl}&?=6~zm1!BU`HAEiMxs-u&pkWr$V zuT^v|pp%0C9(L!PykZ@$qVlNAKiR4z{FahMTwP?uG9Y;q>?}=~44_wq8&a<%6*2kv zq$shquM&i&pjYfk3VK`n(gxiqqMj3UvnI;w0DiuZ(J+Zx0j(fV*HpQk&cBYqwK5*& z4k0v1gycc&z-=Ur6aJK5D&|eUolkFIyPs0y0gE9y>|lsksDk{^XwuQv8*oZW=UXls zQ3bhROne3dzN(;DG1r31#OD)`8g$(Aic~?qA`+k)Ux0GoaHp{%A1D`$iO(2k+T(EH zv*wa9@fkI)_WD${pqTiK*0=WO2;|n+jET=^XX>q)?+)^_Z2D*22J=puUoNk_uNf1c z+09=`PHJC`0H)y~qKO-ooO6+(#XT{3g|CzTMo6N^>e|Z9aJ|Qsxc`--u=|P?d0fMG>c@=gn1~g*!_$rk`9r_$^Z(i(o(x2G z=p<(JX8T=0N0xkBX zk4%W7t{l~dhY}rzqP$Kk@2fPE)1cH)f!_j_E#W0JL>(UF$Rr3WF<`8XBXVStz-JrK zI@K#ykqP1v99np+04`(tKh7~nCQzF^N7M;`>T1t1M<(5RkCYM7UjWv(f)z^bu-jv> zn8XGf8K9h_qAD^uU?Pp^Vt9G|XeXo85MLSc4bfol=v8Eb!D4crK)&_Y%z+~^TN}~a zl&m8YYX-DS#=cn6JJ5RtXGC+^B_ZLGC-8RQ7vXY(k?3lpGC>dcc>=tskQ2mla-Q*gOqwE(%97D(%#ld6 zpgY|yhh_FJo&w9UnXZ76kw;JdSHBp}mg#!u7L0VSAs|T;qBWbt5nICJwRjA~I}>;c z8N-+&9z;y%;Mo*}mi9-)SLMPWQ01~@J91gtWSI7sp1c$>LZI4Tw$uI+;idi2S9cEE zgTVh|Ifa{)TpBj%FqT}JP(8R(yHutK&!sh+Gjd;y*jz=P8{ExUo}T;6wYb~|70rS!{NYSAlNW=(I-?lg`*?q4!gXIvahk~14{al=C6Xewury64$ zZ6cT*%u;K9=o5ORQ3k+@WyDzsic{@n>HP^6Zqa%tvr8c;PPHEz$2LI)8(&xB2Wo%~ z<U+-CFjA(Se2{n zFd@0d4>C=HykU?r*^QFd*Z5ZmJVX+LHNs$0HB%?4hU}Ls^xrq;(GP?PDv-6XXgt@v z{#V83<;7HXI9QPH9)F_R01kSgYdkO0_Ega|&Q+%NvQFsgA!YiHe%&{H-ZcF!=(*r@ zQKRh*KO5R2E7Lvb+2M0R@U+J8###joE7PN<39?p^423C`G;Dp{gJ9Lllr*uT`nJ;C zl2@#iDfzY_2q3cfnpseM0zY)%pbqk5vX-ipsW5^N2DJessa0ngFm))y*3sO;>O)?# zR;Fdx`uZfyEtO=YOv|wK6>0tIYhKacreW(V3Blr*PbyPYP`3wzO8&Azp!5jKuyv`i zCdW^zrr}4j6QlAYwTzld9mL(G{ZT2%HC;qL6OK1u=(PVre`^d1!JkkAe}-X9g-Bw7 zKpC2%%IAAOPivEw%ERpdp?~g2{+spBP6DByoL!F~X{RNMuthDceF>7L?79U>Q+6Hm za<ulE}pjmB&o-{96+4ar?8m1VTJbO#Bp^YPHyRA8hGcX_B&O^` zTZ2J|lYDb^cqz3y#Y&c-YB9~JzOn>Lw-_h^-Ics}w1nd7CjLsKiIL1(kk4_=Xt>$}XbT?kffP;VbS2ln3jZ?W%qc}yB4*t-# zttlIp63!!?*vk?Qhw~G;(G>tp8 zB)L&EAoqKf>i(zhAjxCkE>Y=f0{=RkAIrDqyJIQtp?vEtnf=J1ZmZgpTH0Q6R88{` zd@$^Ad{XIqMO7^6QBIM&yJ)OXZmqIy*87@3~+uBbZOL?4S+cS8U5bX^t+vEf3{ z^_niUVSH*=j&FuOQF@%YL3&nF{!m&}QXN}U#F0OQZw_jQv;%yms_-rtkGpF^=|Q#6 zWq!c<5{h4%Sit;nPQp}z@W_Aa&0D@r#j)1@j^Faf|K-mOZha*;a1H15DrZbla*`Yni)r%1)-bS`uZaG9 zVxd@3nVO;`m1GE_POMGsu_85`uXqv?9j-VvMX8YFbUW#3&-^pgaK0k&Y(|o^!^jzN zW#iD)a7D0?mq_T^tRBz~3=B6bF|l*d^IIBWWa- z?s}56KQ4)JJ)Gf$IWu5d)%v}!IjLV&#np3W9+f#WpzJq|i+^k9%;3xG<}LZEfIc<4 zZ;q1my?Yp_Ac4QfSpw1rWY=?I>=I3Qkwm3Vl9hvFm(ml?u}j*~H8^&OM!c*!I(8{N z<5&}2P?7;18oQJp@(a9uoLvFsw>n7!YJMOEZRbZP-dolL{@chH>?fQ4)p9bIDV8IJe}$x1BK~jydvlgBOsHZezUw(lm}Zn6&>iM1 zRli?GBP9n^w&VKkaK8EeV=NP63Sv_qFLwYh>#+rxkC5~w1|pz3 zpC=&T+Nb#lSZap>doh*?i*?XA@eiX1tK7Z*NCPjaY6iI^kBgvlTU;YIdv*GWb5_rC z^dU7T0@@S`Xj+QYOidcF0{rioPyn8_K z{Bt>4MxQec7~mHu<+Tv>Dq2QGQf%Vkgm4({T#mnUv^?5mT{Rwzc3F!rY3B?;|B(h6 zKzXnu(tPEEi!bSt9qPr>ByfV$+4ieTpyZO^m{Qazim^frS*@9G&mMVM@p%C;vylRR zG3Oc2%5)aP>Hg-%%7XS6

    Tf-Q~;>d^(jk_A~D#k?F79Kq9k^+Lw;##>$Gz7HVCx z7->^%LecVIz9{qdgsURG)`h7< zT)Z5oj--PSzsN=j4N^ynlDDflP92$V5Ik;#^o-co;G=FXr?T|fn#bLq_neu?Eh@P6 zgG+ZZ$otC!l#b8sa9`N1nEAO8MgFf=l%H*(bD(!W`~pjNg+QnHY`eg(|x}L0Q>)3UKMpLJJT8kdxJHud0&eYD(k$Wjmceo#M19o^PZf zgeBnyhF9N>#qd7GhIiI2T2c-3x$`jEcLsOfdc|7AfAzKh!}oqv!buMsUd)e0T^BqX z;P+IXoiITX%$f;008=7){sa1dm9i)V<&Abhj2{wH4t6x-qzOR@WnFNG$xBlD-tT*Z zb|sQELBn$M968*H$@^GQQVk}EfLqaX#Fl98J_IF>Er;HbIRnIOVESoStPHONNzZ3|+7Tsk17>Ezy4Up{WdAkmE{`ccq@$nD&Sj zsSI5Zs#4j`Fs6IO{9pbtRE9 z2A4i7DSABXY`WSKp)I3I&yT3=C7IB!J%sjByuH8T?Yvvm8o;G^dppJ3U-3VE``1lV zU5~{-Iif1w#!y(3BdQME*}~BW!grwH8vP7nAAQBgw2#Tk4UjW5)^JY5p%$|R{s!##=2kFHX4(WU zRM+REFvYt}DMaZzjufJ}2Pu+~uk~36k|}-5$=%iFjL1WnLhWHb*EY^r{xJiaulz^f z`rdDw?9be7_Y$uhk0f=b_v!$|yQaDxWw2&oV<$4sxlEnpf}@nn)Ja8fjB%NPjSG$t zF3(b4>itGGCaElIXi%N=veRE)^l1OW$HZfc3s?aBK*l0BlU;ae_zxFelQn4vyjGP9 zEu@smg>OkJ+n7O`yz>FYZlwcqJE@GvEu!FjGx~r|c7;BW2B38QvYn1!Mx=}-H`Kk(57CVxOKqkCP+ z#>f_mp8FN3DcEkcKiMb{6(n3v;(Zgu=qe)2&{Bwms-4Xs>iI zR;(z|z6+w;QM{S`b}OV8$eq}VlL|p!b?bF1p+7&Unh2D(sEO>cg#Xa{sw{LzGJ0-x z0Pk;oKY%}6=?wT7g}XZfA;5p|3`maq$||fXg&Wj9&5itMOKS##i7rhKUz?fat<}Y zee2L?&mRr6d#Q1vGHC;y+@aT*!M61bA_)`R@`Kd*%AutWYas#}Idwo4x={?~5-ZZ{ z^A%B-VfL0O)Z?!GF?0xB@B|o)W^b`{duXY{+MzKNinE+Zp@OVzb&iu3wHPaYXw9f@ zD@l}o9%><#Z*EAtz@z5>!v4jl{5?O%H}Of&t`1i$OhMqN#rVWd8 z33q0xS=CVyw21an<(X)1(!aJ5OQ^dfbZ(@g2fWwLeOJ8xcZpqI%jUc)+da{1HyXQK zYUlp>3fSJOb2CRvbaH>u$-Gqz%#HvcCB#(16mL01x4t};BLFBX7<)yM z(9TAZWdJ+EGZ@E94WWGfR zhRyo}XM67#Rrd%aNH|YAL8! zh#*JP4sctl+8%oD!CDc;GTDw|8C&!LEh(q~FaVs@qE8w@!0=;#3>26ODQw6)&%Zngih$yup-se6xDIY@aJ3k)Elq&PY`_>&8HON@ zy8jsP{FtfUgPo@l6dmi$f@|1vv6XP>wCg2Sq)GP`;h7NP$22p}vRP5wE|=V6Zmpz` z*-6xsOwdWBo7R}$#x>z>wr8mbCOgd|c!{*95d&K5H78BFSXwX~s>Qt~U!q_3ZF)`? zH~EtM)o32MM*@5&SYS8Ho~HI~WJhFNL0CPl^d_s-gT@JRB<%osPL9r;&@96~I;O58B zqPt*+Ap&B3F-CQxHZ@ihi|&%3*wbVJxR|Z^CP~tw7fGG`Aw!V*oW%^TToU|`Of^w* zCxrj`QdT_3tcQst(mgV2uaeBS2bFN0=mGZ!y~&I%(|P9mgF+YEf=Mxt?&RhoNg~D1 zbAJNsgGip%))wq*&h$Jum_U7yhgap3lPq61)+1G9FoNKbFYw4y2p9rV2nHWs#lK#K z>{SRn>aj08eAF3rA>@R@NP-0OGpXq4@$xW3tk#LeX>9|22t65WWQ!a^g zC@3&_o}jo?XoWu{n8%SGdrSjCRg#a>2@Uz}gC}l?uZii-0AEOYfn*}}Zn_!bRW;zfpF|3&6M-rr<|bsypY(kj%?o zJCk)4joDTr+(-_}cDU&n1hLIDW*G4?KBPm&P{jVbbv^T9i%AnzCjkVi6PE3?zsx9! zr~^Yn&YJnrqmHgT=XCYI{J;ZgddF0ojbXK!DQ9;}M` z$|#Wmx>p8txpZ?U^GyPILNJ+tyMg zlZHDDvNBomw3X?_dBtSW;FhfDOW@F_4#Tr*8=VXqIc01<2v{^)!OGW;`5<`w>R4pb zOqDE@x|?o~)?zX|!&QW`=cZp4>jOJA=-jE;aLa-oa|j3&?q7V$2QOz7UpJp9G2Xm@ zuB)tjH070amqZjv_h}9+lnuMc&gr{?^I6RRMpEd0Q)D<_KJji{LD6{@V~u22LwD-s z3GJADRwS`-bzjL7IzRItyz#St;$uoSK}k@&K46q6;K(J-6})VK#(Vrwv`j8o25+M1 zV=k$E z272=@2vW(Bz5zzbE;-&ez#AV{G#W<`EZt5P8-3275NqNNTfFvP3?>}x0*g0$nd z_00aX()1m>^|W>tf|BvK6+u%;1R4VH2}~R2=Cbb3NPXKoN7`{e^aPQr=By?dCk& z)3BSx>W_RaGG@CMBmRoRw4EPr+oQdh>8ICB&$~s7nZ6q{{rDw=&ZOdfov-(j2-n(*ZpP??6vd%J*)j3-lZSllGEd13kwCp4#-k zJj}G)bFP{n_s5t7jWjY<5r~1%1vvtGq zc?F$UK(>L*a~Y!YT($b&CYqWZQu+S=cW52`4Wq?K7`Rf5Y-wqQ-Z}#!BnA&KU2g~| zvZUvf^OW{J0TRv~0xILhV}BrV&+6Gh7P10l0JDHDo%DkxO_>lNvYikR^or7^TpkBR zkR0dC)C~2$TQ#hKFyj?38}djY2GbrEz-h>ayyJDum76A^IQIMSappJ+^Tz| z5VJwo_+JwMRPm9|#vyNG8}?N3QJD>jt{SzN5lH3uC`Nt)Td2^R5X@=J7@~tzVH?fl z_y`F6PI`D@-mQlyR?P7cQn84im`U92r3jldi8($3nY=d0;5QpmGFGhOqi*N6n#`8X zg{;z;bUkoz*lN^S+Y401N9N8@a$}7=e)P%si1tqHTHjX3cMUk`={MD3zWF0kzsnLJ zJw*Z13MRaZ;!bQS{H61MJLd8A@n4x znU09ca2?ukD$+tRpmswLf8kJ;*6v1$Vq!kVXc*Vfh)<{;6qBR;AMH1Z4J<00s#Cf8NuU#|W z9w3a_VoMIN-2|%{62?Lp0!}qNBh7i{8+3$(^abwMI)JeH?fDKJb|>ZvhavAA@j0W_6fet@RXy@4#9 z)Fwz8(rm8Ca&~@k)-=Y1Ra_jG`Bw4PlMnN5(c-wwzeVRbE`RdZyy3V1!EjtU68pc- z9T(lgTZt$fkuchIK={c5!0{RT$}v>38%;S7$sz~>EH#ZGD9HyCJON$}%ZL@by|H+P zpd=qmko}GVwgTW+N~!tKl6>$LH|Wlh6^+F!3yPjILGX(q?@teB#jgGO$B07nLmvt6 zf*|ay`Al(+l6>$LLEtGq6KV^_%N~N7d@xB+m(j_q@e^J%^RHvchK*{<2Ck-yb-h zIzbSfr`z_93yX+d3Z!s4BF%6)!In@pEVnp!ZPqhr&Ck zdeb3LTXSwF^W8F?f>G?8>Vh((CT~KBM6)*{uXFMyxSftK3~FhAct_`WGw{Cha`5Kx zYrVPwZzR3?a6fQ$QROV&NWIBUQ?NakX874@6nzmFZ{#V4ip{!3i#KvN-pKF!)L-`L z=SS<9_Xi5a3Qqq%cI@DR~}D+8Hk`mfqkS zyk3$@h(q7V&W0%*L=Cuw<=-%0@+p5c@O4`FUfQ9|h8BcCitO<|;{pbgz%psOO=WO9 zY_YhiX;VChWJ{TX^QZF?d6HN3r0swF5C7)-e`ADD`nx@Z^0zY2#IF1jBY^;@L>sI)LSLCdm!Z_&$?p^F;Lnr-CR#dEY8$#j$Pk;-|t*0yY~ zIW{893Z+wHt7~xoiyWPL>VVmuR)!+M9T3ELd+*_f@z z&GBNWE)0AzUCi-TBt9~OH=lnURSDN*(&Q2axhB74#(J62`_30%f#VoV#Z1JaOQ3T% zfzB^{%l`1mPqEJc4p-D_nXFqMtT)7MQtdO0Iv3ezIAL6a)Gbd)s1a zkjensap>A-kkljW<{mW9t%aq-YWsm@s$;g9lHkW{C6lv?LhXpdQuz$y9!vJ<#yyto zGmLmFH}(Y7+^&soVvv=!aIzBfO%tp86M&QDV9Wi@&e@Y zgcl%nWKD+3w1@OwBUPHd-Oqs>v)byf=7jlK3bXUg&#f0`7Z2g;O%LDp;?={Ht$y(; z@rYGlz2oZ3-|!Wn&3gSiZU+_q?f?1TdjD&pYgTVYn^h<|fD@)$wqbL&K@qV7M_tF5 z&p($<&>rON2<>V3S=6k<)|^!UNQ#9&rWqac9A-MFLc{oChCv&KCry%UFG`8+kI5cF zF}=vuTfH?7ojrtz>&b4>{Fo}M5OF>DLR#;n$|{t&9+$i~D5LfeN?Z?`i~9FWT5}r2 zWOI!$viVnIrMjAeT&0DEjCUB;_#_^H4{frIoC3ImV1B@iFDzB(0mep$HW^EmzbTwt znOUlu&6|=|+4ASw^%)gZhN3gwhBj(t@9eMsxVL`CPfX1n-miBYBLOT0PzzL(0hv^? zpn^ax=+_-!mW?3wsS5`Bb-ir{u|EGC^{ESXr!DccK&pa}huR_^n)=iQp}>`S4|rKg z=Vn3bQx^mpMJICXw_Oj>N`2~rrya-7K<5LVBIE*jMe0)*1U&*_A>h_Ultg`+1#5)v z2~&|}NnmW+>m&Nq*F?h(2Qvedf-bc7cZ)uCNw5#|=I{z>-7k(lsZUjfae9U5xS~kQ z2N!UrzlTFw6(eChDB?$B2=`r~Tg%5_^$VREpIoezy&gVlc>u9KbgT(pJw6v;t6fXV z)Y^{iB4415Kq1Q*p#+>U?Z17+2Icf*U+h;q5^E95bhJ4~Nisd!7=dtLdL6fTyXphG z)3ben(Mfz{af>nPxPAX5Rq5w>02Q->#W5DK_b8^P`vNPWb&N;Hb57G9j}3lNdb}}m zWuv~&eyvVYmXrw4kG{tMDt#1>Xk}~Gl#H=UcA&trck3j0`f5$q&59)G$@I4$F`21d zlg^w=q6m$CGn%$+`Fd)Da+& z>3|TZ4k+6Zr}yT|040t0G13+?PbD3{nXiJ89Z2uT59YX;3eu%BJtFNP+i`rspT{Pr z&E&Mp7C)y-yR_45FdhcuY0~jKj-N^A4}t3U%XS=ax8Zo2wEyY6aWlR7G8lhT{eGFJ zlCEDfUj;Kzs&P9UH&dludh=?Dk zKH=2(Kl|VKCO)Y@GlZd4U4I8*r9VIZ_rc}qBI|58qrm}ijedl^yiw2dg3cZ=Fcd*KARo$T*7e8NBf z^?!Ye69lj!j=3ejFi1ZnR&&~kmf9G>90frL)*}z01+(3r!Hi(WHCXZF`Q%uU7R&{a z^JSpMLjyI|99q0&CmB4zG|@1j3AINaBAUwtp}{z0mej_5dSS+M<Az=< z#5dVPkk$Ymmw|yFebOSUnLHEKr53c#1&8I8Pi~t1Z}YWm-lK{3oP_MkE}eIQov2GG77lqTXx=7n{zF?J==>#PsPHgZ0OLuTeMj8`6c&r8f`!8 zJOB1i&tO6JVFhQfAWO9%tc%uj-C!MjSOHj&dqyy+0n~eKSOHj&rQtNMSXuNktYEus z$gY$j#19?A3MN>PV_1Q9)Fo5-{BxB>FT)Bt^vzT@6{%dw6`A#oVFlfG)8p=vteV^Y zGOS>`GUU1a04BV%MUP8y;=&vPPNR>ALMhm`b zOdyP{}P z6GU{!$#HlfSEvp*zgjh^NwOFv$3B8jQgfAdjj10+lbR&1BpDb09Xe{iP5#}gN&VzwUKoHYYbk{ScG-xT?Jg zSyRfZ_UI5q4{e&4Qd&?L-hG_SB;t+IvgKHj`ZHxG`d~fdO(kZiJ~vt&^$x#Cn$2{|?E-zfo$uHFlZJUYSevwIcqK?XYj+1gA~$bc?xz;|uTM z2IfxR!^PY!X;Kbzf9Kn;UVP)l3T-2J+&FUmL0vR|=R011_0o&E|Cna>l{}mM=Rf!x z|Ink!wio5_SH7OMpOfa!Q0Rbsu8{ph2t@`UHBOFyS99lr7?;Xw-S(NoVJpDXAk9&j zwhN|4n!UvAS&-(g2p&2>q+y)kaSJV97NogzK}7V37%2!0Py1tN?pzQ(tU4?e9ke|@ z3kLTtc-Ws1*W$+@6h?tqFp5ZCtX{Wb$WE``B{|th+=0^pLtDkv+E*UjyChn2kR4$l zj?6UI%s;1UdqF>tCJauvq-vGZn)&V=I@DeD5+M^(tvPAGbAYS1JoU)93D++y!gVtm zdpef8L2^HZJu())f;n6&q`6Ys!i$z6N0Jo^yADupj$2!JmAI&3*AZ0v=yu7grdJxJ z(ey1VrPbz)#OLF5zRp-)@~ZZ(O?Vh>w8p&ZCw}5z`jYPo%!vYkJg;hSpl8s#PoN=^ zQd_*|qFd}MXbisGE;tIWX7a3m3`{aVrbAyni zJx8iu7sOmU>i7>uMzrG|A%HWG&7mm&f#|1=wP?p(@N~rd8x2no0EjV-03rEX2sIeKNX&8S zt(Zfo4)pX`5v@C?V=sEELZ}@$2t*J;%ovF($IS{KAwno?FN|}nLnzcoEr?+YMiUq@ zYvjKhW8~;WAkaIlr42Gds9E7ov8BmiZmh~zrz_Q%W~*%f(wl*z|85aaiHsh3x$esI zm~lv!^5z)yIWn?p`B~#b;+ifDSP!&Sr0SLQrl#s!uWtf<7gaNww3F65RB=Kx?S*|4 z=j0FD`XbsY&Lb9-K9N+eL;3C;N+D@WN%>2OiagGNX-2?4(w2Z()Tp-mCou*XUS(Sk3p zd$P&E#Gx75xFEtk9l8bVi1A8?ps2(m2}LLwEdcKY z-jPpILYpFqj&RY(2lRcbK2B@0!t9d!HHLmsd7_ovGI>do53@_MDFQ!8hH+X>D@o?t z10V*uw<)RA1J!xv`_rvhRwI~y<9WoiW_^Q*KH+&{X1YQYlVrX_fQ+DN1Cp2$CUZ!t zqvu?TG($+l&rc#KSC99CB(^ zFdRG-e3CrZ+URN)8itLU0FKPDKl*d(dE(cn+FFecr8%LI7sN=ms0yfY6Lfh~#E3oX zcV%SeE#Jm2%%AH+30*lYO#_Yf<+K78C(#(L5w+n zh7>;v5i|(^ZqrlE*{+;F!^j_H%z&UUdt||!KO3FcSmn>g1~%sW+4#IhmM;8fACbC~ zC<2USAR?=OO_e|Epyi=H`MWWIvz4Tg@in{Km;pIU(I$16>K5r=r**3;uUx~he{rbY zxq5bv#+)M)N}tlnt9LM-|AnKuR4>jOqBN&^alYR^FJH5y)RvT*WT-y!Pzk^5|9j1^ zd)+U7)vF%7`dMal^nK}<#uyFKw1e=fwKLhYM=3@qI+n%w~%RLzRnv~#Mn^p8l&bRqMt;2|uiie41mJbS>wa>N8Ea!G3|^os&kB#6n7A-3|=zh6ZnL zqH5mqLovkv`Rw<7(f3CTq0nnrGzP@3$Fa6eF;p}zn0lK?ok+D{Um>GKMdN}@+=-$Y znzBkoz|J~^e0lF)apq&#Y+V@W39^5964wvy5cVUniY3BKA&mcQyQ z%6A9F59aa&QUykf{DUSnC)J&(GbC%`^GJVB){nYljvNM6sEVNiU>{ye>72Y=9Di7W z%I+MO|wbBbRngiUdBum7sd z6aTbPKd5qwldpcb)AY3lX(`CxPC@?bzW?8S%_k(IE@d{aJ~>;$DD{$<4Q(BGrr_m< zsF%d3o1mo{Spw=aDv41y!BL_lG3q7=+S}`SlEkRH;22Gk7hXkjzX5&IGZbcC0i8E|1~zQ*`#DLc}w(wB7EjvWKsXNqlZL`Bp#nW znW%|Ni%+SE*PAmU0UD2)EC&>Q%S$hXdR}bfn=yOk9WP?0%In|w6=7JlM@C1jxa%+= zCCr&IBUm9bPH1yd%z+QOjYC(+41~MnP9&0GYXfnT zB-NiEe80wgACt5$o+MczGxl3BA#zRd*SFVPP)NQe01=GfIX(h4yXps>74W*fSGybN zgfPD|pb5Hj4ub7pZV%p;?LI#@#y(E>s)itVc+&n|>SeD5Xy7S`cdp#IV^{o0_ zi!^Wf)*=yt@MRx--|zUwk1ur!#p8MU(9n5!_t&h4!>>Z*-Xc~RX}DlCMFM@LO47cv z^q~u4ZVV=CK$`+N&@}SZ-IE|OS0W>TkBycsR-`ud6*1D22}$I}zyQ>OiK$IpFq$H} zL$4o`+SCOjA$h=n9Z^1UXlhdz+#_znk6}M1j6@?S+SDbx1I8|ka`9#W)ymws{y(D}2;}osDMkrK(Ow4C6=>ys)5SdrkVv2YJs?TXSUM zq$r)jnx0-WA}PDB7ohD$ZHkW*8p3*afVRga0f@8Y@ftww>JDJd^ekV1N=ZTI$8~3f ziM9xyWx)>nnn>`1sF;u54g=iUHR&6;Bw_=5nnAkX>z+-I^F?>qvowfY9r|t6l&7Po z=NVIV)`Vl?=m4mzcaS901ATD<%@!;)pHonCwda|hXw0?Y3?@pR_Cn$H_`q`vYH{d! z^_*fvOBozfo>K^qJg4|JKd0PG&nXtHo>Ok05nA8qIUO4jv#>@z7HbqI%;aeV7$_sp z`iYu$yL#|!Z(>b5QwAe*s`>UZLnWoWo0%#)gCYH$-c8GsU|J@}P!}Oc<4-H_mK3L_0y=JzHXpu&^yL;yOz{Gch!Oe^Y-PC$nZz%;Q=PW=I^TEuOq`7 zWH|z zrOKj@jaFYCj8ZQ3Du85Frsy&JfT%piixBGp9FWzyj#2j+kGf zh%vLi43+q^`Xz_r6ZOi!~GQ*=G`Kizb8=# zN*3Gg^)(Mu-`*#atskL?i-s8WnP|C-KU29=THq;8#z2LKUf+Wmb)fZ%#`kBx@$zk- z6pY~-P`7C@#M?-8GcC5;HBquM+gKcqg3+E~KLjy`wM{y($WR0~TlNA1G`2qUQcfw6 zfXsP^YK3IvIMtRVfe89j9swzdHG44O#iQH4%?_kA0=)badLOysc55xFv0}<1U@Q}0 zb+Y2=&=X8aglA40wDn73;s_3)<{YcE7%mUnW0|{KHEFRr(F4WB;tQM(q*{blC;#r6 zR1COgNMg-BE`NLUl;{DJ4@n_PB0GW>{`y+xL=Va;piQ*|O0(vq=~weR!ImRJ$l|H6 z=SA#q$⩔8*y*J|3)?~J{2c`Lbj1}C$|Vm@K<^Ol7PneJoOcg?&FR2AkIb+KSE*> z!Bio&j9N}1xon5kWDOwa5YPcT(T7U^DSf5)b*`9ZcXkixz!7cyo zIS8NmQ-A3*yFekRx8@Fl2bDp6hyETG269kYN9R6S7-g|~7;hb&7bsr`#Cp48j?RnA z0WJqIsrk@VbdKs3tRE5s;3;UkVsmsJ)OP@(x3P4N&V%|6w0m`ctizf7@&xtW28O@6 zJXLfa)OR~|CGxMSqVu4>JM_~R*HGW>cc=QA-;OaaVZ2)PSA-SMq5Lv&@fPPZJzdmy zGu#9kmYI2k)&?=a`kr5Z{J>{@T)`a->(ATIZWde^sYA8D$+QuQy2JW&2maDVH)Z{K zKsr!6g7zG~!{{Ma%=$B0Gq)>2J!Ku1_2+31rUKE(AR}euk_GGRW{{Eg%uB65JCfxf z!~vbbzBL<_{_Mumzm@(R&HqZ;s_1!G7R?kR_skeH{~!sliX;f0rS1%)g%6Enb$?3# z>Ocxu{AFKrJO`ZbP;{^k<$&`oiWb&h4j2JzzDM>53>yhxZ_K{dvtEXS@*he@0UlN?Oy~!O%SL-U8>6p z!C4L>g{(P6ZHW4+LNeH#t3t4Br>GPBZHFB7A!Xov(gY>dgLxUSbO&aw!!zo4B-8KBaOz z!@jT(=$dp1L!aK^7xn~9+@jV6SQ^D{r%~)1KK)a^=PHWCQ?s598!4COIZEm_xXzLlxbm>vUv_|DKL^P)9=U7qHryaTkwnIH?G}68zGT)%e zKn56Q5~w?>$XHPns{a_E;RQi=0EAQ>vk;_-_7wpL1q2l<9^j_5zgpZVmppBV@1*1k zo=kIWaid%kM1ACOgd}jV3bpftPa1QzfL0)$VbE!dORVXJIa0pzN!QG%xf(N9Bj)ZL zFK0R7q3IM$f%v@K^xYJQ?O~nJ>a@{MBDu)p78I93huq=Qc}QL*>{lPTZ-H?ccBt$- ziW_>`II&W1L?>J8VE2A8*G+V>+hMEoR|8+C<&E6dV(j}V;V#MF6ig$yX3Ir^ zq4%-}bgUzKmNZw|ly}vGX|h_Ppqxs$=~YJNB7MstQq|_%P}<&t33Ji2=rfj=Oz^zr zTWiEj@K65W!*BVKV9L{lT1{%x(p5pWdanv$Ho$$TCRNJX93E7fRKYt?XTavP3Nl@O zsyhx%PO$ypwCU|+ncfH6YYf?5GD8Ac5r$}DSA*7%_H zpvzWhn5%Rd@{xc>r(lQaY?ZWrXJ2ws>ro?#!Wjrk;=53INnPd15+OR7UJT6`pSXMe zFTROS`FnnjZ-zcm0?lUb1-5^ITE%3A3O^#Huk`YZ6P_}89Q{xsT=3vmsYA(7*E>|& zLJ%>bZOD3Cr49j^!99&05ZMm((gVx@1cLRGwvuNe_HO|*IN#iZLcmOwIs^iyI=*bj z@ug6ZHmlSjLBOTUL;x%41%`S{-_8fD%+o(lK)rqOFZ~N|`ot)7DfN{D1)NsysPiH4 zjDZxOWvY{_Q?trJa8H&2CX{UrYq0edD{z07Iw&?iB^puo8Ly{aCV*C^J<|`gCzt0eQ29Jmp zRlS)c*oG9#hYF355aeTPhQ<%R?$`{;v(!ppB`J=gOK#UJN|B#$2Ofs{_voANl9-^O zYpzkmYbC|{_<62`(g7aCigN<%`zVynek0MApm570$O(Hqg82raNf`oio?Ce~s$Z1v z&r&UZ$5UBU(cI?mc zR|UE}o>%^AOj6yNi1L+KFnt{#T(VXM=TbsLxY*4m_JyK2zkFry#<@QC(q?ggZ5H!x zQ5&}`<*VB%U%l_E|JqyM6=U3N>GgotD4@*=^*_eEL&!`T8eel9nMv2xCC8DObdFpS1wOia=qx=S znOV$lic!VXs)06+_z6FvvCY@}5AsbzH{r!I-RoRGf@hZhYfh!vq~v(y+6JOatAzwV zm7A2kjybIs=Q{%SWS{E@%uT+{TfQ}7Ouil7_*1{|T~mE-9eS+<769gTBdUwmFuvlp zg(TBfo6Mm-dJS=W!(A{4rH)&hWEU&)2Duc+za$@Cy!;E*I`Lz`MBpcr}Av&ukPyNUe?O1;;hTxJTV<0B}q63Y{q zR7v^NF*+X50#OC*a{^*2>`k!)asZ;UOBoIHPE}Gsw$lMyawh;wtHk;plRJTo37LR6 z|1B`NRZ;^2_4)Ub8rj>Bw0ptSGVOq!y($QDrlb|Y#)O0j=vF;PL7u5~Y+@nX`J9qaX9>-Mc^e?Pp}!55oPI zlbQFJWpDGnIf>hC4@4y(*}U0G$uFm7ss26R3id)ZDVSIz498ipO5v_iMwAr?lG5_D zdiL7Ma^U%h_m(eDm1PItfluJs_i6@JmK{C#+Jz6_NFW~7nmNl3LPTW*WlnlD%Z`FA zGtJE0b2M3#kIz~5!v@Tq^3ze8WelCKQI%x}9WrSWuqJFN?@uLJ-5{7%MGf2 zQNBY5SaQvspWt+2%}TPmMe@q?rlTxC$?E9&9$7mH&T|E1X(OpGxZTYY*dC=2emr(E z{yHCB%Xfa?!ONuQ2^f;5BiVPe=#FfgXORS!cYcHIRF#B`0&yIE1px}Re~m?D)_ zV^L>C=wWE;j8K&iy2Y@ZL3y&4xqR&wQw{QCjVkg7xGi-9X0;4Dtzt>VS46713BJW8 z59_FYw_C?cY?f$IKvoi4>XQkORr;2Y(rRo0iL9xS-zMPd9 zh4kgHmx*l&{mz=r+hWUd&@%c>yd58H~-o_t?2?ss|Af^3W$9 zW1=>cp6!c6hwKl*ASf|{x2ky@#PQRB5LKk3rgSj0293atWO}+WJ%lY%%ppBYu*9oK}ZMspBaCUSIH&K`g{p9vN)SecXM5PwsR;&sCxF?zK z(SC=5u}C77*IrK~JxUVu0+~im0z*!~=se@M&wpv8hQ-H7o;z%%mj+w*(hOIyd>4Od zAV2!j;QQ*O;r2DdMSSV%rGb3)(%`HzW|W&}yfh<~tzPO#(3mOp(iVD9E~s-osI*j& ze=SagH!9oVej?w(H>l)_nCro_5-kUstx5Y+d#3#{W+S&8u#{9)#xRGYuB>o7?Jw}s zbbKUy&Z!Iunl&wlR97Z%VYQ`l&f7u{W@VuMl8%qDA62ePCT*l#mu$xYcRLQ(i2X6u z1G6>K^@Bk5`(-=rzrWb!mXjT3b5y^7Cn_(lzig-dWlTvres{5}a*aE&^J#x>$NpPt zzu45rt3)GuwR{tmt)wea*+NzF7^N(1;#!wN7na(ARI8B3Tw<{fXtDT*(Sudd%&k|p z`V02cIO^Y)hIKl-oOaQ#o?W+V=~w5j%h(a*)x5ty2bn{a!xC-{UD_0WL;y1Hu5i~^ z=Gc*c?X}+GTTzLCm(f;`pC=fP8cTnRWe!&#`%e8r$2$1qeD zp|T(~y$cpraa6#B0USLBh<8@6TcHDrD$WH9sW|&G)dvbe>Mj>NU~VbGB^sYiZ-5&0 zlnWkLpo&pJ_GQ-R$e6_iJ8^2-dQ0-V<=T==8LwKyh zze6(dZx(TQ9&hUHl-}&gpU7QNb62^m8Ou|9`^!49JVo>J z1e<*LEuZzTe^+#@>v^^+GKNDSXl#`QU0;8(N7YYOk)!G-tH@FHlU3xX_Q@(T#x4Mz zQezF3`@??>?1ADvkkye+&8)_=iY!AHkgPGD4qJoMH7gZahAy1eM!Myalj=WDfr*eSwo*FmB9Z4^^~P;{r20|UqO28{a^OgfBd-s z|7#b4>oMyocm`wLIkFgtBQ#%W+Q+`ai%LD_f~W38Z9=7PpW%tLPtJQgcbkGbGsy(0Yu(_JL9r*9O3)MG9Pv>MyPvEp_sgRJwR>FT*) zcU*C<9*5iQq-vZ6smELpTpnyrqu@rsXjxG7m`h?<9D!q$M6a~uBke0{Jf^2z5>d2f z@8HQxBE*^G+9F z*!=;+>Pq45cBRN`?1GhyjGCb%LQZl%yuK7>%1bpiz0}TbMOT^ zFoA7)E7H3tf|_^{K@=(LbEJwYg1ZA7u|e0h!SskmklsZR!~x~d0DH^0ihPdZT@=af zhER91l&vk%W?#(*aZ8X91~Zq@HYqgP%4avSO=RN#?0?ms!uHyS+(anRKU${^5+;AA}5+9xCHq4>*I^UAob2_1>dzN&Dp_V+)yyaUf zz&y`~{^Iw1*_$F2uIA+W_y~X=g&DZ#QO8GnY15QI>L3ZB>{n+8T!R=2uGx1j?#U5@ zQ6$5i{co_QYAZ8n%K>$$1#^6~UvCM8VCVs#u@S7|qa#Let6)3ZTJt>i(}0u!Q<>=P z?Lk^}^N-2#QMUmsM+6a>jj~e!q`~HK(O|uy-c@{5!aW2%k~_)=muJ*ZlZXn7k3e76 z{BWV}a>-&1lu9vQ%h|C^QB(B?RdY7}>#EkCs<3jh$^PYjT3)g~y-ufwo~6XNLIq7> zuHkE3lWN#K+QX**mYMge%02;s?ZrXT-kJ`0*KrWeH=_jD&RZ4j5!h5kd)ZEb3t#87 z$(J_AG3jj=-d9A4C62+hM9g%)y0s_%uGH@6Z$E83cOOcp>=kG`_K9* ztJ_dIpna?r_@vS)udAw;wfa1|(e~Ws*F0Y5qNPT@78zai+;+OWYB|~F=&?zOQQdO7 z$YgS`2Wz}Jfi6-cXe2zK+}9b71m2qpjikJdm3;LkwwesCVueQ1?$NY=^Cq_$9Z?kd zeEA$CG*CaIQ8UgWVN&(!Ysw=XpYcU#5}M%H(u?Ey5%yU?%if#jSW+HK%Ht!W7w*yD z`Nlu@A5B@X7;1^+hqi@>VX7>cwz$F6Azo-*=NvGkf(rci#>>qXEc4!hGcG&1ik)$r z7(*=&M@+mQE2!+W)c`%_2Az%{lM5;_)DpuWTf3bDhKh2p{V}4*{3JoDV?!-5XSgSs z3o0?xa=-2!ybc()YZkE>DIwX@T%kH(h@qCG-L0>s03!T6yBKPT#)DR}x<6&8CCcdS zqanVtuNgxvw}*a_Ci@sM)bh~v?zvbIUlV{TRN463F$b*o+w(n&p_Ul%tX#jg2z)Dn ztoxcV)N;F%lvubzvpgJ)hKyeTU68tMe?g3;+fgFY?dwJ@>$f@D8uWow?A)A{?uE*c zeduSp9($-|KZV7BBcqO4NU8iBh46=;fWit0hoW-C2|iHEzQb zRDjU+Ti^XcDM5Nh(6kW_LjsA;p?jv!@7~|u`}@!T|9!gILpA@m&$srw_x|?Y z&$>NptvyEa67Dd2UZQ7NkZ9+E7&OQr5(IbqJ@rf$6pd6Q_XidyF#>=tgRLYrl1pyE zLy(Bv5&k@}wpx=ZewRe!95yz@ON~zP?N2Eh$t6(FLtMbneL7B1-(dRAvzWAg<-WM)s19bU*Wc5?XkrHVAKJnwS z-zFiNz*9}t2cFImtQ5hz%NP~1Tv8`4Md<03MnEfl%Odn@a{@L>9^Rh*5p2A2_F&rb zebDHqS$2qXT%*lqBbcEVqldJ=H2O?SEnx<=486rF1V6rW)fsv* z8$)SW+@mGYR?y4x0@V*FIp_dt1-I0OEhv-_w*FOtt4$$WP}JA-8p z;6H#;+ulZT27FDl-X@(6l1G+QXW$>BR;#r*EgvWU^=eTxg!-g|`X%2u`-s=7EJIE*bqnmTQXw#<7K9;F767$j)MBNwU8`2*#+TUMOAxEl#YLIRK9ydA<%KovPg(MHYDjDg+IGy*GMif*X;aI zmp#wtD)kBO9JP$UE+fmg3W;p3H~!lHv-tUcW`sU~ng;eKVgU$dE(%aZh^pnL!L8L*6c%Fj}P^sKlj8(zBkmqvb|52 znQWj_p@VWA1r>{t#NqL5sDhXS2(H1_uFsKb-vzZ{B9-|OG0#R& z!mKU{UC+cGN?cHo(_XgtP(`v-%^)<}Ubm?IB3a62kP2%rTrylmvJ|<%Z>`UB)Q3{N z-nIhJe>LyPTg9Q&RK2ZJJc1^*q>J?BxpV*tLH53xekMWdfHjL2t0c?y$>=dA*SySL z)Z^eq*%LjYGdfwTS*_omTAy}{T2FLV>(`^!f9?lWU|U`jTbfm$CUt{~P(wXTiLRKM98h@n!g`-&w#08drufBDckdpbykNl6x@ zSqG>vIeWT8Pcd8!&T+{e%2exdjyZb@Z<;w{HWx#V7lOG}TAe+`_)O{KfD$&N>BW+w z*5kT|C@z_}GE8yFcW0R5QYE(^f#RZCy2+lZ^1Ldauu;cZQ zZ+;mq4BnhH4azH4zzkGWqRiHZt^}0Tz&yZzserl6AO`=XJ;w~paP`Q40sRk$Zk0y{ zW<-ol5XALXumWbdp@=?XNf1jqY{nXx!KG1R3I28nFd3L*R5BVh4boa-Rx-f6)5kL3 zo-!=?aPXNp@vBR%R8b&hTJj##qATq+*@siq4pz8etRidIm6Ibu#QyB=rm zGr#)t|L9Aj&fMB<1{4jnZcV?x(ykwUQ^i<%uWz{y;pUO~r+;<( z&TkCvf)49+?t+c(L@;Sh0s$xq;K!+%6qAmL%r1zkefHi5t$T_8iQN~1eD#Z<7o8#9 zZ^#^iL}nMnJQTK<=Al`H%1lED5}92P$jpw_AP}q;YtkySAn%|HTKgst4AI9^BTBI% z?P3>1YX^={f#7CkMQ0&MWOhOHA|O!{Y=|{lLp6sMWOm8j0t56!arDz-(Fr>gk?1n^{3JLEn{XiZVIoklnaGm_H*b=+d? zFWXTw)plDz@$agd@us5{)Be=rX@8t=?(@y&3&Y(S_!g;6OOnKdZ%SXQd1EHps@9A6wV}C+T zuQ&*TEv@%9oYlSpbAYe03nIl%dOtfDE^LNu2vSWK!RTPPl)e+0V$WCK1*2sWrl`_F z$bwYUF1XtwiX=*P4b*4^sis{J72j-m!GZx*Yk5UttPA47G{JSZyAaiM5kwV*wxGpT zGc1%>6gBOV2UL$k`GU28BJ%bmMNPY8X`jd%g!a0t$^wM1mUiJ@c4mv<=2UH+_85Ml zuHz2n?Cp70w+CenLc?TJ-MW-yG<-!BJ*f6Yr5Eo+wRIb}YSg|8^$jS}BlUYsy4S53 z0cz`#pHoYSS>to>ArZr2X4>ttawAaK5mM4+AW$D@69OQPx`_y`0F1P zDNGHAxZ<_oNCe9FXvU??1N+K6a2Jd~`D)b(@Ad}DG4-|+TbAIPbhbl(6c3c|7l%$* zyFXCAUSf7)9fR%UzvQk2 z$`PcW7${$2>SuNIM^n+Nzq_k%W&fneDJ#dxP&zR@ zdernBD1QwH%BT8v_EL>l0j-K*fbvJy_rLH80Hy}bwS}?cR%xQ<#gLngT zGbhO-deuZr;)sV+t1@rBn+(R_oQqJ$;&JWUW^Rn(B-Di=x1;uAu$_r=Mey3&9S?RfCdB!n z84?rn2bd)t);M*L3m#T$ZI%U{CG%M_Ws(3_1mP2i!a$zLjDGtHdXkT*3xeWJ%}eI? zQj$-x;&gm_c<<7=B=;~eBf#U~Jq*mKHrKkDF-yLW|Chhw=lCW*DJapYY^WA6u)Pvo5?4BLqGBtrsRi-JD+MyPSb`9kR>e5+UAaL5j_KE$; zO}bU(E2B2k@u7SxE*7+Dr95Rjw53e;jLmi~%o_S}nW+1T~&GpN6+8^f&J&0l* zL|E2$o?h=S)|K(HZj2Y%PWuz*VpAWl5{cGd%QxTr)Fz?gox9iq@2%J(*u-yLHnG%Z znM@O!E}Lwt+#NhvWoo?R!TtL$bNE}ylfL7*cR%x`7dRdK-!jaYBIudYF}bqf%P&52 z`O<@zAG~mRPmbhEUxK|;j&u1OlB}I$oZ6MdpR((H?keHva>zOn{QQHYGPo++-N!g=#cSpj+-Ot=d@tfofRv)dCdtI%>~c>|n8f|0O3GMPfV@%Yq-&@3%;G>M_Uf{6Ces!N@|`H1Wv~?tkN(zE6~b z3GNutnnZI_`Dv)fk-$k!3%{A9vOTQ<=As>4;bb8H124T4QkA0USKS0-f1_f@-sLHp zmnY)g`|a<1cS5}WXf zNU#`%7UCQX1m|e=U>rDyLNV5pMcxcTcf-J95Bi}8qrgNw`?XLOGZY0*A*v@q&^;9e zz5?Q@pKoY~&YFY`MYlR9_nJk#%wL^pG>-$~*&{y!Nv}d|^e=qy*S!CqUK?)^qf=OO z29FGF|J5Q3UK|n6X>pivz^;Cv+F>?{Mq5LWi06V}>}kC;+x{zuAOwkcMR15C{$k8t+lNjd;?DIl@Q@Rhba3FMur}<>VavusI}SWE?B1v zJkBX2eE&0l|Cc{CI%n$5a1x^{1;m3-bN%V}xZta31q>?pYy}J|_sR+wQtp)%FsR$< z_xSHKtlP5{Fs$1vD_~H!=evU}BIlaJ^g_nBwb5!TK$%Rm7mmA*WM!p2g1tbEn@of% zEgU|Y+Y3pum;ch}_3JSfROx%ZE>7VRoR@4cluPM5i|Ix~TgV{!yOcg^TM4x67%0(I zm7o$fNG7ZB8A}P8Cf~;((K)sMkDTw5N{h%hU2E&ir{vdS9TQktYs~UyHglhHNE4z; z^vnG1osEdO5`tErr@W@yc{E-u$D|+O8Wvk^#LnXmmSob8dEdb-A2Wa+S*=rfQ>sMI zF(TgeZ~yFDzb`@wS`X?pE2|ED63iuzO=m9x?>=c?!3U+9azXHov`;sB5eU;iSLHL5 z;DV)A5nT>CkkS&>GB3vQJY3_)DA5}fTTy67|_iX<3Wl7Zr!K@v{$vFlz0Xqcc1 z9|4VyB#j7P6ER+vHL|zDs+n>)Da@*R(kWg`3#!^*r`k|Ss^D0(RkUcUUv*bF`S#(Z zMEsI}BJ0LJyi~MvqmX-7?vsgs<9T#*OF7ayS3;a;Hi)emR*2HRgBJoTpgfs6AQ7#s zq^OBX926tirDtOKD6-1 zBLQAp2MRD6-$6lwXWFS!lnkg9AF?eVv^@pE>X3-Dtzn!GUlCpUG$;>E*(pAh45+PW zEsCI`j&x(|QbEapdfnp@0;0=M!l87EIJ9IyeMKdHvrpck>653N4?jod4J3RHLD9{* zN>MVPzM|$VxT01mWkFHmzT|$h*$d|bfqCP^#7S0t|F+9D3A@NK?Dyu2yX2KrbJ2jR zMz2i$P)*wxjStlspIT)e-^8aWGPQJKUH3HbnG>LoMFLdcjdK<61m~QZz^i^omT#2? zDIZ_?AOEFKeQ(rnD>cQv7N>jdU%eL8gPo-bcz%v&OmjosHbEIgyhBSgqu1gqZil)+ z6YyMcxCwMcavN*{&9IcOi9zdHBhWV?p&kHmz&uw%BZza|kwsKTzUBeMLn%8v9yb>H zw68n?>XOF==DZ8rWx?@$4H=IL$t6)ofoek>9c^J{-h0$Xi~_TQ=ZOX{`sIlTXa>mu zL-|x8vTfwT1J94jSC?BWI#9t}uuzvPc<@vAdZbbXk9qW9^&I)U{-HtYGi~p@`KeWU zx#3Ys9JF{;_2vZJm7u7-1tYk7WnRm)<@;cL|CTTOia!y-D7BSZI~FLQygL+F!49j9 z$;yt^iedJzUfGNhx4z!`xx(Z#(+>u*<;VcX?TXosHH`v0cDu!>k^0b;9jh!9HoC_A zl14Dwu{+d!OAl$rgOubP=g2#6TTdPqdy;fuN@AcW<3ZUx&2}vEc%*hi5LeY&@0A^U zM5``cvd4yQ>{$89)!MGl^2%_jm=s|q-BwS}%nYy$ zkz3xACua|qC{?!nP>E9ZwFWDXsFeL22P=<~IW}B*lzlB^n$Sm*-p+x;HyY0*`&tN7 zX^l6C`KUpXh7_nsSiEgPZzua&!=*>e5c%~ClpbNt>}wsD=)k2O6kVz2Jc+ix=57mW zuX<2u0$xdungrDBs_rA}R8+p(0jk=2O1o8{x1>q;nHtwp>2uqqWV3{y8%M6$q(n+U zxuxKLkrSE8rq@q4O%_6WuiJ4yceP*4xdwy10p}VF6YLQQicMR-Rdx)Dee~PD;Sawr zvH+Tr@t~MzivcTa34$~^20*uYWkNv_M4JbMT_DJ@mjXogm1O~3kc@n!3&=g%S&%yf z3Dho#Mko}-ifDw=9-2Vyg8MbUXGVK_kZ!^`OU@hWf-!#p1G3x1JAv8-(Ii;AT%aJK zbJYauBDliuFNa=jI&_07l@Ird0W5UGLN32$2UMy2-EP6YS)d2tBnYZ;Ig_ALAVtCI zYCO9hn3{rOQ)KkC_MW`oNAh02x!iloAKx_4D4T|lUi8@$U79_p>-V5;Ed@Un^z8K; z!PGv``_&)&xxe#SVV&wiUC{FpDSHhe#~ax2m&%9~ywqAHYNytM-eSX2a)RCgIZzr( zAy|VRil!9w&{e#8`E?Yq?Z%pP13hFchxtoBA_e8_L!cMqOjgH+7^H2Y{LrO#A7p4L z0Lwp51$swRb|G#KWZr0F^h%P}X;~93;SpRShOeaAdL>!$mk>&WZA>7Ah2H!^Vx9V$ z+s%UGW=P0dqHEwy18K&;2D=pY`evgvg0iX7ePR03(tuPR-|#i30syJ8>gC>20kM)n zs+*WSkh;BC=1xCovxq5TnW`+I%;;2u);buA7F1twfNrlt$l>G zl&RMAbQ+RV+LWKiIZw3UZH<8l5GDvkn^t{FlDZJgg<;L1=hae%<1wk?8un7plm{Zp?1bJ^<5QY~I%_7US z_|o~%v?GfkD%AmNA}AxGvLNk97u>G*%x^;Qh^DR0#n6r{f(UqY2MONt#?B)w)7OrFklOLDbH>c|lOLMsfO%)j|HS)2u%E?)zDP&GyS%RhVI zai)Y`BPCQwpLh_@CVkqoJYo`FIY~Kf`96#DyFd1S{m8#JT6VVt00`=#hNUf)&+s@{ zpGP(1?=(7`lCl7U3S{&aCo((^7V>3y94zP0@Hkk`pW$(^kT1jIU?E=xkB6a3z6u_P z2LaLI^q+FLlrP_%;ZnYQd$xn6eEI%t@n}HLQQ5G8dIo1iWAhDS!9TPO-X4UwTOBLS zvHgmM%LDT*+76Zn=6m!gLy$r}M1)sSxSQ}*6W0R0x^Z(wg1Y`q#C-Mg`jw2^;{F$r zNOW&TFuinZoO@$GN^k7D?0%hFOinP8=kh?GG}v^XoO=wQ;14=T`eBZ>fuMo#dM*$A zFaOlXeZ>!qGO|!d2WN*aUYDChb?PLhC_z}_f1>*AEAs`5pqC(k;$<@rLy*eU1bb?CsYzWBmWkCWpj4r)RiGku!6RlK zE6-}Zzz7Q}{8&+p9hcmpRh)RX$bc-{V~epr9Is{kG{ukNV*%!QiUod57 z)2j@dNIH;vI=0!Is?qJ)KG$uW>0C3Ni*3be6~4YT`tN-3GatJQjjnV9Pna3`08N^g zTM61?dPHYt2{pP4mhyZMggd>LE56Vkv!G}r98fKL(2NifOJ&w@EWKx(gzx zYZW9LggZs8#){PFE*J$Q;1dj$&XoEQ_}$Ii3Qd^#icvnYKQt>&)~QL!HCg@iO%MGk zw3mF!YLuUwuhUw6`6t!YH&7Kd zdNYP}=eC}Hq+}D{0H#n+KZt#>=#owBzrJoT81;dVHZ4tEhiTPPKp)e$2p2OTqF+%UJW=l zJCu_)Cn?^rOKz7Wox>YO6CfdmL^&ry>IoScCa`%8$ltc7SyQ3Qyau=w8m3R@nQu=a zO(UM)J$iM23TgV#4h6fK-JtsWbWGiSadoDrgw@ZsC%A(y@3SP!jI1y|ruN(m>xIh> z6Q^7CJ~!NxcvrF~xSi~Y#=MbOR@f7%uym~KbgD0I@5^jY%=X0GeD7T`#Y@I$k+J`8 ze9^NPpEzbuV8k^Fe(5=n%{X<&J|-)n1ekH=&{&niD$}2KON(5GVAfarBl;xBp}~7< zbzrt9FmF`GPp~DS2p+d= z09dbB*%PTy0gd`v$-1-(WDBt;Fu$@r%gUa>L2T^o-=p zw`YfrMG~<;l!6LhbJR*G+PKVYwctX0Va+M}re>Qx=xMJm9kC!*)U)O`vz{9E}%rJVn3zLrh%t-Zo-*H+jec&}<3 z-^BiTRep|d`aV%&I1fGt?_a0E`w6~0!Q4HGGjX3z&Y%9}-}krv_84aEGQzaBdB!Y6 zF4+eOeO}NAsf~qJn6*N!pV-^zZq)iN8MBUSEJZ<3V`X9(N}Wo_g^XG7ZLClYgK4#t zF9a*h+8!6u8{x>QxfR0H18GrAg6>dq=#@kx^Pwxug3%@`!g=UKS1Tt6Gbzz5g21|H zlbxgJR)C^>Q1CI2p&7cU-{qKM*)1?eh58ER6tw#L+Hs-$U#u6O^7lOl4ng@uiD86W zT`VyiG}4p8{7Kn5apsck+-cl^*JQYguCcBUbx|6!nJBW$2b2I^80*L%W@8=MLKNYp z6NY(yPI?gxca61mVq?ZIz}PEF_6giJF&naixY zOy4}l{pd%$^>f~G4ymFOsmK_IUcuTF4v5ov+cU-;5F?Si2Z9it97V>sE#@~!NC9|b zMR);S8RO7~j#bEj52T!0)XW$M0}i%lQ7$5Thu=bQ}MVVDrR%5CRH4eJ`pek z`vR3NwP1yDyB!+(@z?;vCOk8WaSBLg!4=%-|G;{9ZM!0l&2f%7&hhJmr1*L7`TEbC(AC3-4WV5S zJ^tKcg8H=djYwTRhFcjeV4~0-XIBqZLAHjG6n(|renVG)t&A|JpgA=4sS6fLA!^ly z43f{0`m_kHB`N`{2<@=j&Lh;PF1Rg&fzY(JZ74#Y!3AS35at=QKac2Bm$dOtIQC{K z{crP03emzPiwm?{E7hTrq{-)!`xUYm;@TiBLqnpH%y$Px57yNKza@Y4kQ~+KMQ^K8 zZHScekMLUb%W*EH%E4K&4_8&_r`7)>H%e+$JAQHg56uBtXI z9!?@aJB&oVfT@P5&hGe`Tk%OC#u!uijEd|OOp8pfuqQdCb;&+%G}o^S=}KVQ%m8|w4l91 z67TRU+CE}O6IBIP$%dG{Zl5O3C710+B3!CrZi14pS2np0y@?_zaXdw#I#Xyj4Be!# z6T(Ia0wh-ZFzT)ZS7U$XsH?CU1ZvdgcA&OSJV^VaXsimGL7?8B+i8ECFY{Q`niuOk zPqPQ;u{wXg@L6kcJMGVAy=jxLa%c_wT5H%qXFG)Un2$u)uN>H#wmjqfIc_F{b{6md zEfXe-VZs8T8(?S6pS{FXwT5lsdl^+pL2t+ug7VyWwJrkZfI4aMgm6D^Q3w*1e8ufz z1<-`Wj@W!>bb~xew&HQQBGhQqQ4}=!&wK%PFb%!Tecz$adMtSP&)hN zbCie31rHm*pa_yqRbPx~4L|mNhhD0JoV!hDVntQ|yIrpq5>;c0x4xW7MstgYf_D+? z3Y%F4>uO&8w;T_%f4T3Lvo^0Q>pk4w@6A9`Aph5AIOp&AxlbnkjS|DO;klpaH}lQ$W&5-ePw2{3tFEe03KQZ)URnhf(Bg& z*dw?f$1>odtrXib!?;2dOtn?x&>8Tob=~!+SMt;+~Hg_HW01^(nC6tCgVpy@zes{oy@UE12Nrqf;o{AMb?T zHP>Oc>H^Fhn(`8HvCd9$7qd#Zz??E@LA)2=~5yG&Ij?Yof=6wz<{uL(Q5=V_zIsXhb1vVgz{mTxzAJuZc0FYV@OG zxPB+je2gyZ#|WF5e}m0ye2lP~KSul*p&@y8F5@xc$46%3JbUsvA`KIH<}hHjIcqY& z#c#?6Y}0Cr{YsaW#Vb!yB$~zKPbRJ;IpTmwJi`M>2ZRZaXDjW`?X;!wq= zK3<;azq=Akw`}6u^#L==*lr&azwzxF@eJQw<6CeR_83CIZ@ZiL9m-PcYUL`2|BeUu z@7JA~VhA{$B!7GE6v6w?yeK80C*&rtPLpBE>RFC{)Yty^AN(c1Ihhss1r~2};wDT3 z#&DmsW=5c)Y!NyEf*;W7TEn~MN0}ffj0k9<;~64G;&5d_d76C1^-{Yo><>GO?8k~? zR+!*%wOYWB!ivx`8iWr)dA>}rWEH?Kl7LY@v^+?D=nYzgQ*j-RXbaU`jF=UEXt+^g z`hWl+%@p#A@(h^Z7Stz+=b=7A#(VD5&!bAyBzHU3$>J?VYjJd@x>!|`0(ZWqJZ?Bk zcHyPkswCybE~g0&g{-;Qqy2CrDG=zAM{=}qbd-FEos=&~qHlh~;qP(qAbumXapIaG zIjRcPX6d7%(lk=76mFc@UfE_+fm`z7^jxi_c{#m@d-E4LB_donJu`e4|HNH}*<14z zo%58c^chW{E^n?wFW6h@l?RIB*WD-f7D8tGD+IahsSo@V2=5|u9fi8B-UZYf()SMm zKKXcDoH)~$Uwr2Br3WuRc;WJ%T!HRzpFIQpW8N3-6<@*1rhp#rW{cBqQR_F~)-B?3 zU(d}JzvA7W{6Bw2%reoat;Zfb6A~Q{QG3|tc5;gDxLiY>LJjTcyg|9-#1f5!vLYJK ztK*2yOl=k>r+`4^)2Z2LE06Y2^@>CcUvamF=95g-p_@=6)D*$xlHptSLLN@haf%2o z27;&{Z7+sKg0Dz-83aZq$yS|K{$eB)$<508Fr#9m{UIgTS|k^!QsJ6=IJxZ)Bs^G` zgo~@~CD^RH%_LSSx)Q)eJ8vr-wMFiXs(z}&6ihk6dMJ8!v_-$!0{5#}Z0znF?KtEm z;<>8RErV5rAEF6F=56QA@n>daLeM=7@V%y(d_uJR*al_@6n(4J70;chib zy>htvT{)t?zt$tmw+e|K*DwFd<;Q>I8w%e+DVOeX837ZXsh7%a;2;U21uvRl>Vh%f z6m#Dc0z!~rS_Bc)GULBngikOnf=Gb@s9^hJ=B9@0Vnu?f3r1-SBEAZJAxJQFLC~iG zjaU&aZY(Mtg7mmt5Og@sq@v)ebJYY>7u>^Bm!KZ}O^_4___3mZsY@cCs?GSYwl(Zc zlJvM-60R-FZSdsca@y;zYU@$>Z<3hz(vi$}2Q$_=mI{P$l=5gmGaWtO9uVpgbEG8x z)<5Pb>?-2jd+02Jd!@#naY+02vV8JjBwj7Igj{SK24E{T_`=|ilN_9dde@zlayx?^pQ^MDse*WMqTVc z8bL@LUNgEhs_IPlFg@oN2p4b&59&$4sq8$J=|R6h64`3NptsxNQ3OuQQ+csRFDi*v z@bzxrZ)wcu=ZQL09VLkgK$gvo^OVr3OQIvD9vy~SGa~n`pPTDrF2EL|8H9HNGk@D_ zm+-2uX;b3x{2sAt^G1pSaLMhFqwcsSnq#%sE{yk2007*m*-pF1pK?d zXZ)DnGwkc{89(Ot43hqy@nbj0@9!CQyq)*#+0zCPVylQ3rMR$gPjXxu-e``?uMs$| zs4s}dR)Ks7v^(TLFayWDp-!D)V7v zoG)0yiYbuI?Kr-Ks$+ArkYIGVoXzTDQ{_cAOJ{Nu%@GQc2EUB7In1MV(1 zIgr0uUu>#C{zjrMasINM_CH)~@)*0#_F_|A|E9Tq*-rc8eDnR^>@PMI^=Tu~)^vPs zr~Uan#ikDI@R-qE{k44a%};G9Z4h(kF1C<_CR)$%P(9$c)LWumBm{|uMG#hv*f$`}bSUFhm^`UzC-BdBYCYrIag9#758xAuK2f6ayL3PqV zkSj+4A0;5AzDHYBtN&^_s8oid_SxDz7Pr!9qv;--P6$c=-MR?S&a;2;{84{|YKmhs zMMlqea$IUoMO|Ym%DRrdju_Q{@H2nmecM1^t(Wt-lvmGT1TLB#!CK!UBcq97`^pH0 zE(nK>osS_1jHBlm#dAt9bV0O^*0|IbJ~5-+S&(+R3&Q!f-1$}~I%GkjuL~a0rw|$f zhhDCQD4hj~zAlLRK8EC>N|3N<3lV9ux*+^i#@Q*zUQYEn5`A3|Js&{;08%1&E|H;p zXhC0>L>-gyD$%&A{e7y^M%MlSeZd3(9=AV`#HD;q)S~d_U<^6)9Mx$~^KIeTNwLwL9 z9jMBYV+6u!b1~|;>O{1v!0~FWflM^2GR~|!x6S;T(!2!`<~A0cf@x1i^A@z7Y6Vj) z4QS}ipD;^Y6P0mj;-_t~Dv^Ov1_zaRl*9GJPZx~lEeOlD(atKaAKhE#YZ~8t_Iu)5X(j|nO z+pL_&F&6!r5KaP-j&Qf#W~B;T4CE&5ZHm}MFQ18Vgtn^g^MBj#`j{^a8&Fv{ZUY(z zhhziR2q5CQ1Eh$VVPAQXiSrG2B!P~Qa3J<(!|b0miOifA0FY*3WxNgK~BC}B%q5iqMs%!M*2vLG?cSAYjDvAq495A zHzgE%%*DB}#WfN=!0nVQjXs?)7xC+QS8tk&C=S`(9=CHU)Y_|j1*rYmU;4efkNogL z15q>Cq1HV*0H`vyw`=gw1jgK+R^q)2TBn>P`u-qq)Cdx4UGMdM`=kydbxx0ngtN)l?zny5^`4@Ake40p;7C)sONqu+IdxB}q%Wscy6 zmbfA7T@G1lHtRTrp3aq3klXL=Uw~v&LdT{RRSB4|IdTbHTl0n@vno|SGKqm*DRQbD zPCY31Db+1xi+n&nUtvJE+yF56hl|{r+&GKka;kfU)H~LXE+3kYja0F0r{nWgPn%*o zxjp~pr%(b{-ddD_;nzilv_~wOP5iWQ&(9A4+Y3CRGME+2*7BUtoEIe$T^T^gB8gySxa$Vjy>f-TFjK%bw@rH@2DL?&Q2p|yQmsrHgB&=J8#mT(x$gw*R(K>{`L*S5gj z1t*t&B-qhL)ek}5Cl^HZy|U_efK?;*=)tfe@z({nD09{%E5Jn&D^?`_x}f$3fjfh4 zgI*R^RwVuwK|qW6XFCK76;@+K;;#!Hk=7MH^bUMug}@Lb{<T+65mC ztrTunDbm)Lg)Mj$uu$-iVCerfUtgm4#EblR05Jz04&Eeba6I<&n>I$`Msl22HI!r_Sstv`Vh&Nq89K;g4M zRX75%oc=uS$*`Y3AoqOd#2(UfL1}IPe|rt!r`@6!*k|WN+N|_=hGY;=cTPU}3*YwB ze=D35^%UJX@z~r^N3KqK|=BqvO8_W zxQYs*qoX)b$1=>-4hD6bgMw(1!g?L7xFKDt4oOxq!5wo`6zt($<&fa^z|@q48PTn| zSy7x5zw8~_8ROUt#&#K66-x^7O0vTkx@4VWeU??&7MKZQEZw&~`(!qf`R<_hN}G(a z>rH!i#5wWv+@hAr&J%`FyJilnA9fr9h@;~)EioBCyBM0jCP))n{e=26DTa&y?<5mK z^{>|in!n@KNvKV7OG0f0vm!=IvU^PgUCPO>w)K3tTyAdguYQYEovdVACM#PHtsRSP zJTJydpP>@}#&uJw&aDjp3zw&vX{9r&29z~ClGwN3N9_@3ji>WTpwB=jPNSEj9KmWUa?ul@Dv>&0nJl35MoygH3 zkodM=;(vI_b6;KJMiE3ud40zbC{hQ-z5=uo)m;$HH3|O!1H?hp2fJ$<8{wZ9KR-uxnnF{t8gp;M>~7mTYu z3x?v|SDHY){u8<(Di%C;v6UV-W^qwPN`!BfafSi4?36T=(<7alEH95saw52$tp1kM z53i}J+!KwGkH?24?cd-covNyGPjDdb-@%1tuH2(jRaNeZ)06inkfh_Y7B6keuM;pM z*)7e#$Y5{zTGBB~&wY7zW&%bqpW%=7xezovIJf8E81jtVq87$y2j_YmoX_~w#TWeJ zQ3pp&eQ|I))eG5##;eb$J$!=eb8wuO0tcscM;Zr*3~CmVgQqAuGc4`e!9fYiGzVv( zu%vQuz+Vxa3B%p;A93i~!C7+N0NoZek!(H1%E1BE5)>Da?BQn6`m{rk`N#GS;tFAk z?|_4YgZg=*`lgl4{wL>ss?&tRmCku)2M0}-VWG&;SJqxLU3%mnl?>Y%~>{xni1FK3dw4h$oypYCd?dnhr-ZdcdC9_cZZTJ`c;_+)XprZ zI#7la6rXsEVRr~-M()s{G?HX?bP_sEt7Vu4x7%v@QE4YQ-<*LW?WD4Ez$2;bGTBas zNo}_1&r|FaY{D0K9l1jv^ZS1Hx4$KHfRY?N$mQ(i1A5#b;zAnu!ANcPm1Tfj5G|U> zKSRz4Q-SFYW%9Dvx5h|j%F1ZqIvF4fiaX@gWgVae%M-(ZHG~s~7I(-5_sHZ6#DI%! zlhH#^+#x?Sm~p^i60BD?4lxAf*)Tz4WRhRKl=|vmO)EFCT=in11O<@lgdLa#MF+Sf zzP2zE0zM2gRgz-!`sHA57=ChS)74VWvXZ0@@HL@#(cOmEgZ{}Hkc#WkPWpa9phe(E zha@T%71>>K6k8Rbnxa9$p@6|URh8k-@YAZROEHZu>g{fFvztJ;_@vUeQaI@0r1r1W zvemh$dBkDkw@QXS;VIwdv?=dw!BcX*(I@llVO93rjyMi<19QrIGAnG_@~vOO@X{au zji2sK%0^ zG?nv;yaO(X+82NX1u?HyP32gT_r?Vg0WxMb=J;9aU8-lP9Ehhbh#@apiVWJ01ljYU ziKi}zaJXjF+2~9IR&i)*T^B?qg+Vpx$lCdN1W$`3CO`uF*pe0XhRtP*OH2wE)p-d4(YXon1Rn$OgyD2P;@N|IF5=D6 ztlNubO}j;{)|jJN*Avb9!6*KuC%!v|3u`38J(&_PDOq@Eqc#juMYGDhc1*l&6T&%M zQi33G7dkJjjMzkacOE@rB1fhaE=a^$9=$T2&Un5F20bo?8(K=81 ztLfh@-xQH-$%i>!WCp%YN3bs4X2ff%R~3;*L~QFsGPyZ$v&nPlmczqM@`fMBiyQ@k zX#fgZih`(@mXa@x(nIou(M8GluNQZoQopK_udLjbv4-T(tuoedzBvd2GyAM$PaOl% zA15>z%<5Z=fy^50_B5CQ(uk2UYp`eD`P_vw$x8FbM<$%T=Xd|1@B7IZ(519)*I-8S zMz()xJ*qJPQyn<_%3^UYXmzlNob6HgA-+)vQiHi*Db_teLA8R^U@jOPZFVaoJH(3A zU@jOPZ9q{_w=4vy!CdgT-hkH4h!lF?DA^$d={ve$Y8Ssi>udVAAxN}x!F_3c!#qYK zC_v_t(fbCy9@~=?-_a$b`3)NNbR-#zbIIs_gT7_78uDq@)iC=5XF-DjuvwuDxRK1a zC)(eDozY&pFrWSCD6G*Y6Yzz*l|cCh?U1ZOxPYCM55}vvMeqmi|Wi*e^ z?9yuetV~h;rNc-8rk%ppZ0jJN%n8u|q1{R{by@FKs`Nlc0~PsxC4_}6m~9VTrVHbTtG80iW&J%r!$I324oEB-anKN- zV!aCl;diyusw&XJv>k~YVt|m9^!iQI4e87%YxJV4eL_m-!VUeV@2&id{Nb`Co7j@GRmjn+s-3 z_*Ge15q@p#eevF38=y5?{IkXX;CVz7p_$5@82yN7Rl$JQL9d^0`c2>ep*X zj435ZrG*6gGiZAT`^uu5E{N%pN@wFyBgPZxyFTtFR@547%_ zBbBEMqM@UfzS7rF+agxfG>z8Gz-oRjL@;NWoScG(=eUtgaKCy4Z7D`QUu3{(&g* zs&c3@R5Hi#=+P&w&9^vh%DY-(cnEwWqIo`z#$J~b$a=CB&#b0zPfbs|MXi4}(`c@T zM)U8#{qkQMKxUn2Mf3uU~$Re0xqiQ zA~{&VRTYJ8sPUnu(XXr9SU8iMO7p(mhLzvBd~8&qQ{k!li~yo;ThUdXiK}1Kid_FK zr|Ilpy8g3%(O+dH-*L!>>uLLk&Hy)2*e>LM8!g^!QYuwfbrW+eqR!)JSrk27l--nL zp|!|aRn&;LRm~n)omCBt)l^sVjQI?O7S*o75lVoOaF$X=-Vm*`(*9_kaZX}U^3`!V zTC?s--TK1Y6Mt=e;cdNhRsPg);2aDqVNk0%uZEp1+`l^i-cM5dNFkspG17l?>r+HJ5?Wph=G#}~n&rE5IBbZf zki?)7CY$0mj-sjJQXhk?pjI0H+rdBC{W2S)foKn>7N|Oq`rko7H6U$cQ2g zZ}~zYqSxG0`HWZgFJ0%k0g!S?N2zi`jbQ%%luYbGiDc;Y;1WEbIfRZn#evmPfnHon^!r#zf4x;6TrmE~K7#$gxir0)P3A6>t z9J+d@ZZHS8%MPt4=cvbv>c9}+oMD;P$iRGm{`CQTQuW81c! zOl*5%+qP}nb|$uM+qSIR`eQO5xGn0~j>5O7oHI!OL+_utCY7BH7oYqyFSZUBSUtAEl ziGPoziHl}Xy0=&acjoat@TprW{p2NBHIXrD*Qw|*W#L9Tk=-lWE!fRL3L$eIcD&Qk z$Y088OJ8M`#O)BPvC3J>T1#H#<^9;$djlm@G^@9Y>o6r0@=b#IRdVwSr%-W$WS?*S z1zWk-rSi&R%e>?^NV{p*^;H&xUucjRJ7bt&9o(oM44kSdzMy8Hu4Lb6jTjwT@GYu5 zavojLzTh;pj@} z1U0Jr!AeKuU4K0Z#e9kI?_oeWiJv3Wcz^1u^zR>HLm*~M!)(m+o6+5!>EQY~s+w7{ z%ymu749f5{r<9ahq}V^h8`tNjQAd75hc^yGWV2>TSS8kUEGn(7VC>9&pS%mdQ?cz| z)VZYv`Yw6NpRTQq>_BQG__CYf#UBBrl*~ zde!@%t54XA;RZb@TOzuxn^$a}axiWp75t-g)5%f}Iw`ZgGtjW{KLcM&%l={Z*RZdFAU*CnO!u|JS{zPL}RA@koY8h8N)&7ljwcV+W*$KS+1Q$7Pm{GdItpEzXVpolPkd$km z1Pd&Fc?$p~ujJO*Z}G@>GAn|4qR_riaUS>6Vi~v{@{Nz>+l5L`*138i`6X;Nr8bsu z%1P8^;7QM{Lkw5t$r@0jgFY+C)nahaXO~)Dttsa2>_JOfkmf1L#w_lb@77qT_2|^h zUnpwUH=WK~5#{(;W*9TP>wF8N@E|Rjqc=>+^B> zU!L3B9nrtvqs;&Dw~q0p*s9`ToX(+p6UuAnRkF6Jm5RU5rwg1<++oYm+pAj~&#qxh z0cGZ=lfH9>f|}*3@)2Sz0`tM1qRC0C*(2DZR^(_gaJmT+D{nbR2ApX^%EFp>gtz&D zpTe;v`GVI-b<+lMvQ13yV_%Tzlqc#S>5@SiX&~>uDZoH_;oL-C$l`UTZm7bT_X+a2 z3%!Gj*TJs7OUViIL^^>f(8I67?Fyzv-cg{op?lGtDZcne7-AtSN6OQqjdDC#M#<9i z>4cIicOJ%u-QrnZugC2S2I~uDC}j*SCEWx7QT0SI{T_X-QFA=-`4uA6l9!r^989ho zH=GC)G9W3GU;0QqO|YCDR1Z)b39ava2mg%4`??EexIH~HIXq74#6 zj2$!F4#in2g<4E>7ZQbN?f|Q{ zW?pg@@d#u}->y}M?Xi(fQ$Kzommroc9EI`+x1s|C)2>)T!44G7`)`{q6GnLFNgzqKPP+d&V3vntT+?yU`_3QS@u!FcD@fJ zKM6|U)8y+uOFOeTVqrwc)9NvArDJTBGMBvI$kX7)z0^)HwtoYmP64U~m-y1WnJ7^v zOu!PXrQ&JC510%pV2K&Ug7DZm4l&(mB~2X%G&`6}~^yj{Z-+PqO2w7Uq1tSGX zCSHDw^9ZTdhvy0_=IlcIb=4O7e4=X!=YP9ws?x(HQFR^1zRvlME`+K0-x|L;dM`di zsd+`6i)gP+!8jH6u)jxh&a+T;pV2ClMyRk8BKDb#6^YuRyhcw$wqq%5uueO+KTF#D z?=(pBD+T0X7gIwUK={O5p}hmw!bE~Bkc`*!!t~bMqE`i441_IAfHUk1ezj;}p|aL@ zH!=xo_7^r7$@}No81}yi5%V$oSFIQb*_G47or7^J9~;tQbAgiR2V3lM+XJ(!@HUZ9d?bgo*a>Frb(PoyD)aS69kSi*MXAeKia)cN_R7e>m z7LPtJ-mQ9$OU5N!tx@Ty#y-8Ovb=h)!3SGUQ!)A89xp|HJc1*VQ$bfYQ!`o*Cxmq2 z`hb*NR@PAR0U-tbODjTe%`JkQF5c5enI9NwpYi0R%g`fecW&-9{7&AUE>)8 zNdX2le7yd2`UHM!i?*%ww9VW1XvVA#a6lw};R@CaC*3fq z#Mb@Ly3r7WZU#aQ*CY8-u2^VFA?+bnwWE)AWI=9aOe~Ul8Kc0};m!AzuPlrA0-}xA^2OD3BAyN!dwas-nHKP-^^VLWe((7w^JjTdwmA zd5O6?WFnYagIbvxKD0AoE?-Tb+b`E(Ri9F&(B+?0B@=UCA^4Ae;F!taZ-N~}oKhKFKp7!yR?LeU4U-to+ku>T z=gPr2;oK$k@r8BJEJ-F%@P$|l_H*Oo;DlqKNO zz`bxOMR=f8JU7CIX`mfJw7-;Ux08Z1ENZD%gu|r9*OQF162(Njq<;~)gk|`18`?oO z4%!MD@pZGjl9{IhwR(ELd4gmv@Vfra#_x1@4+B4l}W&jzbKL1c1Cx@8$T2bHGAOg&< z#3$Y@8Wg)%Fo`|_X@-$8;ne!@Oq?dA&38|2BYyL%Qsli8v13-z7iD|l&+o=d#fQg1 zqfVDTiGr)=26Pw3{2tsg=ZhwKf)`g`Sor0Ck=!=(+7_w9(?mTG5SFj7S0NKrt;l{A z09*b{MIx&=kN6-f{y#$ox_sCvf8Usv*Y2sapUpEsaV0_Nr5RUgw1lF@p3;u4%HjOy z7zY9%$gXeJ(X9*BO#rvN?=(nhr(=?8)l7`q1aG_N7ApzXq^nieOSG$oEjp-FN@?3l zZML8I4@eXt&csTYz#bf2y<6dH3OG-Y2(~l{AM;RrJ434^)kQQLb4q#<|B=X&Lt1J5 zw2q6!en~!(@RvO z#L(`Yz822lsI|UThIjOb=bx#Eiau9o($@9@?-)Z`*E@@{&g4=8g_1@Iae6#sPHol{ z_ZwEj4K0WMU12`+OdqArx)96hR_{*@>*}!sHsD|Y#H6V;gRZ~1oKAB@CD|zu;Rm@lD zD^9Q)&{CuhE`B!#H5VLz;M#9sZAX@K~(LuT=L@k zdpA@VX=*hQG>~n?f!e8IW^WS!xn5?|q=5(0V32QF{>+R}v_Y=ZF|w~b+h=K{0m$Ww zv-x*FX1)y-o0_&z!59D1Z`S)RFbd+;BTX&sf%Zg!{e`7fu8Ym=)lqJ@0Vt6iD7u!7 zGR}2fx>n$)6q44iV92WOIAIH#%rn%OQj+tIGXOlqK%be*H??EfpE&e>vl#HRU^rSv z^%~f<$jITL-ae&sn12hSY(n_u?KkD^N8|Wb@~`y+V^`lBkpi1Ur@ty!dt*UA`*H_7 zzFZ=l7-)dL@zMw?qyQ-C`K5F&UI&@-&FDc~Az=(Gk=h*6sk(h3XwqW6W<}D^q8ZEx zRZ7c>sKRh$J22-|9XaHH*bM#}w?cd}D5<1Pq!^m==#K{SFS|{2OP{x>49MA1fEyt? z7d>=Lm3C>C5f_tmEG(94M(lCLqI9(m_Zkcuy{M$aQ_%AF0z3t@dsv4Mfv+(r$$yOO zaP(@CWL9%(Ks2s$M1p~GL}r>lDRYAD807+MfH;R2+x}Y7g}M~Vi!O>S3DUr+Cj)a| zd&ddNA6F?-w@Gy9;=cFPzw|*$^LBrF4y0A2U&g6yOB2&AFEpFfs;A*fPlG;I*K95JOTZ&|vZthA_va;F z9r&lP)mxjNp;At1Z?qz@iw}m3YNvaW8-1Kw7cR%4Zr&FRe)L3o8oa45cqB$tWFa6o z}5boJA;pq&ZP#rS!>5u7T5(%OwF{A&0lzv&NYkqV>S75oy2 ztlz&o#Lin-1KB%u<~QH^zTfgc-}VUMV^t2|GIP;a8{Sl>Z03VEQ2;J9PSnP& z+uIyqHLf6=6F7#phQx2(B9x?kX)coE)S8rF6{b=9S@>TWtlCGYK$Q8UEitgSZPv!Ub!=~m;LwH)<7n{PT1Vc;LAygNC#QQ^_KGd(8@+ zFOl-!+0~kt04pZt0oAnk)7N~GlHZH5j5w?0efK|TJJPco6&dNX{U)ey(l08EJbX_b zqB8oMKVi9B|00zl^(7?z-Kz;xY3!zn{ob_6pFE@(#Y)*> z4H|R*79*Ij3A*35$0BD2aPJmLtFd_FUi7x2&gW#1#!NU^k1C%vdgUYXxW66mijEb0OrTEf6P=z}8V5$p6Bs`Jr;q)ZlF{4&Iiwci}K7RRw-I3X(N*%K8D z5R?FA)kF?>>~9qmB|8fUv#KySt#1%+7(qD*#_6OHLz?g!*Wfl^C@T|b6za%?gg)|=w!)u1j&k80hoa! zG<{F*CjrEf>KFU36#MtJJv4)w(?0E+Q@DiS&;t5BT~Yh3a_9j7cw$!zV5W7d2Nj4s zVS;6|Qu?@iMmjml;@gE1gLM%^9c~ULZcHAcg&bZ2XI@ZOleRW2N>PIbvlftO4kLGs zc)CAg3ph2$IMLOmzAOlmR+y?ais{wD36Un-uV+49DM>fw1 z?rxAqSO!Q)fm&0d-{eyDFKiFVAMK``>?>JI2P|}|S2L%-5zz|d*iECqxC<)0NpOq^ zwb=P=bVu5^uuIPp*1tpfwpcS3B3xMRQiw56@dXQR=i}2@Qu&|)qiV>u0;!pRsxa~c za*#ngZ-JLqhx2wgg|zm`yfGj?xn9~u4-cK5&Y&aEtiOD`SM$!YgU0`>TQH_L4LAh!P+8D7Iwl$fG;I!7w^I*0g`Ob zwbW=%*Fl=Wt)V8z%DglhD}+vDh`?ACog`JSrbjeI!#jLYR1$)R9sM9j1dx=f%^u?sl|0$)NFN`INK{!{F$M?O+$4JMOUv zEUtT*?hOQnmPv@o6`t#Qn~wp|RU@YzO-IizZh*+rzPmO*m3E-4O4~Pj8%qiyN0<8~ zB)56|6fsL|J<-e(_AJsGOcdCOpk;f82&(>NXm*=Q5wQqpc6#@a_Zju=K;)w1*rCa! zt`~F{CdX>1An~&xb~hd{%dQTh(HTxhlSpU~HtbN1(;%qp$NBvtgJw0E5M=!Qq;iM( zyeYh>;@%YB&}1~se)4}A-V|#+`o=+K&i7e+jb>jxpTg$yBh-^E>iSioeqMBOetQjH zx)mS#=sX;qJ7tf)Vn17y#S&G}RG`R78=wAo)BfIp?)}kk&d!*e|J>KMWeK9qOXcLXqiKgx2$Wl9UHg5)kRo ze8f}-N|?)O){7^*P@%+<9#0~;n(@;^xyx=R=+NF6>_$e|Way(uZD?(4{-o0xsthN7;Di-?UcCa4pHc6m zLewkPaooy5Cg%wS5v#WOb$fNoJS1e51#JkP%Q}B*)ke&pB6i7%|4E*U7)e+Ho)YSh zc!goB^i_`}1&f4LR)2IU#n4tzm(wbp2)$4A7jg#|tV!Vd58JKdnJ}2pFkCQL327}#o!!)`HdoezZkj*5JD==%_})=Jj^rP zwETjJ;G@6dom3Kq$QymjPk;)Xq*~8^kj^zl<^mket5q?_N`7`&L5^A_=6x8zCtE2_ zUhKIm;VW4F_~H)ka`2mDHCn!L-eE*As@=+uB86mm-2tvC*!X_jS9J@PsmO?1t$&8crtc}%|EZ%*f>*}*D6h`(5ayJ(r~=PY zEJC@(W@uUfL^Ui@Bc>dQ!g%HeIef+=O_nKgNSwrK3NY)Jady{!Mn}xB-a}y-F?>8+ z-w}uHLeg!Vb#i;hn4`fA79Sa0BercOpNf*wX)nM!CdVd92=!RWtR+RrhGoFuo&>UV zzqm~VUom`44si#SH4P%T`N<|lhO12&Ojk9$5SJ$ga+G$eF%CJq_~ z=H(IMbtR4^>VvRU_^DWO5GEiyQ*^gqVCb$W_j1CD%@%f3|EuzOT%&DY1QvQSEOQaj zNOJ}zr1)?`?SvloC^h|h|8_tKR*Hf#`L@j3ir9kI6VA1v#9L`McTJFOy~fMceLoNrRGrQrbZmf;&%SW%Z(TIh|72=_nX6^f*^FH^Iz>#T+hRxcNKl zw{tT`+J2f;j$(KYn;y%*^eiPen~SE)c0Yjr=W=x|PEjCXC9NUK@Q7xD4fpx*n4(C1 zD;#)KZ^fze2>jks8m63lWYVR^r=Nm@bRuHC5A_dMI$HE&O@^Zdv`3sW@j)La{xge6 ze$Ok*p*n)R|~8K5egEMu8rP2>wOvA{i#sU z9=ydAoJ7&0)`Yp8ll9pWStnZVhzZRBKg*d+ymQ~JXW@ayhOUTsQX>&?aHMv0hl`ggNnadJCw}HK|;y;RL_o;h--&6 z?O>0m-)sw@KvAaD9m~(E_rB2OSNW8gQI*o~(IAXY-+nGr`WRqh!|0ZS^8uk6KbKPp zy-9q$FL^wwx1jAbOjvvZ+OD(oEcl<*-*G&K8=Q3Tu5fO)eZn$0#Bu~g~HqV1l zXbjOKk12nR;aMcKp)#l9vO+gnk`yCz64W-?fB z#1n++;dY(pg88*>#vow`u{Eu#h_#F^Y)b?a%wk@Wy?HUDMiKBn5^yLj$AH?V)sh2S zdPOCh3E`vT4#H!^mc};4Y9Q%$nGIdQz_rcK03buXIKI8WwZ&K6BEEwFpCdDJ_W9-v zwT(vMz^eD<%v%Z<@PFsuuB~y)As&^W77{bGjdmT3v#cR^#>^d^v^Sn2<*Zx*-q4}| zJPOT;?PdgOe@Tn3xe~+PeNOMGTaaDv8o;P&6n88>sd~P<3tV58j@!?WvIBDce5#_+ z=6+vl;0uUqaeH{@>Gk+RB*iL#bv5wyH8@SLkCVImz0VCgM)2qd2EAY{jCZpwOnfv- z5Nbga(Gg>EYcc?bi={Peh6X2L%~(X2VTgYu#a0`icm|cXMypmI7v`{O35iwR+V$ll zc;#}Y*c9kD4szvq3UQo?@k6UImho8J5H_w;nO<$+J9diev~!-R z_;Xx502KNsd6nTn%wTLG!XN#yG1L0zFkuZc#dqJ-!vh!SqTj;*%%cAY13m*nCU^M- zOo2S5!|)eKrEmGa$^6gezE5earmuy7Y^cE73?LI9;LsM2FX!r%^0QusfU)-}d&EU} zI#`rv9fvk>^`!ZxV0h`6$si6q`1u377>#;YP2Y1GoPhDNf2{=o6V|Ckze5PI1ZWs& z{j$_ID!c?%5+Wp4M<7u3pin|5ZS)8ZuWBK`QL&G+CquF1zfSxhaBW(62OlnRo4Btz&}&{#7S4Vj9lt0qM_TSoAenu145qN#eWj%PC&94 z(`IMK7J~*KEjDgxl1wi4l-CI}vjeqO8`pT(m1+MQwrp@MtJSdZgnv}3E`$v9TD{%& zrkhH! z$jD6=svxql<=@0t#z z{K!?%0uchbdZot=?YT0I9;T=U%vTJyoR;x^I8_1CpF{c}T6?7MBIXqpVoAVaZzii| zWF)VJDsjgb2M;pa%F_bJ# zgt&r*T2iUDG4eJCX5;}0D?+b6(#$)Ab-z_&geK%mS^@1$O!NwA52w4pM*SL@x4G~C zS?#IPj+&}8DqU~nue{STc7K@W`Y_h$ z-3dGvm4n-CN7w14y45b(EmW^Q-vX+RQzQ83k6oXK!T&`POmFR>w`p+$C+oah`~Ul` zf6tdFx|Y45cy(@HY~^dB!|X(6u`W^O_U8?$t0(HHho{z*Ok?#YRZHJcDcGa$%5|)0 z+zLOLw?&yIi+5cE+`G|$%A}=No0OZ#>OO#ZIJR}x>Z_fzUJO!43l7Wxv$x5731gKow$`&8DPR!!Be0y30J=u&(|<&3R>>Vq$YaXMC^;$Z^4?kCp% zFxmeMfQf!xE|cE*b*ot7?6`TPki!mN#zXLTIp!6heqVsz#^ir8~#VafJAJc_+SKe(n?^lR%hP0f-GFnEEVj3o2neBAgeT zj+TgE5q3y<)|Hc%*=2>;Z7qV*_*)~gC&@9E>qD1#7eop+OJiI$I9v{uc9xlxU{H_< zRp{PB!SnR&nzaz$t%zabc4nr5c6g}&S84Ki>Gn9#hEJLjiybBW zONc7x0Y^AS1t6_=O9y3#xF2>uwYW}urYk3;D9R#H1zC^;jdDiyG>ySCHI;_l2sMY^0&s1LDdX5Ellx)${t3-%9UEz1aY}E|(I#rA4%aefL z{6}2;+W;Yf=}*AMcm|!77IR7!TbEwj=qXWbPtYzg&c`!uHdac~hQN^Mi;p`&=(wCL zN{G_5^^VKeS`)p-&P~gRc|PdD8WvQWU!vW|w%EV%ZN|opZmW;+F$`b-kG|*YIlG~I z)x2ih-r1}?9T0iJR;$F7Yjx^4 zGMa?Sy<{=@(@(8oVjw7F(%=h`-y*?m2|v1DnqynWwJ3)Gtl8)Gka_xH;ZdHh^tr&+ z0NvJ6I0Kn`E6)P|6>-J`>M^tr;$E(QhY`QasQhwbBO?qLGgr<=9v#(c6`^-L^j;?! z4DZ&S1PCNUwEpk9ZGVOp$ik&v&uHVS{D>|sg#}v^+V7`tIHK}Q0CeW70L@i zgfF}(nYL_?nA?oh^sngnh4BMy-Gfb#oz5Iov%yS5bs)ZIUu~MMzP)S?IQ-2JtIoo^ zSvdMk#5^x$*HV2+Uqu>1G4Gd3?A0hl?OMGxD==vH zTO-(>D;b;8jp1IPv^B+w)wya0f2W|Xgk|06@{6@0zI7z8LQG%*`ah<;^viygU2Y>d za>eDXOWTaa)CIc;v6HG0fxm>!nQFE}F=koPj4Gi}{fch?{3Wi_5V0(j5zb``uU*FX z0&{jCK|iu{x~^6T6uQV)K;2nuGlIV^*{4L4c`IR5ee7%UImF5$&g8R~-c zqBdJ2y5HLuNN?6LE>{Pv$Y+nkzR=Oo$|pu97d|m*8kL6gAv`g8?@9!rsFwnqw!MhM z-=F!P*NEN}FzPPE@t!GdXwO>?w?JI=hMPVAQ>zwb@7cDN`^vd0YBPjH!Th$ zh`No(JEcG+Cnb6Ft#z<$qqp}0B(t{ zx7xyt1x+2PR=?O{%7kJ>Kp)I}wTTtuqM=0@sxX;aOP`z=m+@~|3jv*(nSvC5{=DIx zT%x71a(+2U5&fPel!YQ5leZ>rXg^+_#+Mda9ac15)HH=473N@`P&q4lMHg#|^h0ycmqYc&S6cZy-Y^Iw;QY9W4!4~!?R&hp%&@^1>wRJj1 zpcr;=TIg>|;U_rPZ1aGz*r>b?64h87GgGL-uR2M*ppYRF&K@&@9V?G>zKES0E))b` zG2<8o2YSsupSTbaOfVrWTIB@Fl!jGJh5dPQ=GUMnm*uu%l-?ejz#$T7;WjCne_dM1 zX~v0QPKRa3Sx~R60wwp&(Z_7d)9LpSJ_@g}XA*6}zsk3`I5a*>F+}v~AtmJ=8vD$4 ziC2GSS#NL)E%WbA)=~L_+;b&ouTQq~(tq7x%;?R|EKmE-Q2Gw3GmMc$G0U4YUAU}O zcjMtRgwK=mhOj?nRFgK_J}?7xWRu+VgUAYSX0^;(t&J~N5k@g90j3M1R88rq%53u? zmg7^@B5X{KJDv9-k4ZgvtUO|Hs9_*ZyyE*#1CP8YD?y`mYq1m?ip?}D#_>^4{x5xn zyhq6l+v*6FG`0EUN3`#I8g+N5B}(?wtK@-x!?3Z#N`+bd-x_Kv$WpnKl@W4DGCV-d zZJF92fl=BdTLbhLa92QY&4;Uk|Kr>JNZ_-EKZ^Of>M)&Z8hq`KLZ#B`)&oEU$zI9q zp;AZN8C|a}Opk0GB(~%AK0FdoLa^bqEmK3=kU3)qhNwtZ>5UG zOw977(jzr11J%X$+W*6)fnemR$5sc?Q%1gJkDNU*Q6*`Rwk|A$(Cv{Ga z1NO0xYVCMnieh!dB_Ek@NO#ZZ)!$Zd7ZJI3pfT2VPhJ9^FV9BWM%`Oiy%l6WQmaXj zC`PC1&{~ ztLlc0ES<&6gO#4g)myYmD5#y!@bI^E}|hZJ^`T>SWhl1}Vfgt4YlhE8spfI!u#DtL@1% zQ6avVq7uYPD+!fa^xUdOK1@1Dz%;0$`4Tu|Z}UDBQTvAxcZRt3jw>|<{k<{-OzDc3 zudNnS+j)NgQ#+YFo5=W?fvUlYycyF+wNmP4>z_8yxCtZZLe%o}%>6W0EQ- z{1RgfhZC7)8G>vS@xH_!0atJ&9BNF4H6VyOr*%p5Pq}EH)uV-CpqOe^rSA^2tWN9@ zrlElWLK%5JJpVBlzkW6n8X}`l?N;t2(@I^VI_-5}Iw9}Rb|}Y?|CsZg->D3FxT-e% zQ`M^d#`kdc=%8gVb4|_$r8%6Rh(A8*!E!Ov$9a0xrlk<<2Mlu!o?I}fK^~OX5^AN> zlF}}&K5{kN>MyC3X}o$3=I|xKT2gd5oGK#}pW3yGAKZh1BT*A;X2vKt z3WHvyY3DlOOKxK|td1K&W#y_j4JPPv(OfwWHd;l(=yF@PiS(z>0eCyjdH6G2XgbG` zuF_fSDIllsDn2wXQ-u}B;+h)L=?&r^UIl*o5wNE}gTayVZ{Gh80^FYCWXI$h3txH* zA_&}mmVAFLhBZ(hclHaN1R#pgx(OK<_>k{C5gCJYup93$A&wlbK83RN$|iTPg$+X! zcTh@5=0sN&PC(KGQ-{rx%Asp)2s9*?jGzm$X1z@pMBXH*u*3-}>b21^JC6IedoBRz zOZJjTq(GNp7g7eNApIG+2>QV18e}D7rqeLw{jX~o(T`SRlvR43Ojdv!1d_-Q$z{j{ zL*2ot0WF)9GRxw|m3CWjT#L=i4dW9ff$`cA1C$`FY*1jQZwN%GD1q4cyx#+w7m&gb zb5|O$ftDG-586FSK^IB@^*jwuaCU+`OdVO@Ym&Fv?M{8bNqB$Vz>j%IEoS zs98?8q^>DzzaiK8T(0@L9Q&vQd(VzNy(KfBnuT*s$8zQSCSy4VONvdM&-6|#Jl;r9 z;i#Ut)vt0;zrB1FDc5BBCXcs?q+55Bt5y4;#*~Gjg6@GGS%&!}kGBSk&(~%EuFnbn zl(~_Jp^>6NQKVggRFACOk@JFhe|9+aiqh+1$c*!A)__h5&CP(;JI`rV_03!yJ@hYW zW|ZA6c};d0W&ng|g$ey?iX$~6(foXTF76>|@{4gy{ng~*=m>CIoj8w;;6pp1BfR{7 z8CbmqW$kD}u)Y&ax-_H(W%NbjyLu0SYM@y-i1pM zx4`@yj2NFL!-hG|9mpZ<8!xSY!GPBjEI2&1I@NE%C296(>ai&iSHnNqjHr623(1|c z6~03!!%U%55w0ZnkvnCwq}-7zT>_kKIM;xd=mw;2?K!T(eBeZ$9jMbhIWA~ccv(bS zlK}v(U{^1(dpPPL#p^WNG&vY3sZxUj58GqNuL(i zydv*xohV!otI=d0!&U69@I($l<_Y53g~DNR!qY(}+8Pyg?oRy0*Wx=g>b*aN*0lK~DFW*?#TXpX%rDQVaZpXF(51>fdB63IXol6uC6BI{9$0MXn z8^jtM5Gi;ieVPR+J|HXpn;{wMi$lQvs5kX!kBY6# zn1)P@lf4ST3Ia|=md+Fh1Us@Lw;tE!+mLekMJ%}@+a?v{g?{uS4ct@}c5%FJilUlay;iLZ%i|<G@5R~})GJp6xn`o>z zl0Uo`1IL43;-U0w{sTW!#DN%tmKHBsB$~rEsB7de&EOn`&L;MhW#dDk8qig z9^AL&B`a(thc20-R<%r#2(90^v{JWaI`2G9A{&=zS)xh8vK&rj__4j-oV0DZ&jAXV ztCVr--^q%NN86n0c`?E$n&E4_je=Q~9gohdE9mE%t0zYyk(*J<)ZP_trlqS)yya6C z^7_nfMYJf@-EwhmQltojCkNHKl!marP3RDkcK5141*-6E>OAX=75KTfz&HLBG$#7ozUK3EshKG#qO zaUpm|J8FOrAnWeXENu*Q5kTJM+wtFr#Y-dP9q;oZSZl^m#%s5Fhf-`6HibgqkWNw3 z%qh7;^;%JGd;ugYZ?jq*P&RfL`=u?_(0t87~LC1-@ zp0#9id@K~*DIypYXlH^5Faanw1bVEKaEJ!+=EeazS7y~tG`5+0dPV7mXPicj2I=%$ zq_UBu1kqfMEWJiq?PP<~jyE9F)go!9C5%>BIZ^&#DtCzl0w=eKD zePG1g_PVlztEd;x0mxfi1}t`bfwb6YQ3!OI9Dmr^k4V+Xcsq%%C9fXf-D~(H28&^X zaEg1OI(an<=m4iMgj^2Q%0gv-PVs(8Idp9=$bkPwZ1Dx`oQoMd_J{%`CqOmGVO|`s zEBi53yP#$xkB!><)5S)LFbg`!PCb&y+Qrgo4s!7jcWzJ7rI1Ektsj9g54BGkFH7}z z6L(2!p-l2$%+oa#I^2*F!S1Ow)s1As93NEBma-wvqBe?qGj4fw+zMFE7U7`(zV#`~ ztz^hvOH3GI+Sp~d=fP6a4UUq+V!|Qd;C1R#!awfbkMTcX{#;Yt%IBH%40w=k&iR5? z{<50_6R8~uMP(4kNF6$Az`@{5y~NOO?ULKFB2X88t{Y1{%3fE&}=>Ks}#P) z#-wmb#Wi0GEP9)T*GrZtG=2bR1?4xAgcGV+r^OPlD|Kg+W!fVyqpXFH`7O7$)(n2} zb;FH(4M~%D6}nOscNn!|pXJFZKCuz?*#P+h@zO50#*S6C<%Q3C**s<9`F$PVKZ;ns z$i-kSnAEEFJeO{sFQwTJ2{teMq}O@KUo;R*XWp>l;70AoSJU(OkJX}J{ z)bd(4(9t>0f-6rpO_g2&`n~MJq@F!CLv$?f8ccu}vCnwRTf&tm-CKmy#wRkuHuo_# zl=yPy);Ndrk>=X0I^08_b+PG=1&N02Eq8})L$wofKH>_XkVDTH$jOAtv0$E9yACV5 zB=zR*>Tl%jI1^jMo$eX2{zw<5ab@}QEqvO4FA+Ye>%*v)bGM+@(%8YG+}0!usajNW z#xkB?lqK984*0=r*kYfTDadCldm*KOy7;|z4T9@p^G_}h1K-TGJ6k&C!7G4O_^ulMCHd(n_)JNB1FBf8R6D>qU<|5;-IRR`sQ0=>*kMYU# z``QJ~zs6TcVHs_nKym3bygEq?OM8x?{eC8))e=6B+oF9li;QB&9gvK?VVj<&#vKs9 zPSDfImw{3uMbCPz_HWOB`q8ED^012B%>v6M7G&YElMW8#AS44#)2pSo&~&>|vw%Foyy)Nk3+4 z;h@V90_uQ~>-=IQEI6+>_qITONuW+R=AO{^ozid3Jce5w=Uqe=jI`aFR@ZaQ&2yg> z*a>ZDOx&TEJ_IT*cAq~$o<0a`U=fS&VSnCQWz^u)J(%YLfxkmcLN`!?%#beb zqJHWB0NOw$zc@y#1qUDj9YF(b51pYoWoee{R00&ClPm4~G+ zpb|i72q0QfbRdudX2E(tT95!60+o}(v6Vug-dsPfIT-JIdTlI&AhA)42u(tX8-)@l zO-q7!2&Iaf8q&Ifstr`8fr6EZZRvzhDOj9uX3=4Zxnx|CCaiG|x06O2342n(S`gLuR_M57 z8SgL4IL*#n;+&^5MCkXv@=yKr*L*~4V$u#>#|&G)?#nlT;flw_53fgdY5Y3U02)Aw{`a1o}1H~TGXGme6Ocj)PLdK|Lezn^G7!wqm@6`F;)i* z8n+#KvDG8>^0qZfYBdUzx}3&sx!fJ?OK=*u9h&=U!CWWn_@ND|3YY*Um1)u?4ow~7 zf{pJDC3q0O)#|?ltagsU_qJLbR1e0Axu|d188#7YFtD|*NQd1IZPYaEOIQ!)ONhhX zB!M@8vW6rY&^6Z-$1Z&dD6<&h8`O5aoQbae)nkV=UA55>SAaLEy1Tk=pPT~o@fC1R zO?PEw6>2WU1XnC>*kX@2T{Z)2IoyCBa|2;sxE-xK!W5a*o0D+V5B0-4G8Ii>g`{9F6v z4>kVnjE5R)`9sZ*-9wGF{GsN@dwHl|ce7z1aH|T3UXBW-9u;A%=9(hzW!~+q)>AZ`!{r*R5*Y ziXW_;&U%JdCM~y};nB>?$$J~W-wNlu%R8)Qs{#aqKs|qM$N8^uz8Uzm+RfJZKF%Kk zWq;XD`!8qfoF`o!KzUpC@2-DcuYZm6%@mI7#cW+#pCM3>Kls7&6YhQ$*vEvtBE8|!^Uqhlb)PDT zUlxeTW)hm9bD-ZKH+gmXR#R5ba`eBx?5qC#yHj7NI&$uRwP*)?v(sX{rBq-v##04r zYYbw%9sDy123d*WdmMuNef`i#Rk9@&re%$hSDj#v@ea!^=B0DR{agm8=M`&=w<7BX zJ-W@NKQvz$KQyQ|D2Rt>?HxsNj?^qoaIqmml!EX-yK@x(%O!)K4+wLuCdHECe>F+8 zgW_^v)b>luQ71{hS1yU6VK#I3?m|(G@Z3YQA@AyI84gr8*6x7;fjl{t9mB;LhV@& z4K_NN_15*IY0Hm=r|*9JE&s*aKjuVu${B@{hZ-XfPyRfB;Yd_j3e2WIhfga;Mjcfs z5|n+^pg3CVdt-|VKb092b1x{* zww)uW)faMTXy}21o;>-NZ-B4Eq3NF^hVBks!qW|?Roy@DBv1P1P5mI=Yk{YG=J+=S zw5!^pPi~(oU(MCyrqS>*=`<|hT8$qc2j^MVTM-h*r~ExX$2ak5icFP05pIeftM_S! zZUeR@&@e8{lEgz-0@Da0K~Ge}qb@K75R?g3T4hAD{Vh#T@@&6HK13y9MSDr{r2IS& zochHz=PRKn%ES&@;4(?fu|z(EkLY%oLDA~BNp4n1Hj5-$E&7L9HMOs~MD(Z+>1vQ- z5yCr>JKSDJ_`@& zvGB1Q?V;ghGQ9)vV?T=nXvq>Uotnk*q|8T88h*;`k%;#gewOeH@nmrwWIMSIwJ3_< zl8kT-wX%|MGW~w5E}B|Ne3^DYI9#g85U9uJb{u~Yes1nL{KWa@Dl)^*622pzEaxxV z>HKRns*hKT7xmX_oA?&7Ei53|?+^mwTZF1@6M-!@5u3nNGC&+`;yl_?8rAydFSRRCB<=`;WA-ce?17 zyz@Hd2fnLJ3*W=pp`a5JH$m^a2L*`H2!|lumL`a407yHqV$3=S0k0uQuf+x7UmUbz zykz%h6{P-jL2x#~orZgZ8Z)r8Rgez23vQNkjxJb35QwcJILW^dM^U}ouBI&CG#@lO zo#9+InIm7PBiu{Ji08HXRP=*~hZ*q2%>p8Uf{CQb0%~v(2~-qUN+%>@`I3Je;I3-^ zk&Tzx0I_kjI3R;$0iTpGKy19s`A_1$O8#4Y*L1#oF4F!u-)!-jtT-q4P_yCs&b*8xB_lYB7NelwYabAyDAJ$6yGv60k~0 zp7b%)wXi7M`!rCA|!ui{PD?Qr!^UZ5t)?o2AESpk?># zol{Qla&bLY;uQ|5CGf29|KM#tM5YKPIb4zp9W=5WB`BBenGMxFzul?NT-ye`##W%Y#FtjtW|3XcJ{*)bN zZ{dv(QmNXXvI9-LL@CI|3Y&cvq_*)D_b?U`Q{c%Ig8VEz*EEn{ z2#PUqNlbWQYb9DyqcGIllLz1;#({U-_RZk*B87boo0RFY0=07+5j1DWQhc zNpon`B^R}D8j6pxSV=Bw20z6%e43IT=#wqAn{`({0cYz$`?QQ@t@HCqL<9s}(*`*taajoL?tb^7ui zwAsB1&3X)~gy2EscF{(s^(j{oRgVR4BE~vuDJcYL<7|uNPE4Gn(m`uPe~wv?fg~3g z5bCkrVz6S>V;i7BxFov=`>PSBHV(eGj=aOcNW$YRrP$|^Fdf=fjjG$g$Vei@CBbaS z@;1(M>$K--&3t=6&92?w`@?3)0G#j74&9Hqk+>pM607-`teI6Edabw1b?KL#AL@F# zY4PyvMBeFAY~z{YO+2Ej`?QkypjlV zcFFxlzWm&Y!L#@&ZJ~y1{ zczvPOd{|pRjlv(t<3)y>%R4V#zv*>vMup?K7jNd|Kw(M6yn6HEBkc9u>)#yJB{`V~ zPuJdEXj5*!MW`}OxJ8ROW1lbm{h#?4|K>S9gP~Qz*wE*6t919h`A1!W>@&b*-Q2`a z-QwqniVta?VL8E0U*nJ3o8M?t4v5bbPZL*Ne1;bBVMI&sg%qD5LO$YtI#;Rq49ENZ znF#aiInwWM03nwI4U9#7Ytr&pk4`#QTr$GG^}(P-ap_V}amg09qe-=ZI*qT zh(%k!Na+^Qg;Be+50NyJf4CkGF;#dhc@}Qe*e9ONFlh#?h9}MX*o}Q51=um52M}hn z6l@|`gTEb(4B8qH!KQ3Su*sQao1CQsgTOfs1aeq#5#soCXi6dOMA8d=DrE*78)MFC zWRWacx*Wm1MAA&!T%y%Mx>)>8d8kb=`YnMiN%*Przg&Es`z!j{4G%|c6jI&iC@;Rw z-S|4c`uQL6o8KE0aW@qGbow>&Y?!B}NDoU~BQ=`KN@<=prcuM1M!80*6QYc`(6k3Y zDFD7!!BSL;dD=U%W_d-4p1k&@1Ki-kEEWcYiE}I^rS%%8Mqg*7L?;2Lt%ziTrW0Vs z(Kb`hk-m;!%m!?$0v!gY5{z_L_(B}hB+>aZ7XUu6I>;e+lA;~kQCT9gtb3s^0r!f z9|7cV#3+FiW zXi7QBPW_&f$G69DN`2V()qu!mE+$inIbNawEQpL=}X(=c~iH=j3)&u zzEo16=|zVE#jl&%$Gc7BG!T4V^2;w=Ur9)!3F%9I*)rjbk-e26`V%B_ZrSoI6U`14 zurf^J(-vBPfqq23u&IO+9ggp74F=p-POR;Fw8P`kb?WdaZ5&fd0O#bFzv^dy>94&a zVlHj^?Wqjfoar$ZI@)z_t3S#@$Jf0Hf=Nkxbw?Nqs3%--GF{zK7CPE>UlAlas=|hyHrFO|i(p9#@W-1gilBTS)9?$afAxirT&1>vWY#?Ipl=PLLJdWR2opHV; zX^SlLIZ0!wMa1do&*Lt@L)Or5W7X~XzCjT`F;a2b@?%lbANYlz{FQe`wN85o9O2`= zN{0<-SBOU6U`8Ha*xV+?+u?#3qQ^FHWK%Gvxo?xAHg&o46}U&O+{_$g023y z0XkdLNFm55$pv?9au5n#T3ZW2YEu{7?vRg@b6l-J(@-yl+SCOPU{KT4&>Us1PLSHv z1vj9g5iNz0(*r@#rY^Y!)f$eCrmqbM3A-hwTIZ6MZKC8(Mi=CtT(qf6;#nm(gm#?O zMl!3`xg>@lC>(sVRiIW`GvA)gVS%|ua-Jl0sK1fCA-*PxWFWW0(YFgwBleQz2Ce7d zL8RnT8O-D8C$nNxbh+xqfCB0Es_16@w?Syvt7a8LQ7!DlHDW#48kZdp=VYD7D5bUY zf4sXnWUQtlWyV~a`(J4F4o0b^wWK6_C9So#(5lS#6izQ~lTKQdfq1Av1UJyau|Ku^ zIa>9JOK~_~q!3yUAWLzjrw+BXiUs0!oWIm~)21Y#U8^HAmfm{=cKCJS5D8@jGGpB) ze%f1s)$NTr*92UOY4=x5n|6zOGkPhe-Ays=cfa$!Klj~{uvH=!5A2MV<>iMp5WKYB zTSRt>Ar6`w@eGyeh19p~`vSQGngcY*2tnF9Uva0UFOu02#>1C81SJ9FE5ZSh0uYiq z7KVkO6w^!)Sz3iJg9BKazVeEqr%Vt9KV{!Vn}xw+V@0Z@c8*(kHyW?O1?$dHbeXN# z0wy>OL(*9(rkNzh+M;uwA>e#(Al^8(xFUXVK#a-FM@dlfl!Ko%+-d=x11CVdYGD_a zM>V5;4u$GyC9B%)Y`pI-L>pLXx61urSm~}0U1PCqrR6ycqYJBCJIB$UQLLO+bjl9J z%Js3EAZYUe1a{atu(XQ@IeCbB4{6fW|@s` z-%dxk*zOmj{Ok@voOX*YM)~Os2l?&)_;-Hw$A?iyCmzP3yHVCHWOvk-Ahbi8PT{Kd zl_6Z3AmU?*y@3reQv494GIT-Ey6EJ-?Hu)Lu{j|q*;Y9bWF&SAB0Z2H1dc&7>&|b4q%O>lPQ>K|{q~ONLW^o-mvO zv^~ERX%FeeI6k|^aoR1q7{{k$9RJ+E_9wsQJz*TxQ*q;Hehd0T*EAD|FTo8)++dCd zYs9)jcqI|*LV%E2utuy1$z;U?sK~n&bHp0OO-$EN3uR{o3zZ{Qm^=*z$M}VF#A*qC zSo$r<;=4oVh;@H}d6aDL8jS6oV2)T1OTe4NF0V+`Qhy#vp8F4po?s$MS=~gkzn2vF zr^nT+B|=(CF4o3_5Z5iqb6*p@wQ8Dom_A$?ZcmzKwX4I}Rt%?p8G)^Cj{}Z6!<%h# zGyk28E_W}qY|a`mg3r9bi_WV}9)%aNREwPY`DWUl<%^kqcFpv(TXZqgPsdFE!4Le7 zul(XL(+WiO8h3-GuIG#o$q=zHgJoY?4C{h$%2{s(ImHSS+r$ioAkDN3ZkOn2kQEQ0 z#P0-Yrd@Cc4pU`G0PS1f7D_Yif+&9}nFAV0&G5&eX{KE;#@Pcq)c0l5OgF(c%^pc> zwW+Zp&2$sQcxnlD(bqBfdBjY+0HdJM%S9Vo? zV!LE#d}VWrm5%)=ohrH`w)Dcpa~@7e+_)6-iYFZ*?`2r@^;;jk?a>8&>+Q$)R7a-` z|4>HX&Oh<9e){VJeXA97x1|mWvXB?qSx`PPrVFT#c09VEjjI7(Y=@aJogi(g3$~If zY>Fgh^NO^kF1R6KDy=ifNhQ~s1!+rNkV9%R}G+6n2Z*7_oS6gHa6w}7+CJ8EB9*y4f* zP$na6fgs60J3+}VTK95G8UpmXP@NMiN_No%4^W3t4_FbQQ-5g5F1jF8HUyz+m&Ci& zzHP}a`k}XbX80(mWY)PETe6ELxZ4AB%h2ioI+wnsUb2h6q7O`_dh9Povx~muV$Gg< zSyE<5MbkEvvSb%ca=QTBS|srUsGg4>NVAK+<`VO_DY==WW4*g@QMG<|fNEf@NNa>n z(_S*)9xO!?MYf@D5e!n%r=#clV=b$^qT&5fT)SV9Hr7kKys$Cl-(4{$Cb<`~L%l>?sJHB`j!(Zj(Y3|Gm$g^%mnbdsP0Q?fM{M!M}{i~YAL)ti!+cy`Uae9 zChjThxbXhnny>o;-@P07?*H|1f9lJBJ|aUkO1-;sl;TE|mK&M{_+`YoK+|Jy=CVCR z*U2<4oN!k*7-iZS&g`xjAea{RzPWIAm@Z{b2*@0|bFA))k`vOn?}R0(N?F6~6;IWR}tiRjNU<8@yy#?(mE!h{nZ%vdD)e}2)=eCO5a*+yvU6pg*z zgT_3+l{eCyAF0LY<;ImTL~kU~GGQw$WyZQk(>2X#HfqF>9k7 ziK2y+_){o23?k~|u^fqN_D}?O0s_q|CO?!d`3S8b2<~ty8&x}E zdNmi|SQ9?kA}Jt1Gp8F&ACjYQK*3Mrmrt`qqK#4?R}PMVPBPyfj5*hS7#IN%<#v+g z{;ZaqBEqF^^OAIt<6HwOgTYB|m+T+1#t+@EUrx<`sehuTrQ+tVl)Xf*bTVK#(mFtG)Fl zv~#2$bHP1YA*8hr7!gTj=0nrcxF8zswcP-1{42$s#G(1nxgeM<3B42|mch>>mZnLf zK@rC$5eqCx_d`m~vq`RyL}Ymh08vzr@=rclGm3IaWGvyXjxw>hdgI^FXKAM~6{yD; zce?X}Ba6z)Q)KiQlY1F1O@Mdb1bDv2vj_Qv^E;rayrl2$uls)5E$ZWwOZx6^(szI1 z@Fk!3n_+piZ0YGcD`KLT;jW2*j`I7^^7^3>KWWtsjR!`mY+u2a)AG6?D6cssp56gk z{$Q#yUW1m`1@~HQgy0f^W+#||9RPlS)<6zdm&@>kjMTanh~#$Gh;7o*5`$8QlgGXO6$-q^ugwR!aXjI!2LM_rut6! zY8OY~>2yoH`n~_gSG+9M@uYC>A-MO=A>T+&7?S2-n5V>p_LakqtQ8L(c-k$2)S58f z-Q3hT*EY%B5+nlMijqw96@k-H`;LY{4Z-8kl1ntf7X72~|2Pc~D9MpXv)rsU zBt#QQ6w9(Qgrw9ETv8LUlw2W@%91D5CRK}SwyT!6ymA`p$5$Yd&JqS6czpG^a7kZ9 zOoNZtz90!%eC_zx>xOo`x^G_TfkyRE#zPqY3k$CmL;Zzkdsr|$tn>wz!~2^a>lyGG zEDsOtC%QL9Mk?NCKhgWnE{z_yRsc2^lCL@8N9LRqBw~cC!H_^3X866r~L)zoAyWf zv9x!r-p+9tkTdwa|V6F_&fwU7JSz@1qqh4`_M7WD1D_;^btSLI7BcLRJEN_nwaOwB~U42uR!Ro9*=mM03sR@KAga6v$y01L=xFU$EM?v$+8}8c z(W45s+pfiq)%T z5@z-sGpUnVBF16a5@s~DYIY$@$Lz}1%p9_?x1fY9nh=N+mhG^s0N55vc>-`t$S32o zQpnhi(>h97hgM7awEm#>6EX2y-fCEutrzBbnh`Id!gvrutKz47V)@m#S4c;32p@>VcI&<7MeQpqjk#cq6o%$e_c{dmySiW-DZF2R08AYA z5Tx>SL8RAgr0{-eN!}2o@^rx%DZHPH4uR(i49xtsbDSfUrweY0uBTdpY6OIz>534f z@^nEAGh}B4JXo-cXlX12sXSdUMhc^MO9P(}6y@oXF;ZBX7xJ+uDY~Ya+6UD}o~OMV zA~9Lq)SQioY8tMo+s7F2%Z<=$@#$6?&i&gF4R;|DdkGVXP1Rxcj@&|r{fG0P{^lP( zrNhv3OTPv2-40m$?zN`_=jMeet9E%RyqK8XXM1xvY@h3Ht zDu=N?A^m1-C}~y^p*ZAI{^}+T)AW&j2#-tt;Tps1>_hg`rmP$%L+N391b%BvkHDbf zxoNp)l#q}=NRE(J?ZS~^LL!q?z&2bs{UbpleqpM@Geq#Gm3=|*8ui=h6c@Jp38gZ? z$Ul9Z^*6rs3;yoo2wL?#d%p`*b0o%LP6|cC?lrGE3&0@?;x(7>E&DWCXC3wAs?Iu~ zRL(<>cyd{19d*#M&N}L#Wu1i(6!ZvGZYbAlQPZCSt-{+DyixW_!7W7@R4V2uL^PML z_+7_6H0J4i%_AO~1+6ZLpkDh=@tY&g&AyyflU)*d1P!i10|1MWB~R*BDk0Tm5#BZ} zsb7Ti*S>H?rvj}CiF&1o$UpnIG26J=n^S>%ff~DR9q|Es)p;5E%=bD+x!jH@S)%^5 z$#)h7d$js3*Mi(e9Gi4)dZj@qBqO0+*LIr|bR+etzfsSg>ix^V>*L@5%|Sw^Z)vZ3 zfx{#k2l{Wl$1sQd&T2!ZzuYam2an94BKDQ#3tbQ>B(_{&MRalZUSL+ehc%OWjJ8K; zyVHFF>;j5KRve-2&Z-xEn(|VdF@|jeA2U$r`Tn51$<}`y9bGy7uPG%eKRT|MeLIwdEh|SqsrXgv z9&@Ti!oevOpyj}n21^N0N;U?%g5y=(AT*Qn*lAs^$7_>rW&Cfr&hg2=^S|-U*e6OQ zT9*+s(3SW!7nxmdKBZYJ_Q^&hD~peQD%mJA7{kYBvXNmIYS^y@>)HcN7v=+2HT+CZ zi8fl7WCqeZt~7J|`*OK2^~(FJSDJo0VVe7N@ue;GZrV~m|Ak-u;n$q@KQN-${10m~ zvAfo#+&6313h7qtmIlBM!EE4IeAE?39F=0;YKQ?eX#IPUQJnFcZq?^#+YQr=U&>l~@ADtUg{12e2L55CiJu*0?_#epA9hn?b z0`r?EhagDIx92bij}d>&JqCEy1RNym{b4ddyb7%+hxaqtt5D0|g_P=YINJ3{SlO9w z-47kC_MuP4!F$l-O`J`l!grX$Gd}{we@Wft6kb1`T6k-ddG8@ZJ=+ibJ*1`54vKq@ zoS$q*9H#6*$)6B1oxDFEpX^U?JMG^Cbm89=yyYpLTbZAojt~E)V5}5@na-bYd>mg| zR?{Zm-V|1X1=m5AL}V`0%ME`beM{QfviX$5%8Pq(aW5Xd<&86@CO)*snf$l^{0IO0 zcZGYQ$OBJrIO662qzzK1(1?KZ^e88492bNpVh9VA6KYq?gJfV3?UxI-)>IJUNggB% z(tNq#2I0N97w}w=K&>lM*|;Dga&zOstG3vNN`kBcF19yk!Y6{&$-FaTC_ zRN6X0x)&}8xReh3LIaM}0Q1F2dc!5Rixr^}oRBhP+$eA;?n|$TpkqXi8D+pWvZ8ZJi z$qE0fqSKNdDhp>NoFe-t2XBvY4~FpAr_*VW3%x#{;OsVuBa++}ZYMv5Z+C2J8(YhU zW0T6|Y<63Fyidf@gX-eN7r8%QWZEsdq&l7sH2WXE@68|o5KS^N1M`V>0B^|gu`*D4Mw%s{Ag1; zwUw`Uv?*PDktTU_SNcgSD>mz~Sy*(2ht(Zg+4#;ZZEJ)r^@h%}xpDQs-Pf||-}&F$ z%EW$LDOD7_!0dlf3B7;|&&yzls~V?fx>ISiUy{ z1W5nLAO26@{3)rKQrO2moN_*H08!vnBb-8!)A}re)?E@hvKw5GHnMEX77jWwAt)-$ z1Vb}{C|*7L5Tv{AhfXs<)@W_4D~by9L${G-tCheNGY`3_Fh6t~S+<%BKspPGn`MGA zvTVV$Z9TN8FkjI}mSK{m;F$7Ns|xca+sHEHp!+2yobu~VBg^(er%=`;bj&3|Vs9hM z3~QdRM;~85dK+0LbFMr3_k4R|WZ81L*04Bkk7R4x(E&5Zqk)q8oD|RXN0M;LB{2_^ zeL|c-0(y5ymh&uksEsUJ%_W2m$&-qn3Q+@;s^sI}yTq?rhFnn&(Hu>UDsVlLM^d(R z5>u{n9#h#?+3Sf%mU&7z8G=hp#fS5bVyb6rWZ7ghp^D%ZX5#Am{?QxW8(B9c{&i2+ zDaTum3{go(Io`3n&tYJh(IR=fdXctIL$pND#9J^&rnu#U}5S2+TjlLkQNh97Q z4`A@oH5W2TrL0La(IhvRccPN2(u-uiKZ)UfNQEzE!q?>NX35WOh2yXLmC7AjZHNz( zYquh4x}toGZ2W+7;cM~HCC@S_qN<%u3M@LhZ3g8uREdTqTzC1TD?B^3MKwm_O!>p| zqQa9>wN21SS;Y!RKuTM6a3l6c-YeWlYI5m>QEoiPCU7z(pa`uc0#h^b7Uv5Vzc5`e zwJ5*$`KZ!gkV-$p)Ua=?GG&>mtRdN%qJC2ubT$Tu@jxmq8}rYKwo> z-F`}GrZK&k56;hHGVyPeNG-yy+5GRNy6`FnFz4VSk5(6;;i&QaQD#pt_~u%l8fy z?mcv2AMP|=jaN8OY_L$XJOwNtr0Y*=Dg4xmnDabj*%&2S!1~gk*be?MuCPuj1 zFc8-|TE_QtM6Uo>WO-*kXa>xdcDE5;Iq5Di=22hqr2W(=;8-tUvaT<=_`pjs!}QrD zK0rDS{(tH=JWj24G(M0Bz$r8Yo!=YN4<&+)&Hw!me)P9}Tq8EqBCAISUUIc6JEyGg zP|T>s*<7~=6&PpVLJ$PPeHAwshWDU8Ms}WY7b?`y5d znER<=FifHoq|Ry=vtIk?^z8s!!05mQ4{K^k=q#`U8HJ;Lg;0k&%LO+W4x}U2jp8Ee z%;-syN6{+hR=Xw{CTAXXwQI@ZdX0U_nSZQu&oS=2mz03kBw;29t;U*wchgRkqvyM0 zLkjs5Aq&&}L`pjBM@QU*5)&@{fN|_aa-tT#0!S)pTTTs-%@(a-h=v9;sm)N1K4iEZtN@eV@K=}pL~x2OZ3&_t%H!SNQpGqQis5YhwG{s!ojjDDDH=NxUx7YCCp>Yc%w={cY2>?^)G8ztx}$dB}(&st-^sAPK5 zF-QY3x;h3c<7kanLeawd1YtDDg6uSS){rL9_Jt&wp7hxU!#V{Xzrke8{`u9mb6azZ z0;#SEZdyv_D^fN~mvj;dFbfROB*I*2Co=3$buYge) zC70Nb%0T~}JjRX6;MAxLjC!E^N>a_)>cOj47?n|44>7x<9+2CSt;D#7)i&?HJ~0~u zJCyxpG)Ou=W^I((xP8*ZCJ|fBqh6e;Eyu_Q&{)Qkz|iMojw; zez3fpK3<-DeyG2eZ@%&so8%rmc!(`LoGnv>O-wCmn^oH` zj&akj&*xpGaQc?#-tqQXJ0!NEvijgHkFN7~kFN7~+|Ms*WViNJ*^4JC`K&nN3)e5a z?S<<{ub1nQOS@)4w@J2zilAJ`u=3k}h_-(G+RWNaxhvyxZNaghmb>t*?VfOBG4ZZ& zS6)Zkl~?xQrG8gfH~F{z=r?@qe|Cy`5Pr0=MS$~}?nAC}lx$AppTOjKQTt9 zoa-hf?b9DRXLEvoVz;th3s0$3%IDyp0G_T-O;JgDHYfNeka6lShT6~tgMR{p$9ut2 zHwpd;L|c^u3Vv-W*m3Tx@lU|Zq6eIRa>ZP?D!I%zt<-0@P|LsQ>buv~ ziF+`;+&wwa{c;7Bc=E{9mD{WJKlES!v-f>MsC5Ou_T3^af4If$0`*!Ql;3rWpkqUl ztXqr&=mn`aY&Vt~R9kN`5L@e{u_D!a6GV<)s+!yN(%5f9kZRop5hSo>1F`yECsE}F z2dZ@!glCN*S;#kSVV(O!^Uk{#M6@FfJr1$W6U zE(X63`yiGwsVkqPsP!g^emY#YNE%&iT9d^Im)s!Y&^DRX!l0`zd21(Fv|Q?%NY1Sl zbyW|xdI55qO^rsP6JN`o*<;0}j@a_Nmdji?1z2UANo$Uae`qU`wk<;=@=5C;0<$}O`DElOs z6fBitUm3Eq2})oJEfXpR~uoImg$4d$~;y~G3o z4q>{5>TzMZK31f9Y==hD1G)@?7_zGYeF#ea)>ecMso4ZnYJ}@5|2$f|^^=tGRyaw_ zvw@yujv$s4_2>uRVuqrSUF{amQJEyAcI(%JK5lrupqNLqnnHJ6^497xsl#E8Kow9e z0fm~APg8WAD%cN(qj^z}QJDa8{q83E-C8}`1ADG|yud>54;DJ@7WF3P0t>wxSm?Wd z`MqC$R&r|4VUW`wrM;|A`{(S((E)8WIdx<}+bxq*XuObg*T^Kd6u-V4;T7#4%EN$E z)Ev1&tUTQX#{eli3T`=3qf5}E`qUC*7!IuQ4hThtLoae60d`ymR|4cTEKbl&x1%2$ zI49I7E_rm~TuDwH9XVH$Q}A`@^x)cWKXXp)elSBGbrJ?{K<6Q-^?!y72_8cAe=o_Y zR{{L=VL@d5^pjJ6=es`n{lD#_8*)||6dgHhe2VCTgN9QAVE}@lw}R1ClT$8ekqrri z=YtXCRO>F-f~pN*pNey}uMnnDt-D|=PQYTwG~#?{s&yB{Fadl|jMH{&gXCgGMqe(7 z1e;FsWd6UW=A~M9K};}0S_ly$2DMA0K|VC2FBb%0m3UzZ(**mM1tt1&Np#cUkd$13 zp1AuVCHitnvwNf(<~XPNlS|FPB~cks>jOqpaQSwUG`cRiK?jD|Dc&7L3EEdga^~BE zw49Dj1`)I&50hlMKNydsLGMB-SIV`Q8>9g@t{s78{}2i0)6a9K$v)B-AJ9C~T~WS8 zn5_j&GmHuV*28Ph_sAY$9({`u8g)&ZYh+T)Lr#{n}4Pvy3`>BcT1APpmZmu7y$YRG}=`P3KJ;blYB-Vw_IN|dM=Az!k{|wjF9>1fQuA9+x%74<}XCnkC zf|t8nniNwzCvp;(fOk5R4M2SpZqZ^+G{#4M&8L3;pNYJ*-i(1~bmyZLj!q-UmiOo| zf^2w?&LYU35!@a62egqIbY@##%TXT>4y8cK@haveDopcm5 zJRSlk)$T{w-+TuB;)4?JccFSaCHG$VcT6V!t&8qGtn$B?)bvwHO`jj#+v9t285%>W zc5}5pw$ftvL5c3C-J*-j@N`^;kNk~y{_HPDbgzx{9^G48{mT<$2pI#IG>-OvaT&B% z9ua3^4AF8%2#QTIK~`ABWjM_kvL@}gGKOeYKUNf%!Bzw)4Fwd0!w1-JO_qnCxD0-1 zu&9e53dJ^xF$BeBFu{#1O1mOX} zti`&dx(qJargAJ$f9WN~W$@Dk+q!Uzpl!vfE^$5TGMFTw!|-Tu^ga6CD)Gx?^DZV( zZT*0oATfZ9@)G29Kw8IA0lKL5MTDh|PbzRPFtsD8fX!@?li1oHk&@WT?-Bjv-~wD^ z#sbur7Nr3l0&r8y4moSi&>Pr=mUUksi(j(l5Gec0c1e?0a`JO$FXMbm)c|FSLI9uy z9C7}#9mf|3Gi}N{>sfnn8%lhj4=8FP_;t6@B9Gq^Qj^`Bv@Kj{`-tp3xn z`hWZ{{?m{AyQiqn5n3x9Ae!L?a*&&&Y4sf@l{(Ft2&ix_T74Hp139Bx7$6%;l~<%9 zaKYUgtu+i;!8C{{x2{MH(gZ;xEU_&VnIS>>tK?g(#5!3p+pdiISd8!soz8Yy+|MvmgT_YC z>h5wiTA&!&F7t6phOD>i+k3X_INwaH1MCLue7ayI+lmYB%ID%4rpu9^$L;b%cq#YE zD*W{EUH{cLzVpFf4UMNkXP+9yJ7*bByr+Fmt)xah7}?l|j3?&5 z_c_9x8U@%!$3S7Q6wtOc@t0GhWDwNi|y&MI<$l*BxUq@Bs?eSG1XcDaMkTaYWxe0Ch9^}%`?~|7|N_u zVIE>66?PfQdR}OAls26f0SYm-TBjEnkw*Gx)vBTD+^?doyUW_D1)fQBiNr%|l_kFNhZPhQLmJEhrmpXbR6LdvEAE#w=*9R~y$_ z2xjjM336tsr8nAw#t_Wj+hMUJGcX)Sv^@8RE`@CbwpOoR9Qv!y-Wxi?nJ0!GTsyNy z9J;8t-FCrxJ|zjM&x-J*_oj|ml!2)h+<4FOE-ufxmW%#JgoSXzvt)p zCO%D(snRI`HSZ01_(tz=@!syz@}+5a=N=I8bVB1?> z81jbPtK7lpQ}rX%YTNfHe=WIfTTQ-@)K00%pMw#5%a8ujCw|IDwMI8B74`++9Si_` zW=wwAsw;d`!S}G`WC#&l4T#~zlzQ#46?_jX>t%{6WvT(a+{l$UonkGxrhI5IrMBV$ z?!308trVr5tFB^7{m|fE((){ZNHevP1#`idye5*K#@ul964x@fm{MO6?N~s5vDUoo z3aLPTNg~7~K>)>UG9(w?Y8IDW3%)ya?y002{CwRK>DrF4$}3$Hy=me@zCqjdPJd1eoe-y}96jHm zjgKMREHL`L+@X^W2hF%T81s}KdRnO>J<>~}zpO7jYaux&h~+^|31Uf!q!cG<4^{iP5en>ql)GvcUJCk=p1yQoN2OgGnHz zNRf!eo^F5e&J4DA*?(-=Z`nhto4`zvHEx)kX%h?%RKSa-7ekC z>B4#tGk8IasWswXawQU;1%op|u&=(pQv>*SE`%z{psIi)j4FH^iDa;FK5M!TW1KOt zXA8n9f?&BM42Q1BiAWUetEzuftINV4jtTlatL_JLN9rsB636<05IlN{AH51mUCtTx zL$n7nT(e0zY+bwc!0&H-lUL_g9+a|o$uF{L!Ga_lI3+T;${@G4Sjj6ljutVgDCi_E zNbDoJamn02HK`Y*g87_Keq3q|8}q-3)nu=~rvhH8h$A@?T`oC`DUx2m(AWE{WS}iN zwzPl!lcD2>n*H4mzrD^nb|qzD#{!z0%j_qBI^%eVTuHAGcZw2qEHl7 zPECgQmLjr-V6J7^7o}$ zq24);tjgkSWl5l1Km&V;B9%t%)3p4RWV3bw9VDiGa~Xvka$&)FiongNv`_#suONHR zO{3J0bas)R1r@t;kI)p87%eUm`q?fV)Tdxtqckmb;j_31NZQ+?Fraz73Rk`654gbo zemW1q(GU6kgA!8^hQ|CM4n%d+8W!$c1Z4D6cvL}A`5+rQ$*nfD%!Rno1^7tG+Jubd zFNFloNw=6zc@`0cg7>F;17Hm=30O@R<``Z7D5oGZs{DnOL1^Q3kPZg@9a#REu`!Bqz%X!mK+S9hf)d#9l;* zAP29ze*4s&*O0l*MF5cd7f8;YGX8jczvtf9&l4KKkfA8Dz}M`G`{!3Q3nu2F2Lq(4 z1tsvrSEJzUbekCSCc7Le*P16I4e_|MtAap^=bL|5LsKNrYW^50uopDw5z5Qlg0-}d zF@@UW4~+-WL861yStpB<$fJQAQ(ChgF0d!p>A_f6`B~O@)!J-7<~|xWbaZ#Qh_e&EA%|h#}+tfDkPL-61!W3PIN!yY@++n}pEUE8J@8Sw2gaLr1 zS{$q=XETbGY@^1@i2CNPLG5R3v&|_}1JlZms5+va-4Ubv22?X^t)vm{E&os~$Uo(c z+g>ffEyUJm3y>@Ff&VKo8>A9fJ#*T6UcPyxi17hLgvlzESGa_Hl!g5`WfZJKJ6MO0oLFbMEaoUi! z0vg6i@=n-}uCu?jg6=0(8+cE3HkAz^#Gn1moGGf?-I!IoK#H@+G5Q=ZKI=r4T}kclwYy^~=hARj zVCW1z@#5nJxL~w&S))fAz^>@m;S=Hms3~hfQ2-68rm{!5MQ2WZBuv{$L2~}|3kSzF zfAkb4Akm#)i3)#IkYGl@Lpi?=qyKpN73Ru>!?6!SXNyD&;T2)P0vP7@38FrZG2uB5 z?qfrmd-x(KUok)tYl`i}{~U+=h!&Gmn4qj;hZ-8fyaQ}n$SE*TSf~<<4iXL@JtnJP zFyS6-_9_Ah&ZTlIfxHBcbYUUY8rqIh)l)W3?*LFd|6Z&-1E}*q&7Mj?|WsS zWomp*Ul2bAvEE{{_#_l=H6>W|uB}g}fe3}u>CNr$d}QBo+Nr@KoDfmRnV=cT-L%)*eJvIvbpu3#2TBBHA=?*HsdiHqAjjCyD|`D z;(Vb;L65va4PXvf#6m`{A*e{*sv&aRMjM?O(OSORFQ4jv(hG;~IfZc+mL+lgY4&J9 zO|*K=3CpT6aCgp?34hy z=6WzAVb;wrwl;&9+-_^vEOhbCY;f`c$G{^2wI%m})q68;de#Drn_(sefmgSGe;PuQ zZGTvCNsIlTMdxw?7aT;2LZark!inRVqq>r|F=#Dtsxf8Rh}MJPhP zNmskzEiZJ>bF%;VA=v-%Lt=ORPsaUs)Or|9c_vf65v;uC#rr+4L14JTdcEuLB8{P* z$p1-)Bxk3v{OACIF`u462+_h2^QQD?2y50)=FjUPCUFg&jEQUYlTd98#JpZHCjTW? zG$PLSEm}+gy+O`ipp3#_ybbK2E-4HC_HwV31VN$WG=)2i*&Y4)EB9YN&nEJ~1O?pr zU5A~3$M39p^nR^f&9Ty{7Pj?tv2OVKTO?GJ7D+Be`qK?OnjiMBb$M6QKm*nNh`(Uq zUZ#O-m`xj3BIf18C6r4a7}YymMtQapvVX@S-07Vz$>?JIuw#UlPcM+7y@E{m;SNw# zadIW#)8coJ+eVrI^_$DJT-QSI@3@?MDKwSHRKy;{5vYT2h>1$ zU(8nK*S1i{s~CxijvKf7;E#5{Sdf8yF2_Em^oWi=0pF1hV*fMZABT{Q3s*#w-(52Q z+@TJxqF>hsI3B~E)8SUCliG*`XPOX+T-PGUx+f>nvvkNdF?s>78L0Ul+q{tx9 zHvEz%3_;GK)~w|y0D>o`xWWS7HKKth>>+dhM+CI(uF)5I zzkE>Xnuh6Nj{w1K(H7;M^4vLif}=K|9CxazD9%=f%WsY;UOpP3k5OH!F;uw5YoJDsY<&(#t~53k69AI4@3)IU{pZa z-}~7;Z({;^iBc4@6KpI)asoqNP$M~M1T!b%BtkM8w}Q4)1YD+N^ihh22|$$cZft5y zoGPWE`yf@!XNtfYE;AjFMfhM8ocg|`a9lrlXu9Wb!t*FF_0j4&gXdHn6R&c~WT5*f z`-VbHL6*c{g?Y@QDF`9b#L1wos98)3Cff_={aj^Y|II}Ugvd)lWY@Z6C7Wn zdCQBQJ52fp#NK9_d$@vMl>%Kb!Q_zw(fX)A9&LZ~hmLXFOh~)8;OAI%OStE-VHNnW zDDkmzT>J5fwKzVRCC|I|N2w@TRZ13&+;GXQv(cnoJ#yGkdT0L&JSLi~)t}?1qloAI zA)uxDwW~A3&O6?K65qO#nqE7#e?p&| z+l11P_C?OuLu)q5AJpxN*~tTWF%eI*kSgoPo@^ZXq$<@||GI`W+72m!Aqn| z&6~LPf_S8WLmCj|JngGAK*vk?Tzl#pim+b%cNB#s)N|S&y-Jk9*FJ0cY-@E=AZz_3fI!R zBr8r#gt4ng@(mY?Y8j;c-xx36A@IIUQ*+~0z6{1+b6?65;$>t^_q+=UnxELzFdxK2 zoiPey9Uxv9*k~V#EdLgCtlPfXVQQxM{F)6)y!q*Gi_KLx!idrB9ea!0=-e%wRpRW1 zm_G(JA6Nd32#%Ng!4Gs>0l^9jGdE}&`JLKNm|9(K(Uh2$2rJf2VzZWXglks-Ho z?~I8zcsyxqy_U8G){^kNqe6(DQ0-XGCBEtTYl#QZNdP|YJ7z0D6nXRSSLE1*Gs=_@ zUa^}~vj7p-ML8;D*YF?S1gT#no?TGMV?*L0z*^9W7+gWN|KtPDbfUuDh}XOdb@l_a z*ZF~$Xick6*d^&uj|Ggak91+_rn@~dZTA>5E!Is$DsgUD#Dm+HI$!o;iwcBDAJpNn zwA$!Nxqh#SB@4FG+p6mQJsp>>n+$ZQn1*)&sWS5D<;_UC7A+?Ef!EYnMeV7;b13{P<TD|OYW&`T9xn|MAo0IEGORiH z4@Bi2x8ntQwzXVBL^s$wZG0<9z<3$A90IS$1Fm@$y*V zCSpkG?_WK>36*3Qzs*1Ld8Tn!(+#HBl$sdW`!+P}fDi&0! zDn$BOASJ;={X~0e#%Ac93{)&g)i)_iv5RX(=HR;w$wcu8C~4+w-rN*}6?12*y`@T^ zsu7?9T9?NTzFQ@3djRI0u_iw>_R2C<+8xAZ|5hxklX9sj@d)iZCcL+eg%yyD_%PsH zzS(-1zW!jLS{&}VQw#VASb$2K)6h%2$ScfP~Ww)ZZHEZm zcM;ww$*aH+!7UxWpPReyQ3URvmxOnp0!v96D9KV44c`Q%o|pi2*$niwoNUovayl#2 zGJYKxj%3kLmGqoGFuc648(ILTuEDiE)a~u0%4{Q$);^Nyl7js9s1vQ?fjd4LJ{PS- z_sMZq;2f(cf%M055D;?_12zfqqUAyaX`h?zI200g4_OTIqP`_kQ0~-SD|XxL-HH>P zJoM_b=ER!qh|3UjvY*}8jJ809Nt;wrF^bIHJ;?m#=>N`4O>xDeg=*P?HVzuQ?aqor z&B#=Ddo=jr6w9m*X;Mi%6QlZ8P|0c4f6Xx3`Oq{8X{A<0$V*-fKc&b0V7xi znO&iOEzlH%+2=6tTqDMYmAJ{&zg%8Y(5XGGJXAPrihc-$dBoMV=rF|Z2L4ihSy5;dz*NQey!;pF6MCHO3v=6d(B}5vBQ(0B?YU8@vMcHKA~rGU z{XHZm{qP*NRS6)`5!E*S5w6{YGJ(2;g(W+|>(pTIk%tsq z1G8npXhbr@0!^wpfr4G2$D*boZ<`s??U<}Hc? zMR4UkJNHwh(ZzZLJbVUlhw^lJ+AF&C^w_}sHP~xoQ!;;qOuS-B{$d`{LIh7H6a7zflQc(6ssXa#D9i| z#RlK>X<^Sxe$>0|Gsc-iF$RAic$m7S;fuRFO6ltl&;U97L*>l#Crxv`5v-!f44tRO^~zo>A@(Z%6I>Y0M=tVw8K zP0_`z$VVjQT4;Fi&!Uq_p2P}WC`-IL-22Nw231~Hv2+Ecw_)B}!EM3F{{?5GHyz8F zLS?ECB&z+MwUAIn8}#Q*|63A=K_l|CT-7{K13mc5ba?g*AfM)+NnO=|r#;fbi!&ej z>rsw<4l#n)(DB@^2w&xU-H$P=UEr_nQ~t4uD4$k$+g3N{E!AuEmxtZqf7=D_`Lwe& zLd|8OWL+@cKMi_FmqA_Wz?Up}r49*f_RpUa57_?uTHl4{B~9P+lZ|d6-5aBryv=sj z?xWqJkEogvoAUkk19y6A z8M?rs#En49cA4r>NgYaP3WQh_{W}?H@C8w%$;LIQ>+I3_Mc?Mks|+)nO!3WW@C#(P zFMTDGY}{Vk$}jBIIUvXaYobwb9C$YunWz74d`fcTGrsbTUaOTp_qNymmg}xP*)FP5Y&2Z_&yY)19Q2Eby`&ssh4(OX*PiRoJf-#d-* z+SZB@FMWcke1zEjr}89chm%j{4GnvRg%S5=L57w+4zON9Pcw|~vt%GmC_6Dww}H5< zn+;6Zr4?4v%Q+kR-y-6?vC-{GGD|(DM<8tE0g^nXo!^*aTA-Dm!i(ntHaR5f8jg>Q z4DM>?s72COutjbh!s4hcUGGv2pw6TnF1ezrXd&9XA7d)Lq(8Ha&Dia&MS{%)jE%l|()`lrgZfhH4Y`S5KynM#Rz z;Qt3syD8Xe-orM$m6>67(QwH@~zdvN^9>A8718z~G>yLZgFtFy~?k`zf9*y`hW zbi+_N(4+)}G`O>%t@T*j_HK-UAB9B_>be64630;Sf6HOqQDu^FfW?T8as|D$8?kCx zK1D#fNMUSL+JlJ`=;kmQIm-#>%qRtFp+hkc^J!UfjS}t>jH$6=O#H&#sfer=wy=c% z3UQDKyLzwVhDLZSpb&`o=j1j=!>Kiq0T%u=`j;ju)f*6>$@=$)K9{(XP57P2E3ZID zol>gwB6;$74)<&YO2*VU^g}AJ{ zDE;604v~CE*|jJNvl_EB=20*}LSq9v8EUxfm|js!9;Uyubv@f6;ZSz1weG@PVfHlq zV^KkN5WD3_Cb}u$E~!Inc_5xJo5tI{gn(2rdQL{6&HJtg;aEGQ#mF8?ZYQLu#d7BZ zI*ej_n+g_-NFXB{M*_}lt&l>dW{ML3#Ww;M<8u>Z!8Jv{VLQgQnzgUqh+S}~A$(tK zhg!su*V{YRiX>L@o;A0)tAV}bUVfT&iNZf*`j%j+L&)1Z(JBH`H`8L0l7I28Nh#n0 zrQdV5;D#6QZ^IUWIhuT>-;j!44k!_B_eBV`x`eW&Yt$QbOj_~}cYZeawo z6b=E;6Fu`H0Y2+C5%cI7W;2vNa0)(tQI*@D`!B|OV?}QkTv2vHoPn;9C{_N`5?Wkcci+fwP5i{1) zDe8P8&HLco<+3S4o&U6rH8;4C5d#qo*ANYtvH3we8P`DTEq_b2e#j3f4t^=|MRzbp zC1E@-9+V3a6jl(phx_Fz)M%{rsE<$>uw;kPOO%Ob*M^;;IlRK!6=FW84Nk-Tj@D!z+*=no~^{RUI@=Lcwh!3I|RE zNlFm4jB`f{Oamc4>%xPzzF1CyY2T2QERsG($_iKZ)dA(Kt2Q*TrJxIqE|7cn6Ikgt zk#Ekz^9v(^r3qRC13YO;!c1$*dwnA!AhI_CFmXLNg!Dae= zU<~87G9)USpar&B|6QY3byhz&?xV5y{piCL_xFU+cQ7+AE*9sazYWI+k^W-A8fwwQ ztl|>kx*7U*gAMZ3T$0F@jw{F1+@=XnDyPMeu!o2Fo{#3G6m_@NB2jj+8ThtZDAJ<; ze(&?xnZiQg->m8}YCrb!fl^x5_n8r?ee=TV^p9%=o3Wnb?fwj($>8&N znpx7&m_te8fbgmKO;#t#a3ArJE0{Up)mn`YR4N3clI{^DtZpK5U@t)D$8WtRUgisM~?0AOPKQCe;GWRJPTN=(q<}9M!aTLX|KF<`BBG$WL~k^Qf^& z=+&Di6*0C>LR^djzFPjngJOXQl?mZSkFdLaNm9^C}QO#gV6{l!RfD9Z1VX>DiNMR?B%-t&^Ra<4o2(Xnv$0ME8Eu$4~FflL||2%dm~$34_adQ z=L9VrO5y1=ySX7QCcc82=)a!yjDHz#Oo~^g0DDEK1pVfcT_U~KdCsHYHMgEAY_gIm zmk%=4qGAN5H3Waqb1=smSN|Q?h}cURgp$gEqx==+w64x;bEOYIIObZoO#N9~Cp zC=>i1oT`{At_9G!pF#*4*~w? z6om$x!45`pq5cF(njd*ot5W|bR@ACKodl+qlTi^$UP*aDb+BIRHngA{!V2i`xfbC0 zk5#DW4Lfy3#q;i(`}DrWX@Ufs>3Aw=H*-3uOou#V>~y5wbfe{q6jcvmA;LGrNslHWHT{2&D!OUX@{AXYTxs~8hxJpy_U~`*v>jyLp0PQDzMihs%`Rqzz4G{@9!BbJ&MDJ)0 z*99jfEwA?a3s+O@L$8*9_ubYiPJUVRb6ANyQmz_F9C&} zcqD|hI4=+M>iZ!+M6t15rz8+@fR zt>C7>2@0HQnCIXCHF}fsKivLk5o%VEIu-4L6^_!3a^eC3e|)2%&tL%sail|!`-5jE zvBWwC!2m^XiP>OHWn87ul+SZUlB2wGPsj$Y(P4=mDA2CNVj%NLRg+ExDSYHU%mJdL z(^ufkIs{=1k?1*^#}ingk8Gd-(*C(S8I8v=T&Z239;m!*zId_BK$TZ+TV(dP{YSa3 z2B(H$*2qOhBQqXE6Q?2VobcZuu^9gj=+&B+Yh>+KR^$84+Gq&@hm>oF3lI(;|^yz;Fp?~q|P1yA5 z9X9dcyV?`vnNtt|TtRBLag?5c45cQZbvlc(gNrENpa2pi9?LEg*);*Tm4nL0$F%0y zAy0aiSY-Q;gM-R*egwzUPupN5NmrXczYMq?mp6dFgIQ3TIt}iu_R5?3N=ryQDBapE z4I&F}&)01?@`xOnkMt~$0BKsa;jd^~Hiw8*QtI8e5r3a%dAzbPGLD{({J4Cl@Dsh1 z27Gf+c+auW4=1aFV-j61$doSeM&AzceA{!M_lq5y>%1?T-TioTZ~$`qY0q`p(eI&I z3P?YOM`fvnApz@1u4$3rIUuMnVzI^lD(ooKH^tvK+L>{DW2D^Cue}iMl-1U0z ze=mm6e-RCavmP$38@BpCc()O?arC8g{jZ$?w_ko8d3Gsa0Kez7&9QFV2KCAV{ozn) ztO;_&Uzcx5;M|?SaHO%L-@uE|UdwPbB<;<>#Qb*K@2%&VJ{Q-RPQuj3eAiwxju>I{ z9At1tbw%s9zKe))7!N}10iWCgK?MmR3--k3`7kmTz3O}`$=$Y7+HQz(Vw6a(Xrgy7 zq=)pnbkHyRD>xBE5xQ%t){s(3WC-$^UL&LFq^UIctK+5G=IyJ{EjA<0szP|ltZ)|4 zMNGq)`tGJdo zf@HYW^|S&yoYe)_`eo%jAuWt)7-kBbY)RzZzT%dS@%OGuLPJYi)_drf+{4u8dz#E_ zqJAu+>{yLx{aJvJ-E&}mB6afp#GZCEmLHSciSU{JEO>S_3;B~6Hh2s?+a+e_Us*ac z>l#C2`n=K0aGKx6^XI3yDf7!Rk?-c4O>}+joDa%WpoC!;S z6>V(kpMNR7Azw!gf^ea5o) zkIjdu=xp;iBM(!pm_PmfOqHXaO`%^*U_j=PP-ui&?M6V8(oJg2)?KlA<+ruu=Z728DGZuSJ9seLbudEGa0cl10_V|q zmhJqBeS3iA$MD^<$U5kkyN)-`y(&5#*^~3mp`yIq@QfZpI>WjeQtg^cWnf8|9*S|} zr*Z0eD2#*S>yI~S{FX6qGcvpcKSZ@!}MCOfq9u{as3Jj3J^lE7zhjb4A zMj@9_J!2dJVF9%<$9OMRXdjA1u<>VcuH?sX^a41rJ}kwt+pZg>l&)2zb`%Uj7Jc8^ zr=9d=T2`o!veD*BfVa~(DeDB|CDCrKfKt`hY6D}6quo=Weqey+B;j7YYg79KVj?q< zDOcc#j{|6Ph;OJ7QnsfaCeRV&!>jh$Fqf<(i}BmZ3=U7#chsNBXfu57w}Dz=@5JNv z1vt-=Rg8XvJG1F^_Yz^!3QU5QVieW4hpGib1*w)whPw3F0p*A6!>>majOSmJR&ezj z$*#XHQ;QDZ|8P~j4%dYJ^#i$z1{G2jXyK-;lqmPGjB&|#en_@$NFe82E*0MA0eWYc z0$3bW?w}vcm;%!xtWOl2phHehM>?||z+)r*f@*uyn<8DW>gyV) z-_Z$I%y*BwWm*lFS><1?jTc2-saJJh_|Q*c=Id$65LHf3pl)kz46tJN7L{a`@d_C0 zDE@5wV}~@wod8a*Sse?0e%@G5_&&cG!+3idd=8gYd;DzKM};GOM&UuJgd-hoc{!f9 zqttVQi5#$7sK^0Qk_UoNa%eG6sy6uxvOvSQ-RV_?OWi=n8%e=wvYD&1`y?a9++LGa z$F*_k>eA*1K>c4P_yyrwVN>5m|5i+OCU{Daglk|?&C{b_x((7ftE7B^f6aFnAiiUs zpvE`srg!Z=VH7N=UqDwQPb+Ywi@VDO7s4$dB=6#PZh00nfw#v%{xf&LVa1lOHwwlb zQ(h;?3LI})H+681GY20*2A;zE5=56HVY4L*XXaBCEK}OaX_!^)n9Q6-jvh5&TmR<7 z(|U~?8=|JllP6g=SEN>xTbVg3m<|4 zCOwhu<8=~@;Bm)K6hG@cUV+Jn{BgbR>t+8PM~Mj1xfAI%?>VuS9TP^RA{}92>147f z=?i**7#%XUfL#y_wn(g=>Tn!NYEfxrjW=v2{DuEX%>1A~j4Z%5Sh;4xVrK@xd4a4j zxf`*?&}XZNFU+K7Ddql!Ii(V8poeOVL+-4b7g39znppwQv_#Mlfhl6RRYT(#2McCg zz=@59U}KJrU07EmbLGsc`g1j;*l?UjMs?*=9<7H0h7mA_kkqY|R_N&|#Fxxde*{W_ z{;5=M4JGvEB8KLEKzelEXRnTvSQAC->wUVDedu*WtaiHgDZq+?E5t8r@X`ceiZ<^O z8UK@a%bIK0D|i@vM+nxFp6Qxhsw{*x<{PtfJ|H{Fs0*Vd1+*?nZ?R!+=MYE#Zu0J$zzuPX}4~Y51SIhbRdi`=Pf< zVTGQV3x`WoqWWl+d&Lek_7a=a^z1BnpRGQj4q-dOI3#S9Jx47$o*RBjwLt~{bRh8O zBomo%MxC|$NYX)AdQv^j`PodtsO7T2`aPtfj&a5I{}7L6GVz)~L1`YLjcyr{@B{nS zzu$m|3MB7hxlfqa9Y_zuJenI5$W~J{I#v#j=#El-e~hVe)-mvJAOSBH07Ws!6)d!7 zJwoxY)nxEDqg!`732{};Jy7J@;hDMu95r-^h+lYWwNglyxsi;In5+tN?~6Om5A@Nr zZX%uvEQ#4~^?FY@CUr$jFhzf1nNVg`9fx`UwwNUYO`4K{W?DE39?5%`FPZ)HN@JY= zOeF3Y&MTp&*3JM;3*q5jOP6r2ivi=lP*2PbmLo@>;m)y24jTW{{QkegLn;IC-wsLZ z>ln647m4j|s8xt~H%uw*a~N13x+h4TVY`dRFQ%UBsdB~JxQ?iqbC3QR{VoFo4|9W> zAN$jOkI4Q?wdS_n{qqPpMG*T3**YvW05((Du5l1X^M9G#cP zplOLmPJJqC)GKmOxX=_~#Rp*)hH^i~l0~4BI8#U`o~kQ<2_YFq#y02SsmjPZoCdhc zu)laYBr(_Bi(iSxdg-!n6QySTx`Vk;Udq}}rk?CxIa#`OdADO$xR?P)F{_LSd~8AX zBOmARKhL^o_W}9f2hPb@8U{velFMwtr_6ethIE**Wr8r7bY3#wBFYLLM_==TekeVC zPaC~I!bClM;@eo(T>dFYpQlY>05i3dd%il>>gn4bZao>c^@*vH;HxH2?Oba{F>kY! zjNMF|jJ7n)7pApV&N26h*I*|HEe{?qY!Y1wmu@Sbkktp$4282v<%n1!B{rn zV5b@)x&POAg%tU8<;^!4yE<=!?Jg>&e<9i?$gQ?3sFvAgeLa#TpgEpW@^|I-7tMU7 zv*TR5nmJP@FSX_CN|F4Lpwq-3;@s6N*XpM(3dw6`R$%bo0IhHyIwMDn;Q2H6;ri=j zS<1J>iT)Xkz!8p%`G}u)2*6mvv#)@g+h#0mASHaa03@(I=a!^v@S+U#|2)Jzg={r; z#U7q{kdJLAu@vjAca|vMTKb=l8=HVcJ7l+F?4989D#Ux%c2{%XB?vt|d}%DGNt;UO zwgW2NndXkK`XP8g@@#N3ek^#Iz5U1<%pm*?XTk$&cH`yb7T*8}-}=Jn+IDQsEHf3g zp3l7P@H?M`)RR(9I$=dXxO2SH=fD2}1tO%z`seT$6JR_VQsDnL|#Ix@?8{P`$)5{&r1Kg<795Y@^0(!5uz z=&ySe(rz)r%9zA&)sTKqli9ou$SC-X|=QS1=lk;J)F;J?=+_d^GG7?uqr0C9>CFF~NtgZ>Cl zecx8x@h{D6syq_ELh}-|Wq~uvvVyZI z1x}q~MS4vZBgx--k&YVR98VT2J?33Gum4K#f7Puxoe%QY8Z*#@?XDLV4(tT29j5z0CS`5H;5CoxQvV=g zWek{WRo!1C;#{pQe%_{ z7de%Z;B$*cuky!RSoBaFTom>cl&)4PlYtt-o4UTq8ad_mU0#%?|KZN;0PiW_s%S@(xb2`WjtnBFdClbBl zsU(w=hqlRww}FbNp-~-YhTT$Dx4nd@A$lxT%A)TGfd3g^dh|TFYRo*!k52^F%b=Ds zgXHZ(D>ZQ$qHqkNY~hQ56ByQ8GIvR@F&9w(BK_|Sz$Wr;{0(kBdM@RtK(+G%ESz?P zyp}AP*9)h~JQr@ymzd7vC-OdVOgr^R_47h=MV5R%pqx9|X0o zvpNtVI|@_)gVSmD?Lq(>=pVhV^OQ~;Hx4ERsslvV&*Ok3oKB96&d@CR5-QC}f^6JJ zwz_uD&C>5-W~hLaVPppj0X&;93No;FVFoF?EHlAFUeg#HrxrX8cMsI8eDNn)0UTCE ziTXi+7|M^wTaCCCg6W`C`?yS-H18}sY47VFe=AY(lXKDPOytc1PSENu)95Mn#f3UJ z7KRq(=iJba05cer6p{jeA<~jBF!BjFPSbEJgixY5hgC?t<;R29!cC>f?xK}mcRmRI z8-W#%UXe`nPK&%mBIintM;ilWfbciScnU>h(N03$+Ju{QY~Kro!)u&XSabpwLGQg6 zoWAc%zjn6YCr4$h5&v;!r+FY}f%B@V6idy8)i_m`s|~d6NRG47{0hmdX0}rs;1pTl zS3U_MfhjK&yo+9NVuB&YEYUmTroRoSC)wn{-f&3lR_)_`OF#SX%hv7wQnWtG>{mq= zty5=2fcjCs)@YMk@PbqKo@JddDIsq;qLbUFMohS?%mHkA12~IM#TuuPLe*RiR&*Q` z>hcaXw$R%02ASOq+%lYa_onr4Izi>76Tt7nnktPAERke|4}g)Yt9I>>oTo)S{AL5GWlk!WOcE*Q|c3rcRs%bX8svy$BRjzR$I<1adybK#14Q_SNQFjQ{cQpRnX zwzsf}QQCbB@Ba#KMLZ8f?Fi$xG}XWvJj9$Ie{Y8xvmJrTy8!#fAZ{%<*d59T?T+4O zhC}Z$i+baPaKqjIs7;Dzdh1%|D!f64lML=D@HPy_2iCpkkNh3sos-PyWCg`yQ@d71h>-qoU%+BZ9ftv!!QxS>(qkDky5sCCZJ6W4 z#(`9JD1DV9g>_f+Iy%ZW%W@Q;m^Tv`I1lVb7LWtf*5)@h!}!%c5KPb1jEc1UbK=rw z7aV0TLUHqC5`C}s^bT)XBH~BT{+B?=mHULD6X3tV7&D@H%s1p}zP+6p{pu#gB2Jj2 z${sSNfS-!WFBx#)aqR(DiI4-wokU@65l#DI2WJp#CzbCKbwBAqCJ9XnGmgywdw!7S z!vBQ5wy?5LUgylmN5RDyL0fb9^NqGP8|c3HbK+2Rg*I}1BrAA}p*?ec5CxYFrdMqQ z{kLR)`Ftc$1FvvcDO5);{UUSPXzs;2z#)y8!SQVW5M(Lh&GxlqGRjTj7Dder740OQ zIt!vBQh}jqN?iz8)?J~tEEA4mtR0vQ`IS9T$15_S*~n80>mA_#Kpa2b^mbJ1UjEC3 zR_BiVIc*Fk#B8I{wu0GJOEdi!Uml^0c_+a4`Q(kQ>l9JTOsEPg8Mr}g{QF@%XRuq% zRT=awP71>O+R+cORuizL^tI7ZfKqi`Mm=*8XYqS{1=8I%V+$ibzn+k*?@-oN@jZ;o z%DnH4o1C8Vilx`UPg9VWfJU7=9j6tAZ<*p1KTscd%%UlAgTP#%iMOaUaW$|hlCWug z)3Zx8Nc}zazn$`5?Hu|ouiMbb&kc60JLOnm+o$hDGGX!YqTYXpZfcS137^uw zu_vNRp5<6MtfH-3F>vcKLrN^VRAeT2Rme7ywa`>%0>c|)1lXn&cn20vm3{{V-NA^r z`&3gTmbp$m4A(@fGkBS%ba1#Ipp4*_TsRx*F}x0;Efqt}TiFeg~n; zw|G+tJZB@+KK52b<4X@aiYv`jlz}lL>|W7G5~?BqDU~J$`IQ`z@L-J`(@Kz@l4`7H z${@hxQ~_#2E7tQPnOdPC(`Z19V3$`J-WOcC3SP%;l9f!yU?|_FKI?P7(Irl5{HulE zsl{5QA^A-W`NJxPI^6=L&tG^P$1yUOh)j zd%o=EV@Ad62ZI9pnidV1>Ta8Hg#fYgN(FCQHDkR-j*3&wG0*=8&_FN0SviHGDD}Tm z{kzkW^Pu4D<>rRWic`9E&F_d_!oyfHT%xCPhK*(8#7o8$7|XFzWL-UY6$}YH;=F^{ z$&`}gc6yPYbb@MEqa?JfWFVy`mrs~BF^-S2PfaPJ1c>jWbHEiuyHEjEp%4M`qm$48$J| zq=9Z4c55&Ym)wJ%OaLQWB-#4pn&jMF62xe@5Zp?T=SbgQT+vAz5GkPm?W)gcIV4yC z-9ESdUH4iKJx_Bv-L27390ex1K=c_QBIi{uk+_PTgK0A;63jgDBg{ zAPme6q?>(!F`;s4D1@mtz#i`tN_t=e?y01}<2Iy%RTtVLREi(t74-&Hu=;r0_odVd zKkXLvcJzYWy&L52_y5Qrde0aC)`r~Go6-9sXd_`C4Lk?nY#B*}aZm(;TamEyYZ#PH zFdMtD^GGorYvBp|3AXLt&SzgFnRz(b-Jy#w(yTmaF?%bYeUUv(xAyv=g{L>3#TQu$ z^$iEL%=i6@rRuX;uQ~q#6m>{e^}kQ?McOdi?V6dR`jZ!5B>4@8p=9wCo8N%(PxZGv zY2(#UUV$l23@B}D{on#CW1hL{_~GpPYp)PJzPfIGJNVeJ!jA_@sjJ5rFenG>{+}Om z5DEqe6h|2ve*WXorRWy#HhICRlhQS<|LuC9W*aaODLj0+Hhv|0M<|(`?b#MnGF5W1 zx0%UFGCkq54RWi}&9+(1hc0h=#AiETdbOtvWbOTv3#@4?Sk6G_$g!Sqc@M+)P$EJ* znb{r{&E_EXYf7x=lE{iGG=4+!r0&s$9~4L5EHJ=TozC^(<(5`TUvmpi1a-}kqUc{S zOm~bm+v~7hpsTMxdeT8N%#J0oB(8nG8vLG_0~Dxl#GX>DJsgA?BJ|(17Y8ut<1Y>b z?8O0Wd91LCSLE89=y-7;>n{!#=wBTE(~%bkU-vH#|H)p&dqhtUW@pMQ%$!;1JV!uM zG2AjzDL@}1PEI=v;KF?9!qr@@I`r*)#uzqI84xJ0s%)qIf%eU*9Kw}LDhF`jx_?dO z0Da8nj+P1{nz^8>AW-+`b{xM0V*_hkz{mjj9tRVzm&Ev{ZDxt;dk&eofB{Pk+KF4w>Au3xs({y5)!|JR$@x}eL}RE8;)CEKw- zez1Hx8kn?D1K4cr?3+MOCw=+gA-3TCcq)pU_^sO}mRjd9c)RO9K}RB&KtU@BarY1u`QcRZU7y4-62}*PfZ4p=YK~U%IEd21+BC1PmlF zsWSdBO0)t&j9>^U1awp?5(EOVtVAMINNG@kXo?ENkbwWkB_TJyQ=bU@{ z&Yr5C`|b0s@7@3F_gT*>am(f4&Z?-UKw}HK*bz{QYA&Xy2zrU}gxuuS>1$6}JE^=Waty%L6*a4aX|sas+^c=LEl3eY9@pe9kz31iu{R^&Gddrwa?_Pzvl3D?Bz z^3LAVqYS0O;X~rLfsw!6dwP~H@KTU1ijOGA^3<=9!f^G`yV#;qr4KW_t`-&`UZbDU zZnIqC{otdwu|Y)XjMC$Lfqk;OjFRhS&FK#*d=amHmxmO8OAjfw>>-5o8|HSF@ir_4SIea^~98S&9t zr|jGgF?Hh70gKO*eKdFhm5;;i@NwXi)jsNxK41QQ(^7{Y4ML;9cQQ42{nVt9kVJ?a*DJ z^pn|6_RJso55DC=OifbiI#1>rksStaEa|u)00hl|CUWd6I79OG1sf*{&my=I=t}0U z6t~3$4;c9lBTrt_r8Nb_isH5SikNH!LF^jdvgVOPP@EPMv`O~R&`S)KhtraGEe^Qv z8Xa-sTOAi5Hf;pO0ry>Fn!LF5sDoO)ZP%1w+t*wox2Jno#?E}=>Q(xhqJ43ks6~rcS#gl&+k?6@dH#^S z2bUf%W=NjlgVnux^pPYAaKFpFuIxOiK=HDQBlh^$bIk$6o#FqUUCc~OPb0|+$bNDl zBtx2#EV3OAh|p1_O?hjJ$AG}&T2qwloef)GRY|i&xgHKtU#^kmX+ZMw%zgaO`@Zbg z{%LgbYTnvauVt|jDtaAlvoPIaUzzH4!PM*2Md0w=cEKpP^#E(AdR;IoPe2Q`NqMSX z7mUIaG*Mfk;!SkHl4u8eNvA0)<;(y#Itf=9)jyhPXmjWK*QPVLlR$_hK<6uJspf0h z)P>u$cqGNUwWOP`BwfPDImg#p!Y7BEq4a#A#6ctg<$NpmD%tB7KtUD%%T5lukXGi!poLM3Pz!ZR-f z7blLiFDy|41^cad!o|+bz>#@|!du^6LbR7(xO(SXpL^T8qhkq?({e$B_xzW9=Qn>( zfLLMJ;3#>rU$@}rJLD9v(^4Vm_LUjDBDlaCEO}QL6U}FaAPrs-+yTokDN@WA)$&0I z(%`uu=&Y3EVz)V3B0U6Y@LUk}WN@S+S%Mm~(Qkzy4W0{bVH)8_BRh-2v1VsOkOt2M z_we_vEy$CeA;?&x2(FG=kl?Vy_VS2cbIBv75FskTK^_4ife(+OlU#AS7-A4y67>c4 zQzPRFI4SLSCCON$NMiJpNv>>YVOo=sV390YT%?LSYv$Vn|7?vqJ@Wr#8v_`(!)aEe?@`aqyex=fkZefamKJQody?(+dm+e;<0qJ*YO4S>S-pR5r{C9UTY<+!o)w$O zdY|vT*t2}XCpZ}<&9K+IBRiRPi`r20t{hCws0v$`euOnDZ+R7ScO6Xr!1Am5G5;3r z&#xkJ``pU{U#**=hDn=korEFKGuJR3-1B59I*xg76q52YGYUwIeur)1G#{OkH z?Ozfb@q4!9R5f71k){)t?fAXqQPQSVHayWV6Q0cdD-w_`?p5Z|eJxtTN0BK~>;2>! z^6%Qd@r|Du{r_qL+Ppim`mAKakYkc?o6}~X>*i|8}i)|mRyqt;Gk~|S~ldEh3tf2+O41Mb2j7%T|w@J&=uuLtKa&rM-5+N;xbdW zcj^}re(?XA7}fvm|2AJIiwGNM_N|R$uOE@d-njpvrVMaJ9um$Nc@p&OCxas?mZb1e zV69ToFnE=L&;o227}>5YLfztz%zafd>sVAiDe3nQ+v1Po&A4t_^m7b!9hvIIar?%q z1g!V@p{PBZC!z;ekDWyNggiY}o4>gu%eUH>3C!od`z?Rz=L4;*?fkAeoI?ZZf(=`! zPizhyZhmSG7u>Ga$c(|gJ}imMWD>x>vJk)pV>}-kR5a5Wf_xrausF?qlh>&^TyTr_ z6rnLZ0utQrnwrA}v7!kg$Jz=q1So<-bJF>gxZuE;bU5}50bCMj3I$8RSvR<>edP&E zm)v1o12iTYEcU8{<4TU|H`O&&vyJ^JqeTVMOx@w%)h>SMm!!y~y~dZ{hB9k}t6vo5 zhqjvdh40iAAiL4dP0Gby$5bG019QH1aWNMHL)Q41?w3fWHjk;OGnWJoofHRrpf}P- z6}JIv(iRp;XfVl(A3!GEK31agiX<8-6@-HZHO+V1S9}Q4GY#DEMtid`ORT&1^iW>_ zN(9<394Da2s6f;&o1@-cql2jq`6_W$sY^}x&xCVF$(n2For#Bn?G0;%b$Ye?Fvk~h$_Ss{^&oJk5F zrHdO2zNqig5 zP6yG9F1pIQkhZG4f0~ro;X@;u+paYrnyd34>srI{?$Z5Z*IMP>DfBo_ShnN%n-L;f zmniO$w)~Y%e2bPi?F-ui5seNtr#~gVkuZSL-boIj91R@~>9c6^b$r8yzD&vy)zE?S~ z1u0(E&ra_&*gE}J&*TNjxh&Yt!PB3f0n$Xr2>zbs2>Seg`1k#rfAn_+N>9;*?(-YA z5CCc7*#!3tXC0P0V{oZNUGRv+H++5~skP3Z1?eHVV33)D9=wG!%lmAgUNHJOKpGuj z&93Pm`HDvjvO`UrrHQrRo&(dX%v&yK18XU$aK0=^1?_@+&|QdU2;c;wg!-)M9JwIJ z(_z<8oq$|xA;G?)6GxxlB?0*$E*z3@ecB}z#b)Piz(`20)ZxlkQrqrK($MPydzJ>h zd_EeVyX1iY5ndvpMbEHjb71{A;kBB(2SUXb5w9_OS@TGDkLOPOKz)(Kf%P?!qv2Rz z9;X5!s^g>s>ylu7fHx|)Qj13+IqDdOw>J@52&E;5 zgsF_w{#h^u(%>Pn2^ZbWhbEPM*GM*<9`uyL=%+xAt(`ds^nSF#af+g$cNfSdQ?Vh< zRa3w=q_Vp`19J*4#FXWeNip57RhEfh)et9bPjNel~~2mS&WaV9jZ+kC+LU zM8=za#|ZO8XVzCT=Q*(V6}z5snEPcjj|zLwcV`E~sC!2@PiODd?LlTrC67l#!TA}D zDr(hjh8Od0W^cGpxG_<2)Nb-Yf7JrULwUckyS^!9IGb8cg`ujf7Jug;D7vM+JU=ZM2If5HK5J^n;nHka5hgtTauQBKYHH4dn zr~mYKzUyE6a{*6RaxHJJGpa2H!5hJ*>~_Zv`5XhLQwKoCK!Evd!K3(f|92;L2cQBR3-=n0qJs4g{-5}qfZ z5$8kq?17!L0BMxt=@Ro48vx=k74t5q-Ue$(P4J``+Pk_LxkYmp;JJ4`f8p1@WS@F2 zKGRB5ZjtuD|M;K!m9PEvFw+Wk^*Vt8Uty+UG*~4@lz%fLvrC;|+cOE9X4-eXUtxrS z*-E6YSrM|YP^zVwc0pk5I75o9?T7;!L7Hh7yoD~Z$8}`3)d~E}hda~gMY-g|bf(cn zt0lKuLGLuZ5;Uu|ZVLnvJExSuxrKp8;0Sn#?+(Gty7ic_ZrPJPVr4po+PNHXckSfU zZqe)r+>RsgQy=l}PrM38Kpp2cUXN+oV0+O#vWJ8%^F0d8jMov@8`(<;=6F43U#}LR z-mL@s9Is<)la8ao5Lzp8$BH>#KO8yvP@?OVq0~dLir2MdL1J$lWwYxXuW#2ZK#=DJ zQ(@ZVLyp(c@l9uif~zk1x!}qy3Xe(NYck8#r(MPC=s7XkePI9VgvMwTe$o`j7m;39 zn_WlW`nQa#vcLGOBQwcmy;I{_D3Jea?7M8{@AG#sBmvZXJ z=~w9Tk>>KY6;NK&$f@7$*zMWy-ksq!^*G`i&4%}O4DUC6=e>{rM*-*t=nOSDH@v!q zz~7kc<|~fcJ`Mh?-Ap%@7MI$;JtKWPb|~yk=c`jDYKj?~BBPeJpS7&V+Rcos=h#{) zo`mUCk1K55H5pg!;T%z3idc>n=zf#c-4Bw3Deg3$)I%!a5&UuVd_DSQlB*g1s8+|z+^lGwx3TUFIFlSw3a+`GJOsYfX;bn;?l2f)-5**a)`6CH z#Cp3)bQ&~O8K^m-P)e7%iM}C`#C%1g0!wQO z)W`R}*r5fN`XBt@)auc|X*ERqAt0ilITs7L+zNInBu6zc6{%{wTKmS|BOBRKtNOzy zK=Vo(GO~0?A}%ZBtM=fAB5M0UVLIVin z(bGxu@@s#rrB7)WnwPNX-8EFLt#@0hcG~iNs`jlP{oDVOABZZAS{zrk?oZu3Tmu6J z$jHw|^c4}}l`oeav_V`4?_4=XG(<>N3IB9l^6Wq(1B6};aU-|Vi;_89F2xkX+ z`?$h2&QhT28g^4!MRBUa9INLP@vfKK@4o7O`}!qhspkLks{Gu1-E2}~xbL!=6T}kB zFg@W+_**GYQI<)0rYISm5V*Qd@q@+)VlGk2yemI-uK3(t#mBVph<$4f(A;@>Go6=j z{prv8lurmFu6-(Q#I0)uT!0I0*~8?^PO~EOEG~$iEp3ee;U6#;Eacc%h;I0PyWkO3 z6b)Jq3+tf>K`MqK2)Y*)+&MTQ1Zl)wa0_NbxCQX$@IbZ112p0;h^!KsdDtu1l(p=UH`W9G`fxm*A5x-#)QuGF=j2ndbT`w~?SkO!yGLl6=PV4yTbDfDP#733j? z%`G3Jp8b_E`#4BA zknJOS`A-uLRQ7QLj(sM<_9L{`gFFJ+KB6N*YdT=v5w5i`Qd%V{)@-o>NiXdq@Q|$` z&Gzv&mQP&pRKK^B30cOHgCAgp%NX%JsD=G={K2y_yPYTx@>4Z_mK zCzbAthSeG9FYDyP;OAJc?-M14k&dmIo>Pi^uM^S|k!@wj8#kp&DPA&Z=wUFKZe`q_ zJ=qA7)3;;~s5U3mTBMX?tIaOJ!Osg8a+nif(CbgyIFQohrPs#5QaSw`ej*xFKLWb!~?jvj^t}d$e(gMT$GU8`6 z2%Vi@w)f{;<2k`LQ=7XFJFp$~-Fa1Q|AoMs}r4^j3dkk=HUf=8)4{Em@ zw+w2xth5HTTUJ_7Fe`xr>@jep)*N-*GN=ZtxP@6v(M8}~ZIhHauLqJ9;@6o{-0vqc zsvXzB#^($mFbnCy1I_KiSx>h@j-9o7#ko4Dtt-yeL3Q0QstxFCLATihP2QMmD{R%8 zU3OLpC|qgFzeDi0Cj8LfAr`U^txiUTP?yBmmH4R&BPOyB?XPZ6ljEkBTxXkloB?hU z<)mCEw^MSnNxkyzEmOP=;O3r7i)d@`Zr264J+_Mdb${x6zxU~&%G7=-Z_%;tDQX#_ ztzh6*JDa!^eWz6HE_hfkmC<^?0Iot5R|rbrYE7cYqfC2Z6YaXwPD;h@D;|j%rqbLS zY&o-I$!)h4F(82eHwyavsMxjS;!SY30j636aWX@_vA{oT=4!tLv1N5KlbpNUS8Qz>xqJ7j2??d#&W1qPfU0LEuF%v@&r z?5~omub1j_I^Boj7{!1Xhk)cibq4IQuuKqp1_07v1&^rC{2>-0lokw zI}nO|+<=0tdzTH^?Z=7Pgy{Ze=ky-9@`rhhFa#{m1jS|!R6;wN28SGlfCazp zZsw)d)yh>!H#DS*N!Q{V7C~n)i(yuk+~n063r<-*%Q0U3i64B^_dhpQ=NhEJ&hg?P z4R($f3)H-CDr=4xk88}DmQ2%OFW~hYH}QG$z=h>4WSWqYI-sk#3B{@;*ATIGju-bs z#M*oYeAmOg+AQz8&2)1eb0_VP(D#2RhRrZ_J1W!Q;%d83}#X_>Kue_R8VHx z<0S5=<^ZX-Njt2S5kMRO$fx~degu?N=vJK!6u)m!A52rB5?gdT0QhHdYl~YIs!}hH zqec)(r9vr`AxJw=aTeE#IWd6k;nv+%PHe_p-W}$0T69Yr@#U|)687YdZV~s(36U5# zs2>q<6dh0vwdxKt;SV^T(0(iA;pMkNIbHZF9~m9>gTwFm!M~J3cxim{+^jb@9oFby zkZFjZe9|6V?<_HTEAN*AJQ0BnJwUv=FnGBKOClf>jIoIzTW(jB5Z(l1Y$8x7Obh1~ z#g}uzGBy$8Gq_?jV4WJ9h`H_z=(3>rYqnyHO|iMkb)Ciu20^#k}deoSLn&s&Jw0i>Z8;EwMZhvKqmvU4nauLNJ@gmCCk{vC7?R3 zWWGIV#Nl$=Su@|C7;y*?OnWt9@!+J|-nT1cktICFT<`XlD}|IF=N1zdMG`zGwdANr zqV7XOZ&D1px)2TcMSs$|B`SJrFqp>MkWD?1zOH6HnEjQ8+_q)o8Dk}LSzN`m|H6tk zxKUX25f7m0af63(UXPp5Q-{j{s}2ZBb~)r(N-hVt!$;5&SLyfj=)~vW^W0a;@Zv{f z4`~KJnYuIV=|uIq3nnu`Q#> zoE~`k2~H1G8PIy9Wmf+F?wx5Tm;!y_-}~<0{ZE7ZQ2P=+Ec0G*jPqsV8VA64S(&Kg zT(Cg>IaQ#gZ=#NK!GeV0WFh&sj>fWeAQuSleK}RViC;SGBVJwAl zSNu=+zw;(JN5RLAukf7<`@iAf_kE&Nx4R9wH2x2I{}S4~%K9O(w#+WLhMnPplSd;r zhaqODDlh`#Nfj8`4wt=?q0q|0ltRR4(G@UQE)A2Jmo;C@X zDtHgJ3L;`UKM!e7=!hnubNwiiT(eVuZJwvO_xomgzaMpJ&0v&zqO>E91p=Q*`QmRE*}Oz_u2CJ8zquecWdtd!m7``Z8u1lWxZ|Z+jY|c=i2qD z0mQWB+e8xsi08iIC%*LS#sY}r2JmwwiUonFyol~ft3EA0w~p{cq_N+~oa`JI~z4`^+vL~KS&D+>=Aujk|4@gQ|datrcIV5 z=yER;?kmZBhd}wuS?}b?-I`UlMPR-Kyx?mhbH;)rt`a!C5QF5(EJhTYBv_&`-cMw}uk)kvhr$|k5X zRMhzv$sYIHvPjdG@3TnX^gsXXyMI?$BsFcVhmU4Z_>Ke+0NdZvy=D*p2=xg~M!~fZ zjc0GO^6-)WMqY_*xLWSdg4x4AVt_UTu_BtG+FfT4|A-b>wI6G3u*%Le^_^L5EVP9i zF8R5In650CJ$z2uh9GR*k{wxfD3Cq;!;#?(1ral}aZT;vJ0Cfgv`T;-7Ikgq;R9JN z_yO?dE<0-qwU7Jl0IK4e6KQaSdTwHYe0MPMg!-Gjn8**dlKJ-F^=1weX9RvU z^ZmhyF(CEjA|dhDT%-zV01$>=&Mls%4tgQqA#e{H9%BjnQ61-5HX-c8GV*+FLzybv zi8zDTj3KbfM@~4-D1-A1kz^#3ArQ0Uh5*3GqyYpajtpc0M#9AbVEueEVK3QjnTcu3 z_nC<=__n|EYo8O~Z*7EWd&ZcdvcxvxC}at+8-^XR2o@O^pFd# z$*TwLf=ek{Fbj$rGQq=&X&tP%qtxD%Lq zJG&M$;VZ%y7w>%!#nzs+JR~Lv<|0y|qrYgg5jQ{YTFrz@?w}%7lF>%KKBEdy^_e-u zS2cCHeiu%0D9An-xM{|Bv`LXx37+yxv)pxM;&)taIQJqYlyDriVv-z3&31+3*Qtr% z!LK}BHDA>asv^XbjQkdC_jNp7?~yA>u?mjsh1j{KG986;<9vbBZc%Fn=9ng>JQcjv6D93Yr4v{87A^j5p1L|lG>z}F8HJ$JrCyw{v}l>-DT zlXjYIF@dGCX1+VyH89(HLZE1B*Q`>sa5E$e2l$AgtK-y#cf*ep#W75jrcZKv%~?}1#%7ZsJTSm|u;`B}yKlVts}rT@4hP157Ic52KqU_BAhOuBJiYERk$A|lep zwiFSicODTDuI^(qRqBq~l4iuljM#Wtyo5*AIrb%={pw%%X92?ol?4!29;oXUCQxqH z9A^U)0#l~(w|!-Z4Ht~Cnsq+dFLc7oiu6}#zCw`fevH459IIRl(z|d$%)nH~23Qf9 zMV42jcj1C4Kr-iyV`LhoS&^E?1rH#e(fAwVGBsGXuW*d?E?f}cbBn*%OB+29g7hw2 z5M?lw%t-HnQEly6i+ACYNaJ$$fKY~rCy>uZybG7ans8Jg$pO}ieD|H7ZMD@RX5NsB zHDm&3h_3Lc+PT#;sAokFm}%ktPjS6qEOJ~g8KV@Yw{{(gfr>3c+uEuhRB?TiDP^AE z9IT@DZ>0l9MXahoL7=K&$#&RPHd${|M>mp*U>-~-EG4R3fnzx!elK~Bv?-Uz&GaSG zBG>BKwx{*BQj^n`Z(~woDn9LtzUOzmZY(u9m~pI9lS3ItxssNn1F*`#1-oS@o0n6Q zpqyeQ2RZ_EsXCeW=4Wzh5-Nf`Nz4xd$SoSrB6W+WYzsvhS7ZxNT{MC@HF-q!O!J5f zjwL%Qj~Fg|okQf`#ot#Q(i0y<&MwKBt|_ZN*gD+WsUt%83g5L6LbIrBPLYYD%4C3AihI)=nUIt_qr+|GT4&m{W^a zZ@>S@oc}-bFMjgl-V|_cwW(d_UwFvr{3FTOIMy_UW{N?W2c|~#>A_js2xjNsykHjJ z5HK`?+4%=<%$NX?z-w2moc|R8d*Usx;b7O{M0WmBb0biJHdBYOX9qkx|JKMvK@34| zR;-+VPAsPL50{~HjFt1h1vEv%1TYYG4zhCo_v^(LlGyoTC3($!l#*KXYYrw4L>v-& z09nx-rZ{#XNtCu+A|kx=B|1BxplJ;A+XfTruJ=_4%kOF{o9%LZ6Q9&`a4y0w6JdWI zFt6IP<2e4SIbGyw1Mj_OP}Ufp2DcAq(;j6hXkw1o?GXf{VKm)Fr`S25h=WcE?_bI(5k{ zpmDP1v7kcInhb_ra<^hO3TxuTfT^!9rKr;)3GjvlB$#@{~rn0d6_NCN(D{3AoaGE!CLv?eu`l-hdI+Ib%5Eo!aXjODl)EXU7%_a}Vh*93ZAZ$|4O zB*VWkv}2eS23)oUShnGwfz=QMLbkq@3EHEpM_dVXf7T}G&Cg^H0lM+@wB^b}kUmlv z{yhpkwa+nX)Trsj>YP9uhG&k-gbJ>!{9YK1V)wGGX}-QunONKGl}86%dv&zon_UB$3ea&1bO zAk~%gql9USZnj0UEfUq<&-?l$bH@I*=U@4ZFN$bYvHot04Ec{~FG5So2CZeMu}A7) z)>Txv8qh-9A(y2s@)e`y3w^HIylP(|z@sg4LB7BeD5D9m( z`Xd5M#Q?^V60N!<+HaYtV}BO$|4n~Z)yY*@9hT^ZZY8U`vtUcHtclcOD_Py1{Q@9P zVlNjU&~GL4{b5rW?hhlJm83KCMN%ldUnQIeB6Ub2`N8aLNS@^^Xs8;|Z#WXxqR@wA zPzPdqi>_FB{n6G)A9TM)0A(95iboReKzvexhvt7ZhXUXmh2*%Sfiz^(N1$2M>I;G8?ij*`aGPmjDoDPqSG}@utv(D-8NJ#JD4KycH zrNdE61x}EuYxFI2xL}E<@C$^@wc;Al0&kGYIBy9wUGj)Bq_{VjiDn3+B$@9H+&?8R z!Te^!O1k8=OuU%Whq3Q!h5ORlVX5O&vGDdG=rrUHG3l)8G{{K@evb9}K2ahixZR%n zzjJx87mBt}7G2 zGi~SEttX0UGM;n$^$5&cEOQEKB)*R*MrM3mBZ|>yctpp{Y0~K~^4xxX;$iAfu|Dpj zwZ7mJKlZ=;%xI9(u3C>`yvG)m8O$_h)~ttFkuPcyB$cfQg7>`TYNRQ3!2{k)$(ile z7=JA}GyBTYq%MdU7oZ=SQVba;o+vj<)07s$B^U;2&yaVu$xX2$O=%J204x>MvSO?_ z$()H1Q2W!@b5uO#0fSRnFUr{;9=jfZ<3&bju(CokLA;5;A$nMh7CLLpj1{ zC+Yl_E>=2!^6$mTO8et>`hDB!gtB3kNE_>P!tqv#L_l&Xu0F}M%lA3qpY_cj^WncY3M?8dxaP3X0q|m$ONjE@Y&yAx z9ASf!l*G)018xjm?GbjJ|1fS+3LZM}ft>#+RI7&`R6q)r2!?}O3l#&NS!)Z5UFZA< zTxRAAuzE%6}lILQW^_eS^6cZT6+QJ1bN{RPrdC<~ivkiQPlhKAp9sVzJE3M`e zR6*995+jD@)Q+R5IiR3*UQ=c>bB|4#m8wS(q^?=is-85c6)h=hIOl->kl(1lkF4QT zWK|=ytb^PQEJ2|HbH1*&NBdk|J$Gc?T}RfmTh!XS86bEw0KqT)6YpAnSwtI}M)Hm< z&rE?1Ri{`0TE5o`hv%E>#2xZ+B*P_%z#4{`rH~NJiMvR%X&JN<%!#`r$OsVVASng{ zMYYGVVouy`F(Mb{7;RAyY98m7m(4-r2P|XyN7Opq+5#ocMh$Dw4 zgAqp#O$H;59GZZKoQW59Dxp$PIn&De;>Vael@?%FtINkZG})u!Qr&Z?;Ti(n=~P;+ zHQ+#FRVRq4O0$fxs0{n!qB=Ce)V$MyQ4UQ&wl@$M<=Zn57@enK)#Y!JKdx!@OXGpi zjn`(Yk}KQxUql;otI~PV%G|2-$_wv(;iaqlLVk<97|OpN^7FapNaRs+L##jSU%viF zgCUDHKyL5>uHAdmq7JgDW573m@rL9om#mjdefE#(6vks^q0Dx~nR zB0x{=S<^*u!NT~kJFH}wRmJ1L^mma24?WVrJV@jP#p|%Ia3LjoStJ#`1xW;L9ZAB& zU6Lz_i?BmiS9{GHZU_LpBu-o{P$Qzx<9i=9{ES2-LK!sr5i8Z^??(5D#d{C))j`1)E(Nzx^^V5SuO zBBrr*Kq(cMJmBbbk$CP39j}hFzCml1gok9^VYWLuH2Dr~_eZ7V+M?HvZc4RgzC}nC zlXC@o$Eandvn$E!9>M9eQN?;}eSK(s{!aXjN0U;^-RwqN8f81za64IB%S0{otXif}l_X~awaf~(GSdHr z(OY;_((h5rt&+n!n=2K6`7A{?m?I|H=16Mni}k&yF@X}(x>E6YWW=NZJ7YQRwsYj> zo8Bk`CH>{N#1^h*0j4*b_$`B|vzygy`4O`_!#p1$%yZf;nmwcGx9FT^wKsk7|N6Dx z6BQA4Q#h}0YP`xrV?m-J@h75J#r437pVhk^^A%j@*&ca4ucAdl_PKMhIc_^ zP1wP$36wTDk<%UUwTw8vCxMdF9hhp$3N3B4oJf+kzrH}?8Tpz3$l>UiLIKZO zdvmIE2dd(nox{Dun`+n0*(e+wd+|>sTXH(eM&9BgEf&(>A1M_0JNX6yQ%k~7*}I|X z;&O^-RL8vX zXgmk|Z5`rQSp&FOo(GX8_PkSCA~4U@zm+38?oSG{KC|aRbh&F zwyD>%*nL(wugcGTGVwP`q|W)CUecESPVg7W>Pix;?n^=AOPD#hhi5gibf{&8p(gE6 z>|okqYZqHa9x=Q5_rmj(G7{WQDFhtvE*(D%>sjb>N&m%idU@PTV^%qRV1M{8v4#0U zB_jc0WN+>)1u@kC+2M}(2(1Ak81A1u^~^h8iAuM^4qSOUEUfC&X{kM^JVOwZ%BXM9 zI)d^m-6#7BYNSG2flNfgDllD(s>^~@p1vXgYfO7$MU=WV42Tt}JY5i3X6^7hZZ}qL z4nZnU7u+wXW1wu%D{m$75Tx>S!QCE7WbXQi1hvMoAxP!vf@WK=B0z~6$A+LN&msx# zaoIP@*NATF>m$n3C3hJADw_LnSU0UvqbgCuj)f|gqG9?!@UQ$&)mv{ZT9>mZrpR(8 zQD~|7q|$xWXx)Y9YvmXAr*6GF*6aJkgGgE09-Y{<8sfDbp#*JPWYAn#WmzRQO**Y@ z5t+xJsl%OH4*dlgQA=~;b-G`C=s$xobmd#z;d=I5vRWUn31|Os3WViP9 z+~)g-wXZndT*YJ$XH~@xLW9sO>A5I+TYPj!o&RAd7OmeyGfG> zpf^pwaG-ehSyOu@eOKr99=EjLY&#z%M*Bbg_P_O|zYyJ`8UlOd>e(vr2NMRY*}OWl zq}`%L@BsQY5#&UvCij*y0;mJBrBlL3rSJxA2iO@F&n^(+=CmIW*A&FAH{iu-R?KO? z{hHr1`_+@A%W1y=U!hyHg#hKWpLL5$b+gH*Y0=Wp8u>_|qqytU=D^Zfm7>dOKaAnx zz$v8tz}?f43_KlDCunJv&>o{a8c5S<h`B?|1Hf|Y=_mt3U)bZ=IvgSxj;sl&=Q`;mLFu8T_D zg44aDDCq^b7aJgY019h`KB_2HwVkY$=-2&|*yOvbTrx@O@8OlDF7M?M+p?i7Sy%Vy z>qaY6Vz^u~myqWYvIend76Mp8{;&VmU;8hj+|%)^E1z`|+kDa^ z<`%bA*PO(T0g$Vs^i`cIA@AUF0bL@Q1;**h#bE2|dFN$lflfdL>4uNQmktBPG$CFDZU0xy53<{(LCJ{3^3 zFk~kJbJd;aoKloe9acwZSnM5xyd+@A=OYo8-$o=!AV5hF$#)9a`SxJi)m97l0P;W$ zzsDsU-hArkDP>i`ltuD)N{sAoC2AwuDVnSopwJ}eQhH}*?5J?S%r=>Wf#&#$JvLR(DXy_ zfBROBzfmf=pFKKmo`!KAzpf;dQqq&JX-axta~j4pMbkm++`bhrHrhNtHO)iiPtRcURBt6-vrEhcyQjhtHTt^Bxn5tul!Vsh$ zD}oy^jM6FE;TTjih9LEr3mU%i{C9*!6i}m2bGqbOSuB$;nN3KMs)P5Jxk<;dbeOy)Ae5jABPMZ%ka@Ac| zgPmMCZ4L)=pp%O(li$Wt{>A84bkWtdikeLa6W*=iOp|iC1|b+hU-JM8A9b46D-@xd zHFI49%)IP^Cz@po7cWbWx*0UqQ(X*oAI{44w|+tGXV}(>#E^d5pWoL*xi)=`Gotr2 zM$F^W&!f))P&7L-%B1eVPc)0A_a3eWt}dt#B+%G(HrWpUM9p~mnGby4E93JTz*VX& zspzKCJg^4|bzBznQ*Ufu0}2C@Ho_PNX;sbPR*uWkw9^%7j!wp9NRMM;6JsMZ)M_Fs zPA11?nC!aIAPTHqwcx1E(wD!lB&jN6l^V9z*P7Rm10d5*y3@X7cN5%{2q){lKAHF% zB~t>ZM=QfQl~o#DJ>x z)>&WtvcK`m-}aVZC(`3=(?9#w26>R&G|zY6gWcumOtp*a)H_>!Y0hf!adPCk4Uk(++;lY6j!( zf!h;73{9wZJ*sAkYxLm$)gn|1wwAIb@2{$b`wzx@UtALDm-4a6CSz%&VC>r~WTtBs zF{V)R_xv2c?)yZEp(Km~q5Yu;`kPlAnK{rzv{ovo$1e5eA6{ZeJn4->_>G8b)IGu9~(X0-} zr#2s|-8we?m`**;h>|2{%GPiCLv&R=MA&K{qN~Xd5hVQ~;>YG8!qWZ_@#CF5L~nJ6 zx~F#0Ha5+(^+e@`@se44$y!m-#U@;4&{;-ikaUxkEo|v2*C2t+V^~3diPtuyAA*@6anLr223*Cn=!FrpW3x-d4^ zVt2~QYbh@HX5|FD{e^eDLq>U&Hm+z2r~BY9(Y+%04r6ONAvbw-dJj`p&vNuI{?NyM z#^3&=@G!;-<##@;7EmW@Xu^n)iV+QM#X8is__)~?>PiDMruZDW;5-ouuW-+(T)yjm zjc#HhlsG$Zuhi-3f|$f}Db9>awa_J9CMLGEoNfF(6Ls7SQOB=*>RUee9p^ylq@^RH<tIWhq1*0F`yPsA_7I%Z3K^3jC|!_Rf$mvz5V0bCLEkkhKFVpO z*x>pYGn6hUt&ZSUtWIV$&Q!~2IZ@qcnuEoX>Nn%biYciCUCT0}{DJ-99s1$QD>t#@ ztKBp-Nu(<*NikqM@j~NL&qN(kOZpR6{&0!P++VXZ^}h6Fe8%hlo7V?y z4)9g9NqF8=x0X-gx)N!|B-PSL?(8dr%@x5icYA}8uk!YWAa#}tqL0LAKNnzMXat!z zb;0f4$=Wd(M>DapB6XGv9u@$YNqTIt-m2mVK}I!25V$#LL9&a3RH4~5b(RYvqf4+L z1=ltZH&&$1azXT-9i@i?qz5t`1`)Ab$K}0IomJfWqC9#?#pAoa&IMIDn-0?+f0h3W zjS`>o_xv2+#HT4TattR(!`r+Vx@~8Lb@R+Z=NEJAAsx{gonp@H(BGXyKkXLH4*l)G z*S`1*zvZv|YBG)(Z?p*GC|lqP*9jOfj+^cXQ#$lMtm5EY;6OJuBPf*j)&&Pg!HRM8 zUBk#qLtx*45;2Z0I5Y}YjH3zex2!F($OHx>trgl)!P1LyG(k3fi*ZD*(Heb1P>iDs z9*^Ml=872mVdhr9!irKf^V8lA&TVD=+9ikQwlcL}Bn2iy8;)Ub=8(kR^W8zCJv|Z> zxb{b&(-@)HB0Ns)Y3-5j5rK|h$1>M7?2ntanKjMewEw2)g{l67%M_oo3AIooFeBtU< zEN68;Y^4z{@|`C(>#ttEdgoi;9lz_H?s5)@{lz!_>b(hcS{NC^+!`icz%XX~@o74( zVGh9sFro~)kD$}i$k$-eFi2Ds4w5eQye&9lT2?}O0WMhj^5HH>qA=sG=>-(QHO96} zybn$Q+UzVyFTe!>+f?Fx5bz6OLl&eL;DQ8K8jyQ0(`d7xcmXa6&U=n6p*yvdlwu`G zFTf=a0Idi~)^4pl`ITe_v17t5hs}|f@X%q$5$Xb86SNXWr-f@-1J0+1@@in4)T7$Q zhLlS;z1Ez|a4^ z-x*W3wMyW9L0&W1B5;r%Tr{n2+CKfNQnEtcjj#7%wFjGOU0leOlH&$UuM`A?PFhq> zR!a8ZI;4w_q`CzIQP;?o5)5h7fg%UYXl^M2GL`~FUZ4?_6^R(Fk1S7#GQB#VjHpzm}`#$=xAV5{w-M>=6xoDfL z)%m ztx>Hc-2<1z6d6zeiDY-&j)W90i3nK{rofNNEUxM})6)#xD8Q()CfFy{H?c(+ET_l$ zVh@Hp-TV4rQ$LerdY&&1t1XAwz~6zpQ=ie9PbmPQ4x(|0sXR-7f(|{U_}ZURejIvA z2`a6KeXyOMQXD~kO1XK%Pw4>Kl%uY4IdGmne%|!)7Cfq8&VRNf)CBQKyJ%&7kY9J;~xF;Z0_oRL?M?eu#=x2E48FE%LprQb{Q zNcugu6yC@xWrZ-=8jEj)Y2Tcy4WBRD8t;j*anHW_`~4N zs`6<8l9q_lJro@=;9l(GgE(D$#~=T=v+yK0!GW8RnQv zW(5!50L5IgDx^HQS%x`r8q_suvbFL}b~$?di-E7x!r6AX(KX!cxY;y6 z$Dj8eEaqIEz4`L2>NEoCMP(HQh^@OD{8A~zI<=<$H#?fiAAV{O(_Tk*OExmm8DAN@l3!s>mf04*KY%cl zaTsc6Z5)<$RuI(fy7L;d&PtJ87b~81)&Nvo`^@|pgP?B1xt2{7z;+Cm42C+8EYubJ!|Hps)x4iCkh~=sHH5hAS-2ECI3DRt}QOv=~ z7j{rdT5Su0d+j+F`~btF1ggR zEMTB*_5(7rtzeFEL555sFM?J$0kaNWb6yV9$_U9ITRRC*YQd^{i3$>{mlCmeYO%Q@ zhpH}1Od<)gly=ROc76(32bPw(=Bm?#SIx56ZVrIA@;Ct{!HIi)4i&DF(kik!P0unO`D<3oL$AekQfR5S(zt9_iLYt0^#3l5EuHwj4V z&R`al_+0NSE(-A`Sgi!zlod!$HePH|e->E7aeZh?tZ#k>Wx`nVh@~WMIBqr|`pyp? zB$HOUH~?A&9fCK0gJ-mrOyvSdLf^=%1X9oTDhUCMpZftES*jdRhwiMI?-9Dl6o3W- zz0Rv7yoJ@PS)U^)VkUw!UUN-S%lGZ)GMOfSf1wrj5QJ=%g2 zRj)ksXZcGP%zk()ZVyj%EN?~9DT`^(9XK7ux0j{y;Xd_KL4^BHi4?zw@GGOjpL<`63NQ8j8Wt|U^WD`k+yI!I2PzGZv1$Uz zXPA7EEc>Vg4I{xnemG?~^MB+;?fYG;f666GiQJkq@VSYLNW^?Z4*l z3Tv15zx6-<+xI`R#C3>?fW-8;PPgbGp^xubvde)Yl=;sCP~{X{APN&5p<75P?wHmD zkOr{^-JL8bZ>GT$I1<&bWc<2+)1ZL?;+LkA2Cl7|Dg zQYIEvYvx-7^rq3kpcR8IW_3NQdjwV&**nawgccz=%^8I&8crT6$FjlbN`1zr$w6a2 z_dbj7SwhGXKoWDQE0o}|^*HHoHc?$V62r}uBY6O>mDAG3oFCGA_ht8n?Tn?b@LjBA zfu!r^_Fs^?&k#%!SSI)BJP2lk>cb|q-uanqd)dQ?POBkT26_M`Gi~`c3rkD$|9;Q+ z{fFNgT#~v_YiTf@5N!`)Y1T5kHeS=((i}1KTTK#z+*UtkRTh>89q3eLdBsYT6-$GT ziB>QxvLpBrq3)^j&;r&dvPzF_w?v^+Q1R+nk7YHs-yvVBW?^GPZtXh5c4aMgIMS>_ zhaQeds5K{3S(=g{LBE*Hn#`wNSsD;&GighkgvsLVni4^nr9t3-z%}PA-j&Q7$>&p9 z8l?Ep$H6mSZX|bFN#@&w=m;He+&k`PM}z140}vC7u#{X#+evjs`3CJa=pK~g1VD;j zNVWHThsvnr?V`0wqgJ8l&`c6;?9LNyLM%~|6EFv&bNN;NPggt_SfsxKfYLr>QfT?p zgT98iQ2KqJcvRh?1xWlz`JGTBVS0|RRt-baiyfvanadbIKr;p4Gx-Ni94cwMh(pmb zzLK^jc%&EWd(wzj1@0p~=3q)*E#UA8dR(AJ)AaZZHF|_lqiMIOHMMiW z^JWU3U$A)3FMfKsE1Ldxcg2$7MA~Zy{9$ttiAOcT2=-b;h37&;^msGX~7{9_~mjNX1qJ_ggLdA&Bo(HK@T{5D80+ z?vYAjm!*@+$_00zw~<03ihiAe*Hyt2a44N0gzG~sUh#QW1y2+m;KsoXIG_rnY(2I+ z_)572k;w?g@30JeT>W;<>h2)FA;)>d)R4~J^Xyo$PBEV!aNmN{e*Edgt3)U?(PK51)Ee?x8B5X9zTelDfows(g@)tP&K|By-H(D4(QYLzl%3oN)p%H%p`nSE|6+j9+1oSK<4b$~&@(tPn z;09k3J_u?k?VViaJG4V3M7c0T!&}+A;Tibg;2HT3+^cY zo=;b#Baody`Xlzw^vXHu3}z@Y4u$?l1q;!HMoOnp2c-R@LYp_`@_0IgWd@= zBMf6o-*PrnwRy|F&V=P@d|gS7xKgd1W(xiFfBqxi@WtaX=?ucH6j=nG;!&gi-uI&PCZxx;A5wHCV??NJACdKFZ;^!sR#XSk5AUX2D zd|?wd>HM^}`JE%WcTRgxkCAS?&u7{#YR%)E_P&|4_badd4`1-lVxCifl^&rCiu0_} z?>Eb~Xe+fks~8^udPe|4nAcKb$y~Fo%D_OfOHCbko=yckSAh$uDgqXxX^m1j{aD*V zyURuaRDhxx@oNY&NOD0?#c7`pdR!%uo{zDr(jzx)5!zPxHnL!<(ZM;D2wFlpVT#Lw zb(J2#cxkuTE|YSr7 zHLJiX@hADeA$zO4n~GG*tMYSS5jy@xiJ=CUO8^s=IUGUMy{y71;TTNe5JKo>E(eo3 zqbdhWj9vdA2P|#<8+?NZ08_xHwk+Zw*$z|J0;ymLQC?2Jhd>=Lay$JV$6LjJm>gWe z!WC&0`h9=1d790qKtm&@*h`YFXLeua8U@H`V0d4ZxVwqzeX2YoRO(gIszrOMf}sr4 z@A^=r*VEDZD`#PgUEI`EKWMKKCAF$|9vUw`P14nMu1-u*($(UxxUTLg1TKB(Ig(?@ z%jHd)nL7-rrYiR9g#%RVAMxpL`l@A+#f&NT&1Tb9J1WJFPEIY0^q>i{VlOEB1Hg}M z%0Daipppcaa;plnVh<`w7?*a%tk@4w=c?XzT^{YM*b9{;eBQy9bpTw9N)k?Ot%OJ2 zPSsaKc!$s>560En6t_@!`6#F)vl4@s0SruO>r)3b`ET035%08mr0EC9KUDZdu{YV_ z`%zio@!5q61BXeCLi+sdXk~5lNMl-zd z;`3$bkMt5Urg-A!|NQWeZ$JCr{+JRJ>8KbFihOVk&~oVALmCJ~DVDUcudFT51(CyG zvj&nE2spG^BLrzXUGT7k2d`OcDmpeh&~B75cG&O&Vsa^E(nqe1vPHb|IzN6L6HlBs8ns|7A-2Y?|DTD zii#wr6#y2p!Sz`R>qaHX=sqMdr+|_>T&Z^FVpm*pcLZS^L!BKO^y(8IwcIqdYDx?5 z0_abckGU|=skku;fStNNLFj62ODbwYh-I8^lsKnPxb|Z8Z}t*^4NZO%n zhdl;Uugm5`x%fRWt$9qsdBtXlIm5Y;2q{@(@!RdaLB#=A zcOH{a^l_g(U#cY|NfkdL!&DrHK@zajdj64+HQOzZgYRV_Y0L35i0fuRTtD<@-}R@T z4G@nZ6Y73h#okIKlWJM0 zJ>^;u3iws5*a2JBu_Ccj8N0;VS=GBD!&_6VDci~ZG%#zH)~eo>B_D?Tdv2%S<9I8u z7)onZu3`kcB`#Q6j=9@n4li-BP#BBwvI-#kNtb8_JX<)TO(~j3lii!JJT>sdb*PA_Xk4(c2colIS`;&GlFx|?X7VRX71~!wDpJw7 zAkC1}6Oj9t!7X`3$s-uN5=2doKUD^REGR+02?p2SVkcu(vY;-ZnZv+MVPTT!WyITZH;tSlUtzb^2MdAx?d7Jpk zskAL(22|bQ`C-@y?N4sx$254YmrgHq6_bM2^L$!T}}7$fnu->utS)9$)puz5Z3)yEGRp3sbaArq=0}&i=jvnT8+6|`D*vf)Vj`QOOdpAau^|j-NY0I~^fbqi@ z{`G(OyqU`M-TKa!e;? zD7yFV|Dv~g&QU!29ECl%x20pIE#IeO{@B0s-+k8~7$wap#7qES4VE3fZL4pLd-jzI zwJ@@*ok?2RSBn0w?Xpu%%)V0eclFGB&%RRhcdfxsS@|n(ekS`$7z76%rCi-Cj01w??#`3Uhy#WFaGeE`XzyK<0a$HYLMY;7CYjBeNG=NI=GwHxbp= z^zCj+GFWoW_9~sL$LHM3-Q`}U-J;eO&bgPH$-R8v@Bat?*LQ_K(O+D}7)4lKZH?v`uq0tY5j zBCvdY#GfdV2gY(-b90oL!j&X@%3Kn}+F(PJy~C|+&PV)-A{oaCH=&ixw+95)j6G3+ z#w1O8Gu7VdPn0!Rbc|&0h-X{Le1kv%&NCu<9etXuWWGaaK){Jmad&WfbE#~dU}MfOwl^0=R3BP{F%fMbG&03t1RM@mzG&?TS+1JitsQ%5L`j#L4^&q;@3Z55@9Al8c8bnmlj@eh1Y<0oF zVC>dXG|Gasj4nuTRWTSC^{9xeSdoU&1t*Vsi~(Fojq(@+-B6EuGy_VLin5&-l3x$%N%;A^jB^S-v%NesE$?K^R4zB_2;=9)Opot7cugeEE2 zlsir{Z_{5->Q>XXj#kbJR+Zu4z*`lW79ySs@mzKD-#(f68zqKk8VYG-1cb^FnF7KN zF1d*yVRO#{5i(@ zAcOo2z1p7Z!vR?lEd;4jeMN9gaV9G83Gkj2XBC1}sYOuO7pN)GdSQqM-5GYxd*gyf z%vP0rB#0V?F(Dr#JvSHJBIBjWDU`>wBpAD77!G#@vNb7(ohuTyv4UJlFL17p&|*sjQZw? zZ(SsJYbM(uiTQHvMHk=NCAZ+FQMQ&92s5fRN0qOtPbZ!@otnETRh*UODTR4cGOFAU z0fFo4-V7pZdqSkgBkNv?C{hg#3ZQCQ!2lCvrzZ1}QP*X{1oV8IMW9Q9i`)Z4U0yZ8 zj16#+rj?|7q01}6gpql+ZBL9`p3uF**Kxk?wTJp#-8&Zs@2)U7?H0B6Yc34lOkwcD zKJNFvf1KZ7h(?r-yF*z@W~2{4L?6HfW3XEfEQmgU3!>IV93^1PU<6Pfz`imczy&c$ z&hXAVPGzMj3_&Ur7X{gyi{lUTh|fqSmAQZpK*Bhd2W7)t~q5oWWI)opXN45B>&8;SHI zrhiunST*fMaDiHdB+`K$)fQ;`3O+!UNZ--2Ny%~DNfTFz^yOkL^hy{OZb5XcNYn1S z-XbrKWHwF)%;N1a)`@gMY9K!jj=(nKo)hUthD5=IjOWWa{3?--CPW@2QrY0LZYvUE z+WjbZYw4C2NelJkbgD$URXNZK3Aj&vn4<<;E%MDIy(11E4cAYF(mD9Pu8ao%gSqb9 zAk)w%A(cBa3Zx}G0)xppgh!|g*12+Ihwn63E(A|0*Nt5HIdw{@x1>5F-_=C8gv>u4 z#IvCr_GpjT0Gi8d5iU(zzBMs~Zv6Z+U;R~Y5A~=J6OVixR0SG$0RLq?rxv|3q>Jj& z1qSRmD7Ub4dQfg$1`N*oXlA-t$08TphoVY;LUd^jBOlNw-IVfYS*&0dK6(H@B& zkt{h`k)}8&!8cSV1)N``va(Zwj^0%D3mHAuYOD!gQE+bR=0oy zwBX@hb$d82g>fe9WhRjjvyW;$8 z?+2ur;m}|j^lpveMcJjmaV8aX0r~{(X9R%1)3uR}zy&7%BDCfi0kx&+b3dbL?+r%S z~L#F20i}k&yIV`8bF0I9ZNC(XA2;ea6g>ZxT z{pMm_5dh0Hn1oxE?f5;0+E&ZSaGh9AXX&KthhaD{Kyf&mc32K3!c@c4A<+IH@9?3A zU#O#9~`ixJqzN!iv*7tJ)&U44pC?XKaX$&p;WI zD+~4&udDpHZX6f)%E9)tz=FV-A1z~8mbhukGKi$a&w$1v&Ao{+>?)D9WD z%%?98$MMMdl91f5jitgLw`rbYr+P z=qhs6plLRm=_=Hf2)9nQ!%LDW4zZ~bTDUbjkWyo&E?vbn`6=vC4=Y#|)UyS=R!w2r z@-%pPd76r6|L&)K?ym-#iYk2ZtS7m23)RX(CcQydJv2u~@K(6xjr7qV5Z|w)i7^Xi z+;SN!(>Ljjs>pYZDbuPl4?UCKsEJ%~cRR->**OA<^pk8;{kG-Cf)|w&{ zT7E3`#a739qRrgB)MNl8n-t1eoQt$6@v7_QOE?tQDlyn&eXjPMfme6ey)^9>wH9pd zUb>m?rT^-sfBBiuM8K$g%LRBzEY|C;> zhzWKm+N*^PK`M$O2$~#(89;NafEW-{?1Gc+k*IBI?D9d4MH4t=3lN&V{AS?m880t6DbxOcg~*rl}J@uC6&Nn$O$VYnGfcn>8>2oqK1h!xsU$>*dX%4YSD zG^AaS{*V4zt*fQq(*;Q?y{*ZkDXGd4YYiy#2B*(7Ph0FW}&KMC+4ey{H;AmQSQEG3|Ph3LoIRJS1j5y z#&pS;u)2fcXii)dzDuSNt1Cp$`8J+ssWl*s?p)nYVWV2eCx9v6&A9(N_v<`;ql80- zTk}Wzrf_Sv-fZ?CU$Z~$7R_e=cFg{_|H;??z8{GBUK-)M+4oH2zRcd)gCGm`B4`ZuAqtH=(kM_Epr>Z1+>C=HR+S>dneiWa1~3?s8(}>eln8+a`G`F&B*3rH5DbMC@`vlU{bC6$D;ZBq&37 zt-U8X8ln<&!H8X!8_W`^SL}~ndYD@KW0xML*8bR~hpF{M>;jG427xDH7Z{NCbWX%B z`wb8X^@*Q~U1%Aygj>bjVuA$o}_NxygkVi!+I&aq3$r#`{4%Y=+5ooUxOBcW02 zcN32Alb`*riwU!>F)ctGx4pusvYVBCMil0W2@ps!K=QSlmEj1udFbb+;GX27wP5xc zx9G-`6^}r^*It%Vsk(ECM3D)C(6klIJ|jBB!A(k6a8J;Ez3c2V0=1=7s+fQvy^#5= zv(JbQ78~t^vr^jA6FwtaKsi>5*9X*W)xOVDc*R-k|G>Y7+Iz^(LUk?s;8&_u`}y|$ z5d7b85&J$-Ds>jRcJqIcp_$#r*=>COJtsEK@h)^5QMfyR^}c%ZYdr>_65GisxDej{ ztaY*;+k-^{N*TJux}dUP)?)~b*#k|%?wHoB$0C{r%Ct=lRIzV_)4;8^r!8)yU-F2j z;o)^8D_B*Dr@@X;A)R`3v zl?%muAX78Ta%Qur3f*#f0{e7LHVb?5M|?5%PGlW+SHK$X_d}j^YT7Mo-Q~NwMcnU` z%qRK&fBbts`8^+1nq@R!;-=cOCz0V9sZJla(v**R4?Ve#6B(Wxs!qd)@IGV=pcR{L zhmQ<-&hQj>f(*`eo zo?+h0e0$(%`H-C+j!LTLa-63*Dy>Q?5fI|MT+Z8f{T%6yfCTX9k! z{4=m@cPsPx9t~9HtxesJ^SE8HGYqi2)z&yI>?=G-XfKI#2g?`FhycF*uFk@ZI!WpR z1>INpJmD*;OVc3q^oJQLZhsk^HpJ!gf4a0I#l>5*KiM+g1YVBo!OnN!4dCfl{@wKl z(t2>&O{t-aA0sHjlLBUXWTZsfIF6YXRUfc4N+;K=X`JSa)@O!<9Kr)^cr0^RUbAQ%PZGWwqyU@aYm`N_)TwIrZ3ORq>FR~IAy**2F1;xwOCM-$e$w5pPx;UPqqlrxklCpZ z>~5Eb>o<=Mh@8s`bahSQBWFm8lhg+z$moC=!GZcxn@XuNBtv+EoFUl_;SF+zq-1f> z@dmmWQQFeLw;+Iz>`HziOB!bj{yPQm*;kaLNq0%)d&s)Od^8fy%>@#-%O&9@koJy} z=)G$tbN&kHW#+h%L;?>}dx@&XvmJ>1#6`mFEF0P7$2r-d2&;@PVYTU}JqrE4uM$VQ zFH6P$W&fe)>$KxLNl%~l80NmSTbP=qF2eR6@Pz5L_5rr;KuoBSG3nv&+qn+Hgym^D zCNAIR6j*}$;q_;~|MNmIYiqG9W@|KlYGxVTFkzpW9;lf8@}Ti)W*L14iMvF(T_HdUBDR$r-Fdf6w-pK?s==9b?xU=Mjw+GlUG-2PJy} zmJCTJLKQ-=N?!qt3NMZ)v*?Tuud`QMnZbUr8w4^QDb|9E)_5D^ata%dZ95Smyw_osOSDZQ7K}-uLQ-6aPW*exwIqzIx|d-;D&B zaUQ<>0=A!f+q*+Ts*v|o%$Mkq5^lw*R^9aBNEFsu^||zVGqe-W{OU)1&esL)gx(Af zHQiL98inp%Iy_rIavD=r*HF=nf~2mP}!FvCR> zlvEb1Jfq!~B{D|$bvH9>0>bnC{NcA{& zlG&?{v${PX<`Qfg=tj_YRiC=BL-?byT^(^E^r2ROr?ry#2BDHk&UlI3i`5EnSZR{Scnn!cg zhYH8XimDHt{!~w=6QiGWqrxM*8XtIE+^F;`XVNZiR5_;y`_ru5;ZQYhl$#{~7r8oA z7QuKLV10Ns6?=&?rYElmrO#Z7q#suCjjZ^XDYPS_Ti;xrM-}4cR z-^0sc7hxRm{yaB`o(=KcPRWf*?OCN*T(EpNdU@Q9y2R#PdPcWv)tUVK{_lA4!$;{5 z5sShQ;lxQ^sBy3tDU_w{paz?2yO#^@5r|5C z1i_ZfzQ`zBK6ynDgXc^TM*-EC1ylO^DX3T?feFW5bvjJ>Ec%KBG>IT)gLIlHXpvkH zBklIWa*K|JPD^i9l|u6?`7}^L+H|QHtEv?CiPL2T37LmZ1&Pn7@BMJtqLl%X7=tA_ z+I-?-kz5iMmLryM=D@Dh-64~7ZRoAcMw|ZH-znQws`eMho!Gd7P<`8Xw1ud&6GW)4 zk5y|r5~YkO2;nPy=feK4e0%c$C^g&&(b75X$M~2Ux>~}7k2$Ur%b65nOV0q)qL-bI!Pm05WeW~ z`@zw&Mpa=?XlKL{LiU@OxMa(Z1U;Qh=}d<>(;>d_;`7$=@+3oo|NGzc?AM>gT+wOV zqt0+UQ=&+qA1rO|FSzpOyC67$(TgK~MGJmtya2GR%VZ?jY@~5(9dX1xiKH$RSvH0YI)Qa|MDqVbuzY zJ!*&55J{8R)gM46+d~@Z9QQJCW^p9Iy|F_w3vuT#sLl-MQ z!qaii$GHU&DAjW;za%b_A?7<8({11yA_aVYlGh&UbB*cLP-fclZGw`a%(wi=*Z=H; zz;Wn8o?NqKQSw`(s3R(8ZS$w?D6>em*pXZr z+%=LOwfkMSAP#nMXC(%o@N^ef)}H*kBuX70L|uaaRDl;YRL!cOIsZ zn~Fx2S)`3b7sVI14dYOqdv2}G^{D^bD9~n;QYn(@(Wi4HbAyqL!8j6TF8L)0Y$UB# z3U2l01l{;}L+C#6TR!=J`9mKw+ERu|;M9LCYqVWhe7Q?bc<(iX^;#xdIfd+=)N){;#)^&}=o>c(s8%^(>+*P3@lSyLDo z@^MOX%Ps|m#@c`{T&~V5BZ+*M#N(|T3^-03+ZESSa{MldLZAVKpsnh1*t8b`<5Mj> zJMkhwzyq`UeJ=tAtdqf!pLXBA?Zq#%z1?P`Rq|rnlXb zC#9Y^VD{uyl{4w8O9w355pJyoKa_qCP)(ILg+O(}vK_w{xKeCl@+(HmrsZVTYr1}G zzQO5mboguf0cN8jFB^GV2(&-QdE3rx*yA0~hQ(~%+>^=Kuoa#Q!(Qv-=XQ0$@}`z8 zOCe9MmTzLVYtodM?Fw&g7w{--uJ^Htr7&eSBIipiwtg!;>+k>1el^lM3QPC2j`yGJSIa%1DV*&J$^-E( z>?=#_xF8Y-L=Pd2w%D5Q9D<^dbr2%zuFEa5HC%CJu5$>A<}pF0k0en9bd0bn=gIY= z2Ykg9V8XH@kv7dSiiR-37;uN#Kkdmdw(yfVVBZo592d%vmv_wo)Icl0*un*ohG*!- zendxM#mPu)5t4w2iR5z8xjvHCDUx7nkevfhy=ADBdxIplD3WVg1bo#rJ$@2xvl%yU)c%mU+JyJ^QcmO$yK8N zi#DN#-U?3saN)bfDslQ<#eyI%eR6SHtd3xt!s8)ysq(l+D%F~lNxw&i{4D4bjyG58 ziAX;OK`xP1I53I}aIXQC^7QH>p@gej&zsMi_$`}NibqoU90Q}B?0F9Z_qdF0{r-RJ zl{dT+RzP$1ZUroZ|5Wat@08$j`WSdn4EAO!UI;Y>Dbr;YTyynsrpOgD&MTgx%5CRyN&^<@p z5$Oj;1HNwz5}@%D9Gx*M#gcV$f2fXHCHDslsSQqPsFGUUo*Ss7p3>U#_XlbIPSTna z+i!G<*FtG^9@~0evyk`=NmW+?-d&GvouJ$qS@_#MqTDH(nGxxO|HZ%f^?(1fgWVOG zF}RauT&8THU17b}X1pDs{>&P}Jn2{FgWDG1hxi{ovYpYfWkJ5)MG(`;BoDb;5QI^$ zNbT!_=xf*tRFh4Jnl6o9Q~MS{%-w`y+af)`Fiz#I8mAy5x4f0w*^m5l|?S#3iStAaepz)m!a^ zhO=7d;TtzG``(?F5X<;A)lI18R`wE0~Y5o9kArY)9+JNj2xQS$w^qA|5`*Cb(N&=@O9J;nr)iPQN)2pVnDM+l1M z@)eIq+w}&NFwKgRlr1YBw-Vy*VQ)18juoXIV}g6YW>jzkcv!QdYI&E$tZs>HF$2`d zHhsxa>#3H^>JeAN95Xac8gV&DE9ba$rA?!iw)#0OWj{t<$D~c)g6~PaHv?}6I>DF$PT+8F!y3geML+Y6u|>p<`oPbf@(@latqL{pl=b8 z!c5l(SwkBD{jj4{Cc%6<4YUC;356kSga@aRtnSVMFbO&Hr4kublKJ*vgd_bDf;Grr zQ(txIrY?`hl6*0QHUQVr-XG0h+PUu*I|x7$i_5c7?LFV2<9m(2RPA!ac8c)z-Jm)o>R1`9Jxiab96h-(aYcTsbBST zDbFA`qjio3eV%N71J2pw^JMEwoQuLa4`{?{tZ#OXFipeYoR~t^8sF?34Z1Sf^n$FOu|33efH!jg!E&RqY(X8AGQr1kv4vibCT`NW#>eqd}*GJ!Q5B%x)cWI)rs} zlG9P$ozqUosDn_9YLnrJzuzG0GTCV-eB*xdf7kN_8k65_a{c51c&5YuohjLGmXh6A ziTs_qydfH*+2min{eE3Pc-%@0({9mh@^8oFf7@F>{2zVwZyISM4|?3quG92MqY{N@ zw0KA)OSV)oe)bho2sHUFh-u_jZeJ}s&7rwCUU(3}B7z{9<}_BUisOY5P*`nQg9t&I zeBbqMkA2HA_O!aw{{|6E{V#667sXxy*(Sr zhqz>c*KJGZN?Ma9zesLCx=ZL9h;*Co*@hk$^$a7uMW&~7ocZ=_!Puf}Zh=c~uDawy zeD7%OMIi_74k&Le&ybEY-yjr;NGL_g7GZIg!=a)5(n=@xFF?cU1cc+E+cnxw|JKP! zWM6`xRjj|`qhIz`nEJii;y#D}JNJ1!eH(oq{@mVDpNB0!657r2GPwehAVnsn!7IpIC3s58z8y?cnj&W(Fn}^jGi{L|XCDB?2P+vY9V#x;%9Hc?Is32$ zEwUsPw#x%P)a8+!eb_F6+m{u4Dj8MU-{<(CI?-vNtx7W>F4kUBj27<{nyS*waRWko z?wsA6O3&e88XSzAeLyuxa~~-8h_jYYT#<2}r`YY4-Wn6bh4iPA%(rL1Vk;IeCJ0B` zYgCnHjtdN-(sBONA|$#oxjcmsfmIiBcfO+T3Dq*ryHq_b~pPP)Abv*$I}edamodDs=* zK=vBGdjI>s{WCveBngDRD0bb!Y=L!E-2ji6l*ZMzQilZiBGhJvV3lRqFb%<`J8)FA zf;kCPragdCZ!ih8J093;2xkGfoi@LclR#yv4~H(P8|lY5YAZMX#&)oKE}YV(*&qh`q<(YGKK=*)tpV0K1PoY ziLa>$8A8tVjqD!G4}ue7Gp1b-6-oN@0+3gbhw_e!&GNO?rb)v}o^H>!tK z7Y@fQ8hk`jSoF3l)Bm5nw*j^-P0PYeC8-(+5w%7nB$69SAVb$l_U~GIM-mxkNE8M! zx4S@eoa>#Q>EVXy(n2Z96KS5FmI#^DsQWZcdWF%6k1kqpx z1T`3tR7S?K@;vX)_rBk^*4caQbN4xYr&&-vcdxVG^{utn&-?ql&&z*RV0uP46n_~% zmY0hqkr7U^XBs-@8R21Ef~Xa})DdQ&H~Da5R|{Z6fP7Lj^JCArY4LD~iz==`A!E$V z*nDoH_YBNIXf}%<45Fcvn1dwDkL5I$_f|Gkqm#D)<{w<$U1B4wH?c3vQYSP2OjEma zMtIH$%P7?GtnO>MbNeg4`z^osYol{p)s#>P`$8kgACQz;`nBrMD+ z$H)jD;~^{=VbWlUor^=~j4&``$R5%SS+8XdMNW6-j4;9%asg71DEB%ym@~o$2@7+! z@gQMgl@aci9LqzWVF}8X=Gaw6xKL%IKrXb3`R7y_VPtO)FczlV&r|(D#=?Ai0Bpy7 z#(9EZ8U=dl-ni;jM%eB+Vf|#SZzS^#I?RHY@6cfu#C(emvmn;@=;kblQ@o(!^@K-K zWpBf488||^Jd(EdA$s&>t>%AJ=+q$_y4NkzBDTKH4AwuxV0(Y(o-DBb)R5QC{stlM z2R`YS{`N9x5w)GKZN>)12mod1alo9U8k9StMeTXBu@>woxJLD=Zk-mb=Yoh|r3wdR z4r+n5pp+?15d3^><6>4#`;PLVMdz6ys*ih((!ga)*mV{ZmFFu0%mwSUfdjm-$+6xL zt+a@?g=!f)vMAVNvPQF_C_Mi$TCSoXYpV63)hPK%ZZ;f}u?43W28Oqi0+M#gHR_Zm zX#|RKmTHt-5>-l*FOZ?Jv`& zsJ5|ZqmN~CicIWB>4StRO7j(g7Xrs+6}YJhjtUsUqiUKd3c-g%Q;EeQG<$5?u4W!L zX}eD1xW)PA(3;i+E=6#}$S{i>sqzJn^Oq?jX;WTSk2LS&YJo8~%`i&uvJ7V}-*)#V zC^trnPQGwNxeWr#3ClAFIC1&0bm4FKz;FM@Z;S>LB{+45-sny-sCmgcKBl{2WHl@P znKPA_)&=|B4xFk`vH(xmdu(4B+SCQhh{}EsYQbhjUT+ud5g15C0@ES~g4CTZxC3%S zR3f;|#q-bSNZsjzz>t!mk(@uvwdxxC3g<{~(FJ?tMs>yAaHbt~rwf7|u@@z~->e2x zw?%ilBrv4P<+w-HL@6))*pu{J(H`Z4>ZVAi>Gs(%ma^>Cm>U^-Dn31yejCZ8&9sK6 zWdg;vCjBPvP3X6~oq0JAke&xf|H>F3{Ta`G%1=)y+Hb6f;b_s`3uP$UAL`tQnR!B) zTi14IOTDnvm63Uyoq_D^D_B0-QWuO06oP@aMn_xff@xr$623<-$aH(Awq=l>ersu+FM+d+i-*JeiiK$ncX-dQ0u zU(04uGxi}ev+@TLqu7T$OeU{-1~r3tBNt)e3W8oVg~nPoNVx#;l@dsft_7jcMwPl6 z7bCH93Z>iFxgDAyp?N-@B)HP?xSihYHWhIqgE*_|L}UBuv+7zM&u3g0h2x_sB$A3mFrs~n^_rTI(ot>1V5 zr4Q{suHaj*OW7ZwMU|M=lh??GOK!izfZc;B`>Fh?2We47qj}~UowEv;LfdUy1gKK> z{Q+83DN_26IYf&p%FG3?XGI12OFSk&$qj}c>39xYp(?!&$vS0^Mj?}w5rVk_QK#&Y zkFzy>j*=($kJ@FLIkk}wz*l0QJZ*pVASdpz<;g8h3{H0TL8R~T(M;Kp=NI5>KGv@eWTAIWtf z)(6jFdbTgRHQ(8uiU8%}^#?7n1!LzRWUV zF&ahCTf&dYl?8|BMRo7zk=SYYa$Vsq7rR`yTV=Ok=W_3u+ilg z-}(#x_GMJA?<#~i7#Sp23+C-WWRN^9@)uq|Ss^)E=)pPQ#wR}uP}Kuod~&ncly{vI z563Zw5ItNb!7!mce=wq=4k2{ZG+ZJuP1o+=2`-U`1y&X)5krXvY(m53u~N7CJ0&QX z+U!0hD>p?Z@`RK=SRfz@r%GkXWTNEu3{r7zhY50h)u?49P6$7#?XZxJlyIAf8#*O- z)`B?Mby4LS)%}j+%XTE&;By0~6vu~Oe-aExqNP|)FOOT(``831==9v3#aN-stC@IN z-_O(gJmXWW*Jxts?5sf3Zc$rknR9pN$=$vFzj)t=KNyDhx?VzN^_A* zOSxEe{tnZ9#~uV0)JEt8X?VS$2JfC34rWc(gSPlwtOD;JJMt;G03@vbF*LlsV$|%H zKG)p7Vit&o*CnH94@g}L0}#XOl2Nrs%7)pMd?h7!=aQ*xzizr#kD6%B)>%zWHv(^~ z+o^i5)^}{063t;4NBK0xp6U@!9X>E+=PwZpG{kznCA=J%6tKT0nasGz}7o8e6N!467iRq z)Hn%vWChrw{({7X~qp_IpxSG}B9l(5Mq z5vh@P3}r+_WbLn!u&GFbZB@+74i`YdBXMw1w?(oiiz(IwU+AiBgA#S?lK36$kwi~g zx3=-SY0Z3lkRoLaN(3JGUz=+rrF&l!eM>!VB!7_(ER5eiS%s@+Y;vorN)2U^@~fgF z52#PwZ!DpzhXGrAs9F1yV>2v(qV3k+FrIUB z(_=0Qa-J~W7?Gj~EPuhDk-;%8SXz0(#tti9Tlwr@0HnrIsYLcTVlYTVDkI4=O3^#D0u(E( z}+s=$H>n-UFF9Run6uJ*{p$Jl^?@sPEl&ep$m2+=kRiVtY|8b!GI*JKF3_= z+OIogrda1%qJGr~R{1eRVWQChz8zG~sydfpgR$glC79cMl69SH1=Ou5b0B}~ToyEM zHHZs!J^kSwh+3=DJgQGs;kCVEMf>U3H`Ax4OQSukQKhv$Rp~CI(l#C zmsMa$h|AfSW#&Sc!5IC4yH`PL#*vS6v)RKJrQVYVCCEkL^eC-`l~iSx5g0E~qk5H# z%Byf;8ksuw$1AttrfNduSSR7erLBmK1Hb*~0|Zho&KS z!7UP{67PU<&f2)*&@|*O*uySjMfR_7VrIP}4S5mNQWFJP$EpRzkh>&!;(3xN+2bTM zGabhkLtZ4;D+FUE$?{dcl48hR64NiWeAQvxc)jLiL#}2sa-X_w*cD8xOjft*GxPo$ zcGr}Ap zAc(RXqMbv~q9J+Iq!!y%K8Qt1BcJ(&TB(WHPhDUv*{I8SG10c358KB>`F}SxsIebc z8d}qwVw}kcPwVm!M=q5P`I43vNQA^G0S@dZt}bXs3-%LKg>Z{c#(vr}f2ys${hZ>% z-~DU;!UsafjW?~8air+ZlI&VEmD~IT?Nevd`SqOE3`ML_0_~|2sj7D?l!d5XdrYg< z2*cKZ>Y|SG6%TS+Q^&dBeu;qt65QY}QfuZPBV}a!F@ObL&uPt2#8*7XX)QXgNM28B zeN@M(Lh`=+@fobDj8-Wu7}IvT5<1XA%g85JTK;eI^;u?HE^`wbmf5f{EKLGBuY) zz|3|13`?poFN>743vkE+l*;vix-0@ZeyN{~47z7{h@E1K#RQP^ld3U1F6%RplmRr^1SW`voW(i>Pz9d-wqC))e-wiweAf6 zyjI$i)KO@JyP0LIdgKmE%thtQw8%3p^1B~?*#%*{%Zp5?Kv(nG-}aNg>BCXD)2P19 z2wLF|)~DZ~@KmR~s5vYdfcVig$F9dfk4BKbj0;BUaAe#GHHQlZMiOPV8n36(auF=h zM-U{poeJet&Iy7=p#I&!8ukL!N5LlP;P6ET_G9+ z``F>4wvs8K0}E-`#~s)&+taLCCIb72#5zMW3!!NcUrhh z#_j-zvqgRef(TpGY{iPy7rr7=8EE^~WX1rJLl?jWF&K=E^q94PW`K4@x&ST+oG=Js zL~t+N-x)qb7r+JKA(6Wch#dG7?XMOWz$G!27p#`DC7QQs zw`jJ!({Ir!BN{&Vx$pmt?~isuEf~4w^$IIY6pAr4e<)R}owTpa^12|3wxExJAUg3n zwLu|B%UcA~_++DqAaKlB`BFAiIbwDUyIf)dh8_ zMf%Y(KVT(bAZ9q*)0E)bB@uG7KN3F(BjhynPe;$U2hEPiOHdL8DfLd0WWGOW4Fax+ zk{#NGs`ES=(5n*=#dqDlG0&VD=s|>^9SVL{v2kBP&tR;*v2jIYvt4;iCjLh06xWZm z;mmXe7ptx+$I!LTxVu&RJGboSJh@k5z;J3ennNiHoakAH9-AqC+ew-7RZg3tdPA8?8uavXwLP>)wA~>7nyO5vEkCAA|K{ia z!ms&}$T(@;+lx+C1&8VJa&-rqf2frV;2AGhZ!jvT5u`Hp6?@c-1;PS;L~{V`E2wAQ z0T&FS#gRsG-WwMzwU@DOZYt9vc&MA3%G3p;q(0KkO=aqWQBogk=FYT;hINI{z3K*# zsS4i&xH|Jx2QEsb1~^W#iXY{^QG_qSB_I~?iGm!3Qo&ZdCj+_;3UWwaS|vv!3$Xf zPiWd5g0ThML?W+a6r8|HGhqWV$R%*W&5AVbz9L9`NtlU4BNNp^HE7yh5KtCvEk}CJ zVwLzYH0>@3Y>bgqfhJVrq!6TOcR|o;Dpw~ME@d7;^o_x|Rt#C71Cyu^Yg<(=maOn3 zTR1?#QT^vL@KWB%;FYvE-MZ z=TRp>E$Ru0s${+=HkRul#ykVH-pE(khitv4#7$FV!XGd%z_L>X!I#h{?I>^=?VaKb z$;#w71KvHJo)Val=^v5C0hBQxuZTn#$Sm{zz}14-F73|{J0FnSX@8jz6vrpZ`INv2 zpyg#Xb`pWwwmQD#LlbCtB$A5L(aYn3)d<`KQCtBHL-?_Nqx*$e$gQ5(EnqAh1e1x#*m{HJLbgB(s5j84iXVD~!T8)-f;?UVg z2yiO+XIjC^M_6Mi373I9Q9iX;vGx%x0!Q`(z2l6)L$L7?V4RVogl+{7c4K>MA7R6? z7bKCLZGU#{Bj8d>vUv|eQXahWJE++G3ZdSFt|o^6i?V$5&-3k=*;K~?0eaEWU zg=6Du*?Wp>Fhyp%`vB)LJ?0mjCV~r&$Gl9D^a~Ej^qgPd;U!KUpcK}GGi+nh)6vtTj`IX?SCegXHMk2)SpvMuy?(<_a+FmJ7hk*4@Rr>h4?f<_2tEOSvKGNrft%H_wHk>2m^%AO&8{-JjZ3_t=tyhtSU)|279vx zRifM?=mCRIhryw;+~n2i$4^;3%dzIa{_vmsoo_x?^T#AEI!&mVAOoZBl^2T}QbXtc z!0;(EV+=9#saXoas^*V* zFRac9Kx)9eSOKH^MQ2!t{oYJ+T9XfNIXe2B;3X5o060T)g~U%SlJNA!`vjh>`9af+ zB21zh9_29!yVdd@s?->Z39VpwbMk451E`MX7;Z`rb@RR`-M_B-LkCgEjwPq&64hzR zE%C;~1SlxNb*HsPy@sm(-}{Z<^z$DHb&3&Ke2x&!^NrOlytylBq<|}d2~2e1cm9=y z{Vs?%npAOc3gB!rCdqt%fy#coO54_90X-%=J7r^p29A4?4Zaq^zZA`6Q3_Z2ZK z5t<4sZq2paW;8z_yLQ*Kzn$_*;!Qm@wBF^GfuO##R0V^Sgo5&n5k*t1EzCry4Gb2T^ z-=i%j|MsKGJVFsu=m>694=z)$>FK?$ttQts>zKt;1M=O~m_~2RL$WePQKGx{IWhwF zAmlFbRkH}s4A_GMie0J<;ael-K8(&9R1J_xztgIL0H)FjqiRq&^Ab5HXIi#H6C+$k zl_c$ts!0_L9icjrL`N(q%q5wRFTMKcYQ@zBsbUM|4;*G!i*S;sLfF>o}3RsE)`en^QiA)Yj09<7vs}dwKQsOJ) zW|Ka+NnJ> zt$+(|mmLidqERTLR*;W^3-%j${1il$ROZ*_{41?M5yX$xvhNN9OqyR^7l`p|rR+=Q zhW6M^^4HSD`yKmD>ML0li2DWd=ltQ&^~S7aCq^4o-JK1)Ci#Q*L-K~IK#V!W%E3j+ zI+H$0V~a`))om)fb-hCkUggTL>P(PGsZP#K4E7g?)LH_n9O%lywk7!#haeEQRr-zQ zEoYtO#$@7elspU+n@hxJED_&l5xBd7{nR%+(&?Pm%2UGvL;0_8}^cAT1#J|leQvbRjv+?3w!*`bQK^CO`bwTj9>R2ca z$D>xwf}($25?nOQlORO{^sCnM>?`U@Cd*tBi4-dlA|;{zdXi*_){nb$Vo zk;7z~22EpF&NHu=qvU-_W1wcMTFg!#y6KuU2DDi7V^S;wztz$hC`AJ5SpVwLGzN6D z4GWD!Uz5gwrd73=B&FKm$%&H2SRnkz!K*|xN5?nrHk_f~UjkVm_-lYJ~X;f?8< zAc+tYCJq=X^-lKD5y{jDiUl)4^!}kK8({^S|13imD~bU#L2Jxp*?DP&+7J{6!vuru z0}h5(UqetF3}4ZiIZzrOoTE4xF6hJ@5HyfetSAnK2`09Ujm!+rmq#58UlL>awCucD zNj57aZ)9XkPDfKmQ7F*uWBuN;yGCgl?c`XeNCd^FoIK*^_$EG0k*TFqlF1_{{}-lo zp3w7AJiuZ$rFUpbRm~jnc4kw0JDmm}|F%#5@E6A{s2*JL+ZanLTbQ3xXd?kWF#Zz# z%95SB8_WG*cNAb2ib)3bDuOhnE{FyKf}UU@VSE^1qP|xIX-bPA?3l#rXbm(z=n$kS zbwTiHb43{ErFR;HAWf+YYMGIT2G*-RG)-v{?D0uf3{04v<59a;Wf65FisZU&cJDIm zpO}|yhr-?rzIq%pmJe~=I&zMelsrRSPB7a2J8(B=KbrAqsAJMEA2%}`X7Kn|DtrM{i^CnPj& zR*=y9xLByIpB^^ab9+RQ5+Ir>?k5+tr!C*=X%@6!|93y}Z+uP^RJ1bV`9Q1Fz&Gy| zaPWpf9-tJdbxMmp5y+1>#dY~ZND44R|bT(xN+`E@&Yx0T+u z>5i=~GN#Z~Gmo=N=w5fC*jt636#LAIdKz+62qu#6E1tGj%8eD(`>s$pPcOFsK~Xg< zg>!tFHYWfx>HGRSc&b{TQ$lx_61tgboU1G6sjmFwe)E^UCQ?FLJ{VM2yl8@^w5BLv z@C~aTAfzH{YkZh@uC9P60o=IE%Ygg0t%c<33Yy2Qvu=y-lBQlGfxjKP17Jj~Y!C9u zRxnprjPaL^bqfLWMTO&;r$s^ej{$43L}3WRnhMZS%Q+qgsS!JI(g>Hz|3x4YpNe&K zLHmPac)jOM_K@!`yJ>LTI0lzaVUJry{})w|IWJVTC~KcX$}7<&O~!CSUMSf{NgL^t z3EL=Hqp*$D=2Y8grNUEfmJLQvQztp%6j^FhUdVe>)nvRYb&?DA=r+KLXbm8}Mp4^nUtM{TT|JaP)UWq}uS0WqBNl~kU(F2D&-;tafQJ0(5^q%y z8)!8-p-BV>asDr*VAa`u_&$MlxAUA!puRzwogwVL| z6z^L-=ww%sUaAE(&cnDE3V??1iA(o%wP z9B!v4_~Uab%=uJnvelV~bpfhb_<5J_+%N9c{p~Nm`0(Y>#Xs=IFMsK% zSq5rYJM1@`L5F>XTqxb^h!I;##q>JkJ@)Q(lxo_#ST@UqKnNlkA5i?MThc4Dj7V1t z_}k3@U6IXlXxBOeta^5Md#^a1gnG*~OTt0!iQ! z3_WH?^H$Ju@U4vq2E)KsFDt>3jdn*B@t>T(3!=jj|C8mI5S# z>X6pg`3rX#e~8)*M^EkzycdGBzAm_5?#Rp~qbCP6i?qHj7_ewCEvRLS6={8o;0i&k zq>@_F`MfG5gCatdvf(n6Ybo)SEe~0n3&}oDe?1b?km+Prc{m@;%C8Zga%F@O}#X07> zJ`yLKAkQ)3Xmx20O?B!kZnvPT5kc_(sCGHvK#QcT6V!Mk$~RT+t27 zesdgi3mL}K;~0AZj%cJoac`=^o3{Lz!h89L{`ObCKKf2{p%%zg6kdmXM*E)D3eWq= zq4=~1B3}JU;U#F4&8`SR`j57S0egGmaL1uhVyjIj~n?-js_YRwy~Mo}=T;|!skTJTiE9W2GT^74N}VZ|q1evF=058&V{+d5(1 zO&r72qx*yHzwig=o|7~7oY1|-V7}Lodi-NQ_wnES!;#$9NX*q^iOb6HhRt>my5{gY zW-@FMXv?c=Ygk*JuZX!q`u2C2jHMoC2-e}X4{um^-b^e6b*zim;k6HM0569+P_bea zUYFqw;K@E5UiDUaK?yw1W{Id>?*w8u2&ORHao1~5{XUb( zmR&=KsnDzCYO3~~+~Yil*B`~h8|JL}-DS;9Jsk-MT918(lAQ-i_K&`C`8R($rFn!Z z(V=9zyeb`wB{j`pyOSTPAlfxd;sA z!k?ELIjHYku=KTUL5kP*kWk;bV41!=)@;Hs#s$k{SP-HM6FR1v?0N(Z3!EeMoeN@) zo@&r7A_)c^`5dY5ToAqTs_(EKz&f=c^<5F{8Rjtc4yvQJD@F8Okp!cOs8GzgMRQ%f zq=YdpxkYwI65!iyCp;wiEG3L_Nnn?Bsxop>+qQW|!k8k7xf+tENBA~eGv6M-l@;(r zlKl4eNP~v2x!vuRI0}+BK-Rv(Wf!*LBDp@$4J6=Hmps@G1eV=&So*D;*A@C3Rm9V8X)pio%BDocUj1`7vS3JK zN+=FmWz0YZ5I6yYC6km2vqBG_3bUN9lt;~ST2zIEJ~CYiqhg^G(g88;sVeNsEZKZO zDuaL?>nz^1zl^R;Ckz3kv7%IDeEogJu8cE-g;$12`wG^YZkG!t${@5&!L;QS z>2|qbcL>N#x61_&0-0&ki{K#~Gc~OXcISdIs{w6Tc*43}tzSF6zVI>8-5a(^K>*3N zh-;Z_(*PEQ80Ajebz79xsclI(-#oV+?jw3|(+?KkFa2QI4(lmSdD;}y>&BEk)o5}` zZAJXro=Dz7!QzYX*-+i{7ue|W?mlYa2w-wE8MT-meI5B1M3E%Q#PygDmoeF;N z%B!P8`W44;!f)nSf*KaqFzhT542vM*{X@VM%_K$b?h30W<{idd_rtz z05mc%1(eH3j}r5NQf>>Lkrb^XSk?=~>l9piM^mgQDOx`?xLS3^C2)n+p^rwSjM;9Ci1ts$p#_D&I2~@vrSp}n$FBh9 z7>1oWuDrXr(iGDX_hpVNZ>QcM2`99ISvZNIke7x#kexDq*4Wx~Kh`j+iY9z;*Fo1cgzU!1Lxv*+C9@Pz}$~SAKhx%fN&I>tDjPDShv;3M!1;o#j zKUr!zKn>e)k@SnwV|~$WcW4d6d7@#$*cU@mqTaIRa=8|F6ENrjU$j&}ToQ+5Jqy`t znbVwq(X_|;7U>rI#R3)p}$*B7)88Vw2hE*87W1RqKI3y+60p{y5)U>)I?YHWeG+M&L+s{<0nW z6~bCbs{ym|LG za$EdBRd35X9zFM5O{C&oddhuLj6xA~a+7Q1Ca+E(b;{~lj`@i%{N4wz`Aao?|S>gXTLNKf%Ae?rK`uS0JX2{mr&P} z+Pddr_IZlgpZIm({7oNWE{{Pv8Huwv)q65||m(g7Xsnvh3> zleJ)mV2aMrI=?5|KA5f1*QNeI2v$jl4*6)w@xy`+XWHbX!xl_v7RPz_X<9RtDuw;U zk|-U1SdQZmYv;SOTdj5Ub1+Ft+xX@4+%b~)r*@eR+% z=XUIWaH0-I=%m*k6@6UYU7+QfO=1h+`JRlHv)AH?^`f!9(dfx(%eNVMMl%2E2maxw zzCRSZruN%P>mErp(bwcW(d=6kCnfr{;v}k^tYV^d!o&&kn^N1^70Pb8G}0!)KFBzz5V>$v2K;4#Vl3cQh8ImSHRRx;n6JsNBz zG~B zKsvt7*yz!CO&2+JVpkmvd~ZutPg{OW z03<=%zEyw4AN;4k?&G8IrwJGL!9D$gIV>y|Fhz8vj|Z9v)er6CX**izWj%)-v%bADXJ# z&k+?88$+|+OWh+2R((7MxMdy-9Z$`#5mjB*gyj)15WE7Z&s1yD2X{$y+~{0Ms8^}V zR+3cJMG_9P*d7kIX%l#&s*B`$qs9j|YS7D4_3`vdyMv2_eysM6SA9H4Gb&{yl6_(# ztMklh!7Xm3{&pa%+B+nEsGlboi}}3q=sMA}S~K4xblkFgi;@d5eIa?WNeR0U=0pvE z5i>aQSN?dnCPmM~%c*tMNlyo@HufRvRbf!ZKADm6438NbJcAC0YGVOyy-C3Xob%e3 zZk=~H|0g-`ZL1EP_d9LXkzgeS4=4vAIEX0=s7F;lShgd0kYZ@sl*{8~47A!X8n?5sI}^IcJe&g$q#+vxBYKFb=)%o z?S^SIj*BYWrWX>Vus?Ml zWW^H8p?D_41@fV@-Q9INl8eGduE}#;`&!vEg8#rN1P}z$tNqp4>cV$XkQKtXL0@;} z8Fh;urk+b~kiKn>U3o@;YmtW(8BY))4}7S~Gs0*C`{6SFCi#vNZGMF^5z|OpC?C{@ zK7gsWPYuGv|LUP5kWGj!t6i%^ArL28CLFWCez1z$4v3RfSX2;IUjOlmi`Ryfme_UW zgixKCYNYN!(5Pt~`-+X+$>+a-drCfTNFv{(@WCXRoc;@B6u@Q3TfANvPfC(Z&-Mip z)s)0bfcES5NzBdR7gzvLM3Lk)+4|wmPUXcOIUh}_?@4mrNT$d80w^mZH%A@2eQ0xY zIDY$@fG3>iZa?5H5O2VK;02O77Ih$>(w?XIQ7*aNFY%_xn%b(6-)qU9x+EeZbErXw zR-fmz7Xj#|gd&uOtbP$7U@yV|Y9-Gu{^~CRKjs$!yXF^xn@3&*h~yW6o9RU$)~c$p zWBYNc?1x}its5(DX>tPxIpnrQ9DZw6sJ`tMaln3ZPds5$Rni?errK}ib~vhl{lL!- zzjqDivub1zTu@4yw>Tlb{_w{P|rU9!=2MCf11&$I% z09XYCTiL`?n?8jRTx8xtl?hx>dnEbf_p(!6%@cVkg6i+_55q(Ca+FkxP+w# zR?l)QSp4cg^cl;)7wlb1rRD_-=Y2%muqH6hHuC}=95eT9HX{&6T;tR4{|7_QGn5nOSIFLZ!-kccrU;I+yA*2 zqZwAMM4R_F?gggvyRP*`tIRSQDWD_}v;wU{MV4LB6a&Eh^`I3@Uv1EuLI8w;C1^!4 zw^=bo06@}s(7FP}VI!EM+X!0Gc%ht%ZX0#Ro^2uM@SqjI*>=U`{y!Bl>l#d7&7rI4 zHe%Lp1G@J5`c%;^oO+L0$-|r_k7_(>kWp<{eD&&sD;(XWxaXB~Ub*J3?XJ~Mm0Mmr z<+V%xSPprs>|6=XO796#diElns`Td6@|;?J^!z)^RNFG7_BA))_TT&9)xA|1Ujq1JAnM3Xfxk($e_4U}A9s zxdn_+7NqfY!RU>JgTP#D7NqfY!RU&y&Iex1;{=LG!3b7_AlQ=OwRbh_Ip( zEWt2ov8Bia5McYWtH>27ITW^8jzSFEo~2lpvL^Vmt#aKB>dY1M^bXA;I67;+N>G{e z3c0wLnp0Qc%5Hvog;+oeBI{V^ zUP$h<`bE_}!nLt`v}zu>qYjRG8Ik*>YoKBNogmi2eY!*~FSbt359seOa%kJQzBo8= zh1~UN{{>38>0X%;Yp+?vT2(wcdoL(+sbBGLyzbZicvO$ngKNXNGClzQHwK;8p;8X# zz&p5tc4RZ*Mi9?ArmDg@U~hmuLOl}feJveR4(Il37G$VJ7ro_D-D}m6ZnlM7YHwpz z0M$?>8iG|g2iUE^E}(01vh|P2;T+JmY83WeFDwN4$5i1QipElALf6Z-4G<-l>L&@- z9_^snVQNGjoF3JS)PtfW$_G^{F;Fcur2~7~j*!qYNwJ|Qq$?%b82Q8HcuxoqlmBZL zE$4VlCibIb;sh9~Jec5RrVN4k1jB8P{`eH8+C9+QpzKZIA_APMLr&n`^$u0^w7Xbl zumCB6D~=RF-Q@lGp{+5T+LEWhcLPsqk29;39$&|>zx|?O;{cmW9sr0%^ic( zasT4af7){okEN{2u%#WE5~x4&+di)2phsHOHLBwMw>#OBO>V)x*+CVUOys|w$=p?Pl%N6EWbY2PlyC= zjc7Dq6Z|vE;xr)Wxs zs~mmVFV)Rhg6aiF56PoGf!b_?aO;Ngv=@tB(Ph?TnHtDsfQbFYXMPzZuB>&n9iQH% zM>gzsNc$A9g4-60ugAc56wLpHKQQ)*k|_={9|1SVn-vFPjf5#FtdPuJ9ahwF2vFZA z#UV1zqmDx=u11L@@&WlMMc@JYM8p!YKXX?V=vdho12in#X@7~k(*B4|D$wx}rW6VD zVmZA$jsr#Y?!@~wSi9Q4b7@+ZISYaPE*Nc&anxe3;taR^!{c?jDyAZ^yZH(F>$T+A7YMV8Y!psUYAP7Ox%|@Nk zZ=gr0PjJl-50OB7iDHj?v|KeSif*r~SZ;iTh+$EQqf#a6t2Zv0`PEH!$yF7{UJ1 zTJLO>_o(=2pUdikqjs^_IW=YvuBzEi4{Dqo8$gd4#cVIj;$f@glOM~8^{Y{r{sW<#$zuzm_B^rbWew~Jt zE{SrN05SSC{Hu0N2`No-hp8eGQo_zivqAR5G^F%3R|{Y|;9hm;N^T!F0ZrJ`cda@A!B&{#$KbnB&+hK#Gaw9_*)^-$p+=1< zW~CZzg3Q=lfgv-O5&>2~1e9X-wr`q^$+_4G#!W<7X$J@(x{Bk=b{r6W%XobwW(MgF zUX#4P7=pAvx6}S@XS2*($oq@hPy5%uAKkYg6iUZO<8VcA)OJS8b{roUET4{6T0LgJ zuUD&V;#+hjL#yBw2f+}&#cYbQxyH9BKY=@J!Ec9~xQ=Bh%%Mn|m4jQ_anIEiJY4YQ z7av}}^yuYBnW>x}w16j(^OM$sOA>^aeZBMex?Ky+IoA zlPe33U0N>98)5fEZ_%{7!d-=NUT>!|(d*SMhpegP&E0+(dDC3J#o)jkB1lLjQF=a!ICtNmTLUU+0Y zZ-#`s=a!IL8#q*w_g6Ows183km}6>p?x^#l?rb12b=z`E7+9s-HU8+B8?F01ak-_D za=bK{gcTzKsIsE!jfNE*_(`beS7uL>&(#iN&;8+l`QLwi6gst=-4$NpfIw`G z&;!8~^pC!M_LU{mT@a9Wop^)*9~Dn}h#^RY7lJ)n%b1?ua|UEBNQGAff%``Aj6-i$ zHgz&qq{4H-J?KZleSsB8>Cvo6h3A6cvql1dE3zii2vXs>U=OY~3C%z;W$2?gG!GQ7^h3ArJ;Rn+PV>nD$P}LYqN;17j0;lAXmR(4a^qgICi?N-EHA&F1 zY>s|5)tJ%(78|MpY=N2b*Pxm5KK3a|hjYp3DbyStty(M|)qT9rzzin!D1ghPEi?pA z+O9=ZLciWAqVjPonK<7(WP+8<3W9oM=7Z?Nq#ngN3H2y!T&LF>?ODDp^*C+$G4=Ry zKlB-&`fR92Em{t7Ae_-+I1u_;0SmCSrsEtY<3P}D1ceKK5HJR9V6u8C6s}xyTd~4{ zw1*ad&;+55(USwMw_j34*XJnypb3@~8?Y*7P*Qcmmrk5RQAza&uL82;b3X5Nf8g^Y`qpMe*S68R=tM^t_0_fnGWsrpyB6H zy{krV)lB zC?wZ{{4#7Z&~>Nl622-^SF?@zxFxm*$C`7>H%9lsHxFXr_h^IxC zX|qD3bt6eH&Lz>uzmpO=CI=zG&`2`Kb;;ch7n9B=O0X8R+gG?k@+P|^3dE%1VTTU} z9#(4}_2Q`hG=2;OnrOoK!qS9eB~slpV{~O-)t&I->_e158zq~hy<|Epn4XcP#=W

    8JSsbpfQMS6bk@}viCQ@Dor-RDtN3{CRx_0c6druG({$CJf#nF!_A$urCgT9zv?&qq0qkS&9^xuV6spO zlN^%6v&`*>7svP8wvcSxr5U!JI<^)=Qjp*otG#NGE{EH@Q-`3gH?ZBmp3rX)Wxv>+07pmaLDu{ZJJ7$fU zwQtNwM|mNaGsu2;wvO=os(n)nWO}cWViMi#>HZ0h>*J)DCM-{9*EQo2w|mSl{^vja zTmNDT?G^0dp?yI~X?C9wwxva+_LXU77c67ZyFF;|LWivlI2$YS4i-UhTR<}tMz*%*rVylNc0mj}WZE2YIEV^0 zs}O?J%r1y?Q1&%~O?DDw5-KS3i}R1k*-3cb3x(^hR}u(k!8$v+SfblNw4#p63dy5R zTPUXqCBi3Oiw&}quChjIg0l*H5>%MIO{c1`xzKlag}!OGs5Jv~q3=9}z8AjdJ0Jbm zNAombaI!oN+}SE@Z}K#bA>1#}`qBvIJk3FF@tmg#P|ygsc+S%VC=gwBv#yvoxQm=PL!Y7C8a@Ol0ta7IWG4J0e9PXQW@@Re72nm`(j5SCw) zrx{}`&$s7s7|X?q`|x$$Xt0)Q=No8{N}igi(Y>A&3iA;+U`~_Ii5jFl7e_ph*1WNR z`6Yk<4S(fpUl$xfQo-^Rx)rUE2l8f`?HW3|uKDE>8Th%CR25U|gl;a^{3Ob;3fZnS z)1W5Wt|(EC3GP=bmK#w!-H^VeKD0zRF1TB3_u_V?^M!I9Pof+@v`)rl!4K23v0@gK zD8~e&JqM9iTeg)b$5(U)oZY^&F}7ar^X6)kL{-=NbC8;5N+%y%iTnKE(V&AN!mVU3 zVD{irmGBNJ^qx^$wPwCMxFy!0v+R*sY9#aRu|g}GewNbdSgo1w&rVq25!d2s3T1sI znQsv83r>&q;2p*+;pq9<&<1Az?OW7&MY7*E)$OCPpz7*lJ@I&s*^Qvvpm zbS9!X2Awz2dc;ngQ!?tB6;J!4)w^nZhd{+wBijK#0pAN6)zkg}a#nzS2-N#?JN6ey zer)RHDQFh9v12$Hz|W{MEvExRO*tIV)`OJS953Esyr`<^$SrCO>KregCtlqA$#46P z=TqucZbs{r^h=TkN{26MIE_8YW^b}n0v7cx$|z76=)hbuWVk2 z34K!6N6T>Qy=12Zv{JCM#1?^7n0e%E9J5nWDB*Yee&B0lrz9xhjl?dmsHs;ox4{XI z9@~Kfkx^A;kj)mLs@j1#YM958v})FtVN36VZJj5Q{|oyWpYr$o9N&z6qC~R0p0D+P zXENbiArqeMZsv1SzAxhq6CSYg_#I?sd-&c&vG(x2iJ0xh%Xhu~OM|uT#YfnF`0SU4 zgfNxeQ!!^h8<7%rxT%PPX|B<1cOSm%ofiwc_JzW2X!Z^|uNE|?5>-S04X?zu;*-dBWtilw?ngH zWp@w3o@={%0QOwj-9xbF%I@}X3Gi!Z^TB`AoMfF0&|XwZ_QPo?bu!=(?m6F`!?@?1 z3{VV4aLxR< zoz3UazX2~AnE-Fk`MDV0pb!&h0?}W zn+r17-kI-myLOj0xbv^tjw1P41PwEhmvG;e0DrJft>} zs+>IbR~(9@(!^`y-stZGh;p)Q6avg?id84*Hd%llk(dIF_kzxEhkBCH-`6dv5Lzs+%WRBK9^z}%eN=g z{B-x(6u$DpyI)pidSJ%DtCM@2bc<$Fcsr)>N51BL5C2J+LhajdQ`j^*v6W%S)y?dd z3r5EnDs*k{6m?kq3{1D=@Hv&At?T=v`>4F$+wMRw~g1`*5D~ir5 zl6&O;R1&D6=GTa^a7kPicFLhC1Z`;T!6nEllIVrO?-5BM0nJxPFrr1W6RZ{_J8e#` zB=harq7z$wIsm9>KF(MDX!cKyx3z>^Mr(+g?xvSlg|9^f>DL=i!A;5tHok;x=GeM; zBaPq`8T}38t!|#^^M8@>Yt8k{qaa>x_!q+In;e41U6GPO_y;%SQLx8<_5q$;A7I)o zntg!V@d3X3U3!!>B*rIh)eKvZ6#hj$l1hR?PLs-A4tR(%}kVu0I~G z09N(KgB3u@R`|tyj0&LI?UUfZ+5D=R9kuqlve9^OANxbD#D!tci}G`P6Q6W}v(^1Z zk_59Ga2os=ep8ioIFB1J4dXJ%ir;=I?;Aep|M|wh81N6}_V!YqF@58y0xyNs_&aS( z$PE5`!HeJy=g)K6ipd|^wV6>Ch{R*TCD_#kPppU z6@oiNUPLT(duj2=g1m_?xLx#|60?DzQqP6p(eR?Y#`@ki?Pu~@7m@t>#|vL%4ty;# zdW2V*fO@9-uQ~_N3Q=_q$aX{!49jCnaX%42;N`waBTKx~-bwFqV;T@^rVVY*asA<)1qC@QLW(HqS+g1gTM0qyi* zjuU_h(;UzjN#luMJs^0k0E}pt023e0>~aYb>ZYHtY8+TBln4<9S2(ZoSt?S)PZQI& z(Sw0C7a$L8*UWdPM{9+V!7k-It2J`~fu>CT>03Yv2MrGS{-BA`=cgg>*&aRLAn<@n9}!>^jiVQg48aYfvPiD)JSfrb*Y2GExu152%e?BcUd8!QE;LzPlfcsgnt~WuSOL1tk8Vmx?^`;)PgkS(rZvr@8!5nrl*p#Z? zbddD0sy7{GJ!Gz_{GK(~W0i%BR<*uDRlRAu-(u9e@RhNW)=1{t1CxmMJEBg|BHvc| z1QA${4pS~`g6w<1ASsChm+aRIa@?{i2kOW6=+zxs<4pBD!S>Qh=39i8;~O(RQn9M$ zy}l-<->*z}Ut1RAQjPAHJ+DrM%;;XX5#j1D8LyO?O@XK4q>wFvI2+dC|0R^AxHYM8 zB*VuO5(Vh*3Q4mPPo&x*M}|6DDE24kY*!snypFU#wbMuaGnfZcoRrBU@>SPp2c7rv$n%$u~l~+pBYGpgT21Hf{MfNF&<)nNN7@4}5E& z6vhF2`|XHR2NeM9g(1&OyCBQI2_~0;T)Q2lX z%gs4zfZ-gHn-84IsZ4JQq%HdR3dsdK;4JjWoP6dQ&x_Xq4dz@PU>+U z&HhA;X153SRP{I$h}j!GHU??+74)CS}`7R)?~bL|8z} zOZqB`KWe5emkTd(2{X=QTu*!*9W48*sbs;fS;=b!HnK*LX1c7%jH0ZF;Tvtqi)Pvd z_lVW>(3mu$-LG+InrRor_&3te!%X8}?V%1qn&~3gBmJoXDBF+gA44-;1oxaUB9SWC zAsaz4(=NFMB2vOYl47>U7BlUV@P`OcWc0fn{2VdUE@`19@_?Y^Y_E_6cP_bI0m4al zVv8v%ntZjd?oBr6VL7i17l>{FHQ?4jN7JZ=)-3u}PO+&d;DKRF<K0*_>O4@_H~NG($6x4ux8B2- zUp@uBjDgv;hGJCYf+g62{bkZe1$y6u*nFG4kjd3cOVvS6idks4yj zhmtL50a-V`CVqhs;Bc}YRpS~dsAf&((u!o9CN5?xnePsVoJ%LSA~ zaR7NB>P_rR<#IB2q`e4Fo(*{B`Pcu6&j}l#eZ}r_JJG>*hbc)^o1C1d2_5^&GGi`? zM@lneAQ`eeRtQqbxFFnPHl5(9LYt^&#zK%f#sx9wj_$0mkqV_P`Xxc*r>dRS+Xc~7 z2pvSho;@dv$Oi#D64sTP*&2*pIBfDzYV0A13j zqHwYkT`QorT0wmb1(o!%OwUdFnA=GoOLZgkF_qFu`dr$6V}I)NQ=D)a+Zd-KKDA=a zSDSlqqSK2G1&XVStZmV!^(OWOv+(Kq)E@rZ(x=mwAM>ey-}n8G|IXk07YZ@EmMq%( zw3Pwj4p}cmF6q*1vr5NP#Z~46y|%K*%UlFYKO~?`sxIs+^Qm1h+8mG6r=!hrr0h(6 z>MQOrFIuzxtE~)-&Oe3^z6*9x&{|L(8Qw>I>Vl{xYmF9V6Jc1(D~diXl3mYZ;|YRg zQhjP)QA^UNE|R4q5l}DH`Yw4?ORAQ#xNM{&bXtJC-ef!JlQu^IeSD`m3Y>2qU(FoF zDe6_yTuqVgY0Hmkyub6=zxfMZA0DJGG}L$;?8yM|sK&#@ z2)dfbH6Gr56zM{xSdBfBz4{Aym(@4Y2X{mmzA#nq)30R1Y0O+X7+_;CBu7bZSfv zHIv^Bha2p)D!3TTUC#kFN4;x+4TyIgK0fnw4zRaigOqX+;$}(P=0oQI8_ZA0|3cRT z8*aJN_%T(0-R}w8W`Mo2LDC_Z1MK~FPd$nl1t^h0*v#O_ug`vou2Yd*bQsWZ`$%>PT`+leEKhc?NPv;^G*|Rr?0hRx>~?p&ps3d+|}SO>*z6*n6;V;;7&Sv zGLDW~&(?pcUn4^)P9cghs`cW~QWUfm3pN1W<^Y*Tt>-Hu4TlO0lo^nD(gBkXO|9pG zeFr)q3ZkNKS}zVwt>=Q<^~%V8HYnALE(<|%3SDx)0svZ;TGmpU`&=?VF=x<@XoLBG%F}v zeG(+=J103q#K@jAoB$7I@!a2=QL(>1wi&O)ZE9hrzKH|;}1yFD9EYr&kU0Yf{v`d~uWD7`g;Ia7mX zEJR6?+8E|la;65@cLLX#13BC~)o~7{2=<7annUMI4bD*Ok^Ks7#*JW|sX-_rzotj0 zX`OK%btQ~9!4Z;P-{TD{w-%)>@TMVCE3J}nE7%xSuU(^eA2_$xt9Y- zh}kHg-zdL^axcrD{fu8q;0A4+_uPxM(LYrYlkttt6Zfd_T(ArzL~VXgg_i}zf3aqG zhD}gULjO;#A3DqpTpUo%zrbh4`U?L@+@G7-T_- zLj2HZ=aGaw8fygno7?au3h_f@xS~RtS0i2cQmA&x^^%BQeFc3(jO3?@zD`6o$owqVf)mNVM+z-|caXAY;eeg}Fdx<3nXE)b4~Yr_p19~N)kzx9 z=;vcfG_7NyjV`*q$;e?nq+`r%>v8&o4(L+=Uh~{v6y^Yc&80qhC{yLGE!80zey63n zCmBvm{?;3T8|;w||FQBt-|^0OKm0PA82BYGy%bUt;v{;huFqn}(dEaoIv@TIzrK4I zSshIexjwaI5nL^_z>8AD?`V^?IhT#bLozNS(Cd~49I!Qz^v=0#Bvy!rh2Xy1YrlF3 z=3MrE-4n4Td16cdgdiVXKgS*VD50S#h|~#`MI?W<)aQcR?H;pLnW9ERLwo3)%SKN- zyT!30Cb_kO)Th2;hf2BToqJGIH-{Dn(j`%3R1)Rgp4va3>pNpe3nv$zr}-{I2Pft@nAULN^JuVcDVb!Zpx5iaQZoNc@jRB2H74;A)quAuDJKGbyqDjTs*At-L5 zRZvhkQ4@;nhJ;PIIFTzTAlxD^8H+b-=?Kh%xq^bkOE1ip4X~J^buN5NW81!!6$m$V2Bc^#4XaK0RL^Fb|C^IBtG;oL?Q>n+I%Mdp)yAnTUvfdFzP!t3|xUz}Q zCn*};9WjD^$mD_7E1WU)#1qK>jmgB{D0w(qm`AXklCcr1nPLS-5((Ta?ct|qkZ-7F z=4jyVqJe3*s8!W-G;p41;2S^b+21i?T=y14{46K*t3l6T&b)1Rpb-;6;M27t>+yY) zc~gWYRvgLY<;)uxl(kC0N=BR2%9*!LvR+K6ZE%ueoZ`@o25bunw;fvfnNUN|xW*SD zm@{v?9nhf^+#!5ue@v5kW5)nHM4ctTS3GJL!|Da@NsNrzt`pmTviaiYFjw)Z7)2Mh zKlm&&F9^h0+?Zd)Q)!NM@PE-7iT4?|BnEa$!8NDSB;ZM@w6=nP49+~RyF;E^TO(;M z{h^!3b>FKh4?|E5(|>}bL7CCat1XSEft#MPVE{-|ZFNA-wYVoR$G{u| z&a@a#J*DyV6$|4ENj(qZp3p*z>OSC1=&{gPF(6kJ?rDu43==etgAk`(^|5dLeShk8g*rn~Fpe%UObnc7#DzMFXDt}v3<#5yt|7+? zT5q>QBLPfC7Oc>E4o~i_xiAY#O4nA5F`@vjDAk*t74RyO(se-u!2;{suGkY)!`mvf zUbh3N1OYqH#}W)@9Gs+dZAA<<(D4(1tqjK2NX5$p8QKaA1t>USYRW%GD_$nqp<*aV zK`@2O^~uMs(R!FC1WBHyjt;COGg_}-q0+@re2eMithZH?`R?GBkpBs5Vqn7n0hn)3 z2VV!*NKl1B09CD-?+>8aoPZ=(Q*!f_WWGVTFWSJ5+<`QnRaYtBA(R7qMHTj2am_;V zsN(6(OD zBKnFPePZGL!iWj&tCss_vmzj$DTv*sO+i$d1*9@y;h6@27gUApI=IjejS{@FKmgk- z$Np$>cvWr`9qZ(stXciq^ zc}zx+HXi)Def58l!JomfX9U9v+?AO>P$s<%AmMuxfG*5j`y+frO;faj^v{;`?kuTk znIpGo4*qW^_?;iN0l>}>(vKyO;e-;TQ|X! zQu$6arLCSOX7rWs{o-%=tnk(q(d6E`;hTUvv7X!xvutYgWCN*Ru90Pe>gbpFKXGtv z4QpTX6~U`UI$M%{I-P9@N&?GJsHQrgwR+48Y*v)~Re`G_?32pk2wYXtSH2=>gZ0pW zt7=zT99r@XWkoc&30xJCfW{~xC<#Ur1Q8P(i~(xLAcupHdL+z@DPhBz+c$!t>f3*K z<^#2h%AI?}&$;wm=tr)Y(5SA+{NLGKe+#+H&(^zkV|U%2{Ug5MK!wfj`rWzf({52~ z24+0!dGM$|@vav?Hg%_|$#+v)@->?UQ$TdrHv>ZDD)EI;=rAgD*N;#MdD9uXt%2pN zQ79QwgE|=p)vwbO4CUl;7hDmKoC}`NAR6V5gHw|1FHL~ zF0g_kmAGJm?%hUDWc7#%8%yLzNLISUhgzXz^@xIn!~RyrlN$OYBMw0lMBsto17%iU zR%Tf}B67j}4H4WyRn>>i>M^E(>^9BB4)CYdbpc5W@z*RY67!Qhtw5NOzQItLo5eEWo9_ov;WIncPBy1Cgf6tCE(l5swf1{K$ko4EEU8PPIS)mBxFi_YI{4WVXt*TCC+PU@9j14;S5g8E zm&AN;x)At17*D7PRr~7rpl=%FQcgH?bfIy{6T@e9z*Nt{#*+|i*h4#;>L=G!PrF64 zslFXk{cnEyQ-Ar_e@x)_rDEhcK}*-7SjJI5teTAwQPETvLBzb06C@E;TaglgxL2e$ zz0G$+A^i{~3yeR96C+Fu*x5T+u56U>p2k4AbllUN`;vnI`fCqIF z@4;0ejKs&w0McO>@0a7ptFYiauKyQBvH0ZQ`M>c^eDd%7-}q+i6QvFn+>D9ciwQ9u z6`)0R`|K;YRN~dRWNEYj9~d4M`cz3WJ;)azlqXn|<=-Krn$FJDC;0-MDr8Edq|cE~ zYow77u+7(zHRnHa*N2fVA;Ff}gfPRk>X=J#v&W^DRH%8%(G z#RC42^5c{6kiPx;e6w~(1P{YXc#jhg16Voh<^dU_mv+Dm(~5^-C680;HsE$@FThCZ zE)hRqiZhyd@&V=1N&9m=B`gH$ z<8wQXzsC9YdH?Ojx*8DGsJSX&n8*xd-t(bggf1I zVQ$KETvp!5ZSl=2Z~u-*&pjvf5rqQ6`!jK6fD`g~>Ru7_mVj&ICa+Haamwmhj_{+u z`bnSnbH`~27P#tC3hkJp=Ud~sY=s}$76|c$3jj4!=-{@}ce_P_C`b0oMO$W|9`JL7 z?V*`svj9f})IWv~ybB&IGf*=W!9!(+x+rj{&QR4%4;LEvK$fGgZ(eAiCn6q-I$1Vf zKsU_8dM(fp_>0m03ux1v#&{Ca81^iO2CF!DLO0Hx2p9==?^R(7ns$rkG{)_uF~0b_ zp8Lp~kIu6%wR_C7KV+tN;J_)J2rUpPo9VFU=p>QGwsF~)YpS^tj#n$1dR{@hS1E2 zoj)V)%oYR+UryM-!56E+7`X=8BqNL1de{vh1~N3LT%uyo!M{+05st>EYPy4>yD{($ zC7?)Ojv_MI37toWkFtN7c6=gLnYa(iEKoy$UESIppzk8 z8CEpr|18LR?0WCvzWkph5ojZ%y-C;x8RiN(No^8nQsv$SdnfBza52(!Eev^$R1%Lj%iKn^AxrY z|LtY?6mtC_m&uYxjSj7X>gV90)ost-M=Yrp!c5M!rbqWKxzOt}p(=JN!JX~}s#^On zM8JV!M!vi1Un(XqjNI5KUV|WZel5TH)ljH*ZjlYkrG@HBK#HH2x#fkm#w)_$MGHAG&YQCl|KW4su>dT+~ zqdy$@BQ>*L)C@PWFnkP|K6y1=5ScnMUTV^=4I6Safa2doByWc?ajNvz17DYqtsmMr zuf`$2RWz`nje*MRml&r9^>l4CfGIrckfZ;x&F<+ZDyE`;sy97UwXKq;u+$2%QZqyjx48n^DqY z)?E^CYBFMj?vls=C|erhN8oGfUoKIpOYSgfS0c#W9t=;dWOaW!;MjO{ z3>|64m>iAa)SgG;P`9rs2^a_93Dv`NQQoBe#4S{M`5}&F0Z~O{a`U z_yh`oWfeXx+we)IOr;$Vzg6*$pg%H|CLgh6vmbYAcnhw9%|8iTgL%VMyoUhwlz0;t zEdLz6Jdr_^X!LZ7GJ6S*7^Fc6Hv0^B=QB*ZMYGRvJB7jT`lffh=LaJdpwLWD1sG5h z)(ECuB7C4JTcocfRvfmxL`IQI< zQ7NOHixnkH^pmGmXZ#M_j>CE6IyE4!Wq2J@_e-NP z#ffmxBnZ6ItBfo|`WCRrdUHb7lV;jqy4j*XxgATUevaIt*`nW$MgQiXc<=@PFrYr_ zUR|FB=+|h>t;0vY@g6;HH)~o;MA*9yjk&epXcl0<0QFBJn4`?-YhFq5idCYLF34E` zSbPo!6aCA7GMu@4iVh%VdI+>Y0=BCUh>B>4u`+f z3RYPFFb0ywgfX*D2g$!iEV{4R<5x%t0zYTihD=1ROYTq=mg+DwFN333cLzxtiP-l$ zaGf_lsLBGM2?umcaAq(m1-TX0^5Pna7WbnAdW|Xte-Tn3gEjLF;sD)1BES4`czY_T zB@kcp#-4{d7g6^WTVCRE^%=bTg}pEK7at(%e_`{BF12yGa;r6PE#1k9%$Y_AmqGW! zcNEP3g-CqL-}7^PGxmuRsmSYIi2n;T@vh0c!{Sv9CdeXGHP5yxj39cosuK0mdXQi{ z+z#`tRpdxIuqEe|ME{`3j3mS6O@%4+{0`9xOx2gxV0Rsp&Z^;GI!n4UIEoYnpgA*2Ly7_k66OKl%2wZG_;r2-ky zfi_Ri#8W+z$fkH_syi$R+74wDji8$6c;<78V$rp13WIIraYjB&SC4&~LTthSjCDhtnIeuI&uURD}NW7W_=ur*6sOBM(&&;$o-IuBEJm4%2Y6%L@I zaCN;24G|NrCDi+z1%2W2fxtSoDQ+I7?Bk+exP0N|7cL(?i(WywD4b!e#nsYJ$jr9O zT)q=8-z8;gknH$CWC}_X;u8B{$KtkBy*A_fKIy}h|Jt|x;QH$#3#wMIjhTQ#Wtxxi zi1*Q7V?0-+6@;(7TcV;?nUR{12?<6ttBmJnff65m_ii-^0JHH##!?HS7~~|gDRY36jVI2G1QS@X-`gDL_%T(?)FGx4 zNx(~+I-|8m*pA?>2MrW2&>pZ-&HnnDlMHgQxfW7X9rijLNrbXlRD(GhN@Ov9R?+bQ z!c6j2i6ZO|&y9u&?aE<;$39W|5CsHD!eKd*nH95}AUqNsrgGw-UhZ*Fb}I{BnfNUG~`I(m7MT~1*)>@uz{ zQu9(Mpj}3-6F|U|2PoOIe2prd+ZLaH_}&MR=6qG!7N^~!HXxf3P3J*0J^#s{{^7R- zqDd3WZFREO774O1ttGXUON4xFy;25Ht#-xqi|t0Os8`C=F1sKm{aV8bxN{CwCsBK2 zb`+{$J0#4ZUG^2bJxF6j5M%z@!f38eve80ULz$_H0fn_nTH$rh@yN%<>&ZoaM-AB+uraMgK^?!l!8t$CMAd_Uy~aa2mDOxqxA zRVqolUKfe>XleNYzm)Jtgp))|!WWpMV{NKy0JN%$Zk~&;xkcNKK~={47>AOyL8aq^L#cAJL5fr#e{jL_^^*j6)Zg`Lx!1~i0tlM=H9;pV zxTA#%y(hj&Iwu5kXj)wG+u>x97f>6#d8hG45nYU0Uz`g?Xno8LPw{SniTL9OP6?^j~GT&JwaY#9Mzw8Xklq6ZYDv|(Fi}ypDS$9gEXtrwKC%T&GLTGefBc1ebIXNIU zuk}w<>{Nhqcrfv)T{*sqPkOYvpUI7t{fnzNgPyGBz+A(*K~JYg|Mo10eykFyp+g(e zqDuGHiCf=?;i$hVN0x6RSC$fg=|B3=PyCq}TdN`PARD@&4=UMEjB;&Kk||k&5XjP| z&}lY>(Q|lpHWV34x}wZl4OgtPp?fxaP_XMPj*As@HZ&5An4DGD^PYK{>d|&fdB*+9 zaG9}U&W7&L%LxsIhX*fH3y!F=p=h#~IF7v(b;fp*g3>qoRVgJF%@+>!FePcDAbmO)1PLTk23&Ci@|I>rDlHd8 z@UGM(@L1qs)rY3iazPBpU`~lN$k20*AeEL20%k|Qii97ki}E>AX}KW!)A_5(in12s zst`P?i$o7yEi|J;%^*&P{x!$<=Zx+d+v^d!w&QD@bz_Q)6wVT*57$oSf!haBXHDfs zGGs{&(W8&R5lRY5?R4+R9^NCG;Ko3!Y0Hm!N5AJg{@_3QNYskei}Rdx#3w?k%HEN~ zS5o+8jcFeuY>zSu>@Mz6Cl6Ew<^;j_nkC>XnJSqi!Zcqry^JJS~k=pavq{P?{<_T88 zBLHTK*+t|!3^Q}^*kg3L)V{GMfMEoVrK9K2ev9O&*UiE^=SHbq*$%TySWFb``59lJAJJ)2qzF_<6Opt zPjt8pjXH1DcM5#jNlw%NLJL@El~5ESp_EW;iG_rSDH3{&Evtm0Fy-a_`PhWI1mqQs z!D)X$Xiw?8#RbdDX_elcK<1|b$UR;A+OvDA_MQ7?@2+ol+AV4=*j$-DPi6Xz55MKR z-WuVCmi^odup%JR3LBF5p)$QdbxjOS6c%Y`ToA}9wXg^dz!gP{6oS+jE(pU(W?A?Z zU}0>_!}J1N5OZ{N@Co9ReJeGyt!loDCf}oxx zE*r)jO*HC&*jLAATVs;lX zPb(>Ltw{o2!PtpE2O7JTlti4biAkb@@kM+fppAT*3h(eW#cN|eX9;dWtl6jAsPGPx zG+I{S*cE>9kUSb}gf3kOs74iIo;zhVu(6De3g_aN{bdNyIizsgfJ9}-Lk#)u3XH10 zll@^FMH^Ot;wj5BQU#kMjWb3XNH?d~9asZg-98~?5r_0Qh(qkvzct0IFVvCc#}xB- zyy54sUO1iwnn`iQe}zh#{wFa^}StK_o?E@fjD$pr5BE8XNYSh`B+5S~Bi^6duh4_1 zm73u+XsN&W&)N4|1a?x>!Ff~%KN^&%oivMErZ+Dw>0|!_Pp+2vnzp_0{pC}!z4oCk zC68nCJj(EYn?+^Qzw>{`Wa4j>N`Z)%KKx&lJZ8gte#4tsKhj%_xJ1e|-nlm+fkTDr zsm&vC!fceMGg_hPT>JTafbENqF5mU`htGa#H2k559a0l+5iQ=tThxYfb2;QZ<&dBK z#UH&mUJlWLScq}~^lWPq*(d{AuBN10V?JJGN)!}PYz}gXFv{v@D+o1gZ8pka8Z!t3 z*g;z}UzJ0E=9f-;^g|6wHrXg2Z2ikd`9SO6WV=|*PWg~6p?>~oDu=l7YyX8YoXa6| zIppD&Sse2vFTGT3F3Ta`{mftgq=dcF>|k3C*{uPp(wf9tCLH99yQmpUqeIOQDaEl` za0sAgvS3{fA;6MB0zg`Awm+9cESUym`kIQVUNPlI*@Q*6nw5r5h6m(B*X0njYf%tg zq=KH$f>k-B9-%#vikjKJw(?UBOQ#;AU>&d+tYe00DRZEb47#<#7`jh>V`Fs zIRkii8Ng|`Xbv`}$AimB@!)bnFW?9N$p8Moydyvn3gvb$z<}Lo_1KXVn5`a6iqU-7 zS2pLU2ASIgc|J_da#jaXUcSLWrF< z#dUX3wT(5fClo0~T-`1~9Wc1*CXj1euf#h_%IN7aZ|xoA7^mjPrY+x^EUMf8=0`vA zlYb-%F1k>wZexad$2v)VPn%)qWiNZA`cOd_RU>;4L)omFow_R&)Co8C| zZV_u~S#7gx!W_7z?ccf~E7RA)-R*_|OixwJXYDZ(CfxG;%P>LbjLY>9Oc&}E1 z?aJpUL6=L$$n8~Uu(nvTQnxX38&HRV5@pckYhpAwQ6o^d7&PD7nM&QFT&5(B=x33O z9_M*f-4+e2inZdDiqeg1-J*+K?M5(^wDZ{Pw?;5YkM#{+FFiJ;Th8vX_u1Z<-#xZJ zPdU*`xuW%dXNCI~cGaBH1#?j0vMC5XF{fiG?D;=crOuJm-9=KQ3bD>yM0vtr1YL?&f0 z*@+L}yc{&`c8=8t=o>9d({6&WYUDje;uSR}`T&v6n5NwX;ijmwa6TF6!Vk?z$^?%X zSRnBc>~VKq3L`0#Jb`jqVj1L}*IL%{CB?LxwLI2~ z7vNONAXa|=g@u+2%QAtJmAtTjg2Ag9QTcg)_#b`Q>pj3%1VxLeJPu84jo^*JiLH`$ zDImnbL97Y9F*ILQQZr@6!SSk6RV{*p(^aLaS_GeDxN2kFns_*vvKu7Ey&LKrGkNXb z1-^mA>P^Ep0+LZm?)qdR?I^@LhGc9uCu9W|VB_;PHz$o5c-m}Z0kn;{FH3}cJGI6? z{-fXbu@h+JLanjQuhKSJBDRjz+oLo{oQ$Ve71(Hk2c&EftfAJpLngKnjLC1O3f>@4 zZ3JWT8?{TsoXly1lY-p_@z62(eOzr-kR9EF$!}Eq3auPQhNcxKhlzb*;BC^%)v)q0 z=HbmoUd3cj7~8Crk5Mq@dPr|6EB3MTQqfpa$Ww8f?nva6iaV{r{l;?yXn_^` zc<7XhLjh0#eqdQ6F*7t$IT#Qcy{R}98D&046ilf&aM|O~GG0=UpYhOAWwWcn0d>eI zhLx56Fh6#aij(miC}!YtI%zXj*=$W{SdzuD?6E4{S7FU~cMbq?l=B2wv-ybx+E~}b zC^h^>xT5p6Fu~iJlXa{rHE(CuZEvdT)4?B{skoAYgPY9%dpmNk`WJD@LiTX;WDoWv z-W1tGS#LynUK;^1ZTZ%brf&X@KlbLo^l4*bs4zO1og?UO0S-zPh4-Sw1j!LJ=mLQT zYPl$J7mNv#I@s!P2B1pRgbT(537CM3Z-)XuHEC?;ObHUOX#xmo=L&Si^kF6T^o z9R89b+3E6)LzdXas-riKt5c^lRuB-jCaOL=r6vUvn-+-tCyGOsFrT{U=Hmu#d-JPH zLEF{@j0D(yXmM0lgs;c1{pPi}s`oyAQ1^E`H5Gmp|I*;PM4`;Cj)0X2tz?d7m!_&CJ8$LOE7D$B=|V#%g~^3 zUNz@RqI!rHXS|Wy)xBph3o;*&+m0RMNPBTeY=0ml=J0!xNC$3=A0G{@Y2c9Z?t}~G z3-8AlLeQ`&KaWA#I3ehIygd4@&Q;Y5vf}QL6-D`s+@jXpEy#+SK~{YAqyO#Kf8wKG z^O}3lKEtf7`X1eI?nEmK^|Cv`IOb3(1`Kr^LEq@<7|!_&723vT#Td>Fj-HO;9JndU zu$3+NJew6$ICo;YNRSoE@E3!x7|xxw#gUr(}5s~xUpSodZygejP*YPn&h3CiLNL&V6b8zgo za6VVbtLJV{bS@s+dx*j7nep2Scf^<9yMNk=tSiB=yN^I<9Ph=(q%7Q;Blu@ z?&0IT?{1!mxC?eR_XkJ_@;!R?-#E3g>ww_K4@HlTYG# z3Z;2@o-X#Fgb@cAq%_4@EngumBhHRVg8Y+oqIi*ayE5Z6NQUSB0u8pDKtf3jd1}uy z=p{%(g~_{wG<2I95m(2Kemd;g>H_V683LU(<2^!JM$;|Cb+<}!6YmjH-XPfFnovER z-!tALOrFw^3zO+f$mQ3LDVBbZpogKn5Tr2G)UJu~lTmV0et9U+{47m-lQ9FeP$$bP zg3VZT#-_orAKIIYe=F5z+r!>Yd}Hq{Kl*4@|JV8^W45Xbblzm_Zf`OKyKgf8@fP3Y zcU<`@KiFb;XY}p27{j|Hs?$Z9v^<#&0e4KB*|NHgDJ_UpOCK!}$KR$l2xST#-H5k)ht8U`k zJyHe|Y3=Vlz_)u0i7uPZKC=&A+Pw$Zs=^rN%1smICXeegdG>N!>_DluapS{Wy9;&! zR=8NUHc1)0H7^FFd+@zvI2I<(xzJ2CDzETLsOf zy?Bul+xih-ynOL}FJ3-+r(Dk^&yNr?xuh$j;1wv3AlT(S>i&qaA8gu)T}FD%R6|T(v8&qukE5T$%p5{u^c7#RtJ%VKVq@Kkn0h=kM@Borc*h zTF#TfpgL0Ex@QqZN0elzCxbVf6_Hc7^46N5c94OCWYZlJFYmJn)?_f6xzsQ6L-!_w zk1GtPYYrWg!3UC*&?^ERll0(v`ceyZWql|o%bmh0YBQoS8N5G&Hk~UTkps_MfyO~n zuErC$xc>HsOvzwBI2^K^1fS+T!FN(8=;~6Lem|3ckP(IK_;?>N2j&Rrn)pg$kSW2+ z6q!yyW3PVe4I!nv@DIL9`3I9_9~c!}-d{Hly_1OBR3Vk&$Gz!8zH;NxVGV)WG#7Zj zx6mK(v=Irl@mEfBL1n3+PTh<_YKSLMzADT_X!j|_B#*vAI0=n(-lBevLt_};U;_~Z zG@9IjLA;4jLoj*&v^keF*8ym*Mli&Z2un-1G3HYYrnwNEV%P+fAy}xI6-O0U)h&TE zHRMQMRZuFp;`~2MHF*HVzm9Q`s<048Y4OkF2;|n*u?hn(3uETu4o#%eHl(&?r`^a{ zy0+|c&P+qZBc#AjW@?7ARWoCgQ|@A<#kx%jd?YOt_LprBJj7ClO$l=>0C>7a1z+hM zyKj88tL=_v}nv-I(EuJg}1)!HXUkS)SG* zF3+guTkn0xn?KA`JpGEf*5vz;(@8OJHcC%=>OoG&H{WaW$88zz>AEZ`W-oUmOVS2Z zQ89b9`^Xq0Yn^Zh0YI=~H|$L-7^51mOP|>Nkynh0`B2N!=QRLzSuiQ)1MuWRmv@E+ zZzHOtm@$e1Q@B}1MCoy+YNWylk&&xK523Ee>!_NlWiL~ZEO~L?_@9beX2%c50+)Pe zu(^6rQoOoW^G|VAe)ezrK2c(sF%e5EC~2oT;)sW4jrA7e9ke0e(^^15z!Y9^s6S-T ztWtw&3s9nf{LSx8nWnI)I-|n61AX|BpYhE37XtoS_@OP!Q@Bu}&0jIvZ2*c$!Gg-( zPQ67ZfG#6<3Zpf;tOvpW5~q~G!y)GHby z{g!-6ksK!dmYPJ7#7jf;HGlWD5QD~_Q*tVHo~IpI0g>6mt&|E&l8m?Lj7B6y*`0tx z!M%)6hvr@EiZBgIj*diSGkf-WuD9sws_PAOksue3&7M@ag@Y}6ZI0TJ4qOTrrq43W zvpOo>H*8hDAu*mGr2JDnZm~laXqQ?;NZe`Dx)gCt8P!18|15!GMGOFfgm^h54ma|Wd!|vgeSbiK02dF(KLIoz_ZO^C zIKFKA{`kSt>7>Nn16Vm|z4?}=yhJ0eamUgusku9ZZ>vrkxkYXCvcR`*2EP4Cf9DrJ z@a3!d%RAluq~Eb=3VMB@kVbiWgiCmvcn9y@d$^atpp26ldqVopu4&iO+#S-$E~Bk88F zJA7OWiyEMDLL8NQYB=DmsNOYr@a!UB4NloQ0ru3NbMt}NAr*R1H%f>^?LbTN(LQwg zToIY1ZXwC?1as47eNL=vxw&H9ECKXTcuMA0w%uH@9V;R#;j+!DbBQAymJ>LmC!qr6 z%^sZ{(z=@LPS6FK5M)FU`RoscfV$ZB zGUdSBWuJ9~aXPIN9KCu5p`wD0Cmr}1z2)T8W3~Y>JPf*2S4F|-(;pAi6U^GIln@{a z#-Iy+dI@Kc=%G@Jg3+TttP#FRl(0HT9w_?$G3WvpIYt&RoWL8?hH)wAD$FdD1Vd;O z@TH&&Kzj^^_~l_PDHQ0h{|NRlz=f`AfqgTYKl23&Gfr>ZJ!a{j}C z2i36sr(S%FG=d`TQ?MQY_$OP;{`xomNze0%Nw)`7ZCm4)ntgE9JG!qe%0u@i-+rtp z-?o;bD4&$O>L*v$tuz0mt)&7&aAo~;kZv!r#pSymHh|-&tn5GS7A>*G?NEwc{=(<| z-mm@e8e3@A*9?2f0h9L&_FOP?!23$bP`)aLy$aUq(i7u{-^!O5+mgB_o-x^&n8 z8B9xfL{>EyZbq#ZErpt%90{nEXmD#Pm?!kOVUbT}dF*fV^^MB-+B{mQyL8?E(?#;8 z84Lu2)TE9yIBCgDmFZ@o=bLq`!ZBc}v zb+FN)5Q<=z*d`b)fSfut|7?qP8e~G*Nuecz+8AV-(E?7}aQa+h-I6WBXkHwe zxe}zM8bRtATM_9phHn%EQC%ZQ6=Z^#qYKcRgstdOX#}aAOz;4^i)a)pB67}xqh?Ue zU0?9lYY01L%uP|}E83>!YuVI=`$i?HnFTtle2Bx3%&ywszLovoid}5#KuG2+0PaEq zguL0!0k|K)6F}q{&{-@KmFE-igz)WQ3S8jnMrVEFkNm^ee66Dqlrq}bKq6WYb3#P{M?vZ= z6FjW9MC@WjDTd}z3r0anj8(}KNE1X7qwc8Pk|gu9Cb6c3F+54xoloUKJfD1L+&A37et?zvb1K+u{^{IsY! z&X1qUYq-QuPw(iQX}4&JpKd39`V&9%Nk8>JdXWx32dt^#1aym@#g=2fNv66l{jcS# z;)|Hz86#f>sRn}R(yi)(R8}U4aRVB}99BfE@#S_w+By^510<)mZhJs{lm%((s^A7N zb{cP(x)Nyliu6TH@VF;|Dg;3!Rr--!kSeJPVg|T$)S}nF@LjneeGwBpp^zq&PcZi4 zs>O>DU&JJVO;q;=GYT*jJYS6z=}dCJW!99;u%KpZe;~=5nPde>pj)>z`;@P6a|8u! zl2{YbFMpyna(L(g%0V%BfRtpCw({@y?Ek>l*GHjHBjsEpVHOS$OCdrJ`dFb)zr zHi9(kRnUfU^wGS=w5Si`=qdV9N7#xOG)rny@6(un z0Ea6)$pbJyYh>B6xW>@7VzJDY{gU$o1S5cxXr3QW~mMB$#;9168Wv8NImQP=zYBYB-=U zk;eV`R0Uu%c8JNmZOgp6o5{S2^Nq}(WL{0cW>Bf-<8wPC18LfP6U3<1m@&D!U>}nZm##cSx>0CC6C1)+yH*+^?kdEg zgZx7u1RR^WKv{q6`Xlz?dXSH%ji z<|HSwzc$#3es=|nfcCD#;TN2dz%B&9Ve6m=Uj!P$z#_oiw}%d{$o(T2e$>HWeX(&x zf+uqS1Xc|7m=H*{D+Xur{{Dz_)4*iip@WZ65z?#bgMnSY!!VzsBE(q*(X!ig<|yW< zs6twHa0Z*pkz}XcoQi2d;j_aBlK6@$s0IBcrDd%wa&OcJqnoduPVv2rhTgx}l#rvR z(@XcPUKSiN_pz#n75%HeNJAF6+hOR|m{2v+12#`3(!r%6f$w#25}JzZlx(NF0dX1x zDxOlUt#&_eCPSZT=b|~Ww251lWP_t`+tp- zpYLGOnT>&UEptq)NmCP}WeX)l(0@}9ozxw2Z&4N2?u^U^47QS=Ywo~Tl)%vhF_i_0 zAe351$>SbJpuWNw`8h^;VY~~n7Dv4U<}41Gf#hb@87V3*fJvfW%%2=1A0VlTQCoA=T&u0LG>MyRHN=*Z zEEXFaCN0d;4r;b6nJy_G+sVIpS$Q41U&1t?)#jVm%9vK4(S-6#+h zp@y8}2oSZPYH=|ugfY#57qS{}&mKARa-PKAG;7jW+0jo2 z%s3NcwLW#Bwdn@!R+?qUXk-a5{X9q2y9Zt4s$JE#Q^J~d_cc0kSrlZ%`q9+mue{P{2VpZ=LM7HfmQrDjXJKSz`jx`{H_0hM5}Oy#TMikKjHB{|rW zf?L=!nlKj>kFF3VG8osm47FYDvT;F)%Zi{gbfU4nJ+xG=Oi(N2(&{3Dk=jM#GCQ<( zaZqq2smv%SwY(yDJYcx8RH)$0gb>X?~lDbzP*-hiFG@HeHvG}z8i!Pdmvj(7% zkv_tPWM+-V!&JBzh)B%I((U!aG59pmHRFY6cIDhD0jH4agySR6n+o?pBIKNMF5C-J zCtOah2a^{1I*l>qy&17~*N;h6eT*#M7n6SVfA;@;#OJypP^)Z)zyc54ZKRVJY95&d z;}2TCYGP90@l?N4YpbGj!5H*lG`w;a^RHSA7mP6vFm`|*LD4{ufb1(DI)yxE8LCb} zRN)#ys+oE*M;HK!_72c;jUb-|6GZI90Gk0M8ZH~b7?T!dNI@vMMli*sC^#~>$4PE= zo_qWub4-e<+oE7`Io()~fCf9w{TegP^m@RI-yS{2q=33MFgoL%KJrRN$Ad)@xCv z4(he2QU~=~RH=h%Evi(Q!K<}eRH^&zT9q1UJX-sZRO$g4IZcY8_K}quyztm>egrH+ zR4S~PfPRzmO)3>|ZGmwh%_q}MTym14RGsGOGf`PpSEdh1**R9!l;^Nwly#f`QB_-p zDY+W8PVGy}o&`0E@9Z?}Z?kB#Nh##aOeL0_S)}V|sikDNQb7M{FyZJ=c$t|;7G;*? zwLu_xZL*!*G_*6j*T>#(c%=?EmHCFzYo%Wo_7}>Fus`swiAzf$)Ny}4FJXV-&Gr51 zX3z1OrEkEO)1Rl}8?kw<0U^_L*ejqSzvuav_P^UJAzGt1ud`I_?>mjqPE+WS^oJ_a z1S^3CYMP~avwQ{jlq#|c)=73?`^W@zZ+k0MqzTrc7Jc(#sUl6#C)xF2&8Q+x&?njT z43_1yZGv@@-4TjUtG(qbTntsD3D!w=2>;hqk@4pd6={-nk{w*+&iE%$ktPX^fAv(` zj0~%j?0Ux9CS+KhWY;&>HucN+B)j>8ZB^|x#7L@#*9AM~6=@arvW0G&&b4GfkJ2;s z$iL0kvZ)I#lk8?H&nG3`fI}Xe6G*%qy;y& z;Km-k@cacWcEy|PM<{%A$L=K%Vi&E>9iH}4w}0y2|F#c&tH)4kpRRTgFf6O>Wb1Vh z#zx(`-S3%T8yy6<`#lqEql4gfzh{DN&?LiyX`_Qst$E*E+UOvFR0|amP34I4)$`EQs?Ad=k?nxk=9fU7i*FY9a(OM8LDJ(tU{_dzXxhTXnOU4*IS_wp`YVKfZ6-k09`s(<;-Z}>4+%^G8xYAz8cC~tPkOoWtp zlWJB-uL+*_8=|zB2ir(jkEdE%6dM$ZRxyve7J3!aTXoJZ$PFbLj4Q_UmZR8?2dP@4 zu!A0()!SFlP*lx!j!vhzL;a)4u&4Cac}w0!6ap}qwmrv~-hwSai;k2Qw3jKKV@hv< zeiqegS#n!y@P3jhy+uAs6mNNOsLAFm6N(L_31wI%SVP*=6xD23zTXPL6xZCMikqu1 z61$ls29ao|I$+OYLedq*`*Ygh*^?T`Mu)$<n-=FGJA8+Jfx^iJoGJZWhdRx2j?2oIh^uqb@;A;~5w zMT_%5kQm;13G5J58uplRUfje_%OWV6;_#-erw4xJbs15+uRuobjsNlA{*{k)t*&%J zU1B;+D3VwA2>mW8r@}h~jY)^QY=Hu!aNlCc)RuZ9Qnb;dtAZ=s4{CKFj7k#ASESac zf>1!JHFiu<udMY_hpIk|W!_#DEC1O1PgKGD+H>u~zn2u;}pR0n;uXq~NAxhVo2hlMf zF}am}uuDAVBYf^bPm_4cN7&qhz8>+Ik9d+6aZ^z8`s+r4py)<30H~uS8Z9zK$>_p! zfqYX|_LHG>D5Uo2B|MDCsZ>sAc;_QCep+w}e2J6`?^Is-7zL-Obt+|MTy!e=kc2{L z>r^#(L*&+nS-ZPY=YlZkX_?m*9JJ5A7g4VdSELmZLk3@uC$uu05dV8`~24W`|!h}iuLGw+Dkf*vKgzpAsy&d^F$ol;A0NOuVW!*8xCgoqcC@B468t_zQ z`_WbM-ILGA=t%)BdHkEt@|IW`)R+tHwF=T#knExf0`n`SAPhe*T(>SL*~KD=@LC%lFxTDY zW9U~{QL>9g5ZLJ=h?bC&kE?=`U9=U!?W*m*M3p`znJ(bZ+Vc|yDl{wOC>5UdjVc{+)0a&3G+hdZh znp;MSQdx3A8b>=c+O|=t~O9BprR#Gwuwk8N2uqK`%Bs|*3S2GB)*IyLc+#7P1G$Fq1Yh96L~)tr)-!nl;}y+(jKI_%A0uqW#|WD@_!wce z_!x0BJVqE0kRBs$KgnbC4ts7o&(Q;<_}7P6gpeG6tO>|F>)l)n&;(l1ix6NO0GEXq zaX?oOjTe4DOjm#w_1|xcVFz*e0Kg~E{9y+Ov^&HDZZYg2PBP`RhBQ0?0(pOK`w4T{ z!9JcahB+jl4FvN3+z$KWd}Gy$!yM8iQ%q;b`*Yj(7m5boR2-85bsp%iRX6c1CNls5 z4*VG>nVvamp>ASK0|zev*0PZla7E?&i-%4SdT{LE3FBL~GAGH8Ek@JU1R7td zFV47r^}B*3Uu=`aLu;`7&AGjBF`6ccT!gx4=tN z;k9mi?C!SZE~)8$CU`1dduLr!rzWf+JpH%)?4Nuv4lSyB8sucH`t8-z90{l{xoelG z2wYDiHQuVBsHa{1ZjYUD8c|OJCQro%6$qGoyJEPZ_t83}iQY4kqZ(lNIVL@AsuJ3_ zGZHZBY1fn(9-I$-16oZ&F4Z14=-wyiKD+k)%B)qA9trk+qVzDYDV|%{ngv>PX0c(r zy7$ZoN5ldxdil}&9=&+^P*fW-2GDzT5>;tOtrjw=zitmI_pPI-N;_)ZcW@%rb6@`c z3v;a@_|UVeFI~Rp9q+{%T}@ER2~GD@%$9iR5%zlSo$vK^bSl>E>iwmzcr$gyPkh&B z{-;0i+Sk11-m}k;=|`>85De@RKhfcN=-L%r)fcd+af_rt0xmd?+j`kXaY>wtXJ^j-O zE?}us`b7vULcU10Q@$jVDG5JDJYbWrlLU+J4?CFptY(ub;d~e=Ca9U_*CES8J>sF$FkNdb#I)rZE5zlQsM-9%@A{h`{<^Bfw7}7+|Ew|cM(aNt zzyM+jJJ)||M(2pB2dZ+cWTFkVWeZJ8U>K4#KM)A2a$GQE&(TPQnZ5Mufy?L&s-Y6I z74bxpCKQE(^R|Q(z9Itv6Fi_lRx3&cni+Ha@@#5~A9V#OTA*xj+M+6uuUe9IMG*a| zq^d@tXa%x^Mo{sQCJ6>pTuq(_ec}R!GRtwv;$GBvMN`;gTtzX-sj8aW%p8>r!9z3_zvhB7?>7c>^(W0CxAJSwT z_orSA`!{p8IhJs+R3ya^-=C$WSouLEWn@lw!-GgSIxN=|qO`CSJPDcF9WJL+IYQ+r zxh`XsBb;w4m7#K!cpy>0Nx#2r`w6#mwoz!>;ewn85&~&|*$(?lrOfXi$8M(L>wZM9A*QF1iAxkATz9zIZiEw zcy(N&5m4h)Esoint7AX64*p4{@1&En1y9t+{{eg(bZ)w40YC*oQqW9_ZE?-gL0uq> zOr}J=khDY8-AV@%4mh_Hv$35B5@$tm&~E5F_2YAN!m#b7pXj<%oWZau9$!^>__bi@ z=0}0$sdv4U5|ys;a+sE9%*!qvZAvX>uiwb>eNo^~f9%8G`Zz}cj-|48yWtLV=o2Z| zpD-t;trx{q*5FiiD!mfd-p6znfUKaRPTbfBM3x2I?U){^R|LptE(8GF= zY3xa^sr^$l0`=Vd>*f0}?ajh=IuDkcWc=_m^C}2tXN~%Ixr}r1E zmSPviw}BpSrgdA2T{lzg`l`?Pz@NGQku^`OCc?s^YA_}PMG#0xLYY93)}BWX;c&!M zcE(Qto(uy;5NJpe@C2YpYt^!caMYGJ07XjXxtu->fASCjrIW-S#skYlxL9XP9>NJz zlf^j!Os~C|=pn%Jq8LWIUpXJ`&=c+H!^a5#m+|1p=texar+%Y3>#Sj`R4KF-#9c10JKW>SNCt^g=1|Jdc0q4XDwoLAcV;R?PwE2TO_mRt;^0iLT;q(M z)I}YheB5k0XeWsa{OFA7xhD9LvVVm0439C+6O)*E=W&+EKsQGZp6&(S2ON}sDUz`5 zb1X?>Kl+vwa;$X$7Tc~Fp5%)&X443N1MsF$q4DU`o;##r<8z12SD+7}K6f~L9Vp{{ z2>#q5HGJLYZXlc6MzqT;LjlT%^s8)#n3<~2H?{A;BXVss2q_5k38ds`+ebibeaczf zb|1kLW`mKvrQNC!H)Mm+3=l7vKT!DnqyZrPVDa};d{|9E=&w~b@hwu#hGBhxZ;?5+ z&1auM{h+HDR!|E;aeeDvi+O^Dt z&|P_p^w+J2?D={9yY%}$Eq8^*g#YqqfAu}%lO(Fw{3>XJK^ddad#^YWl?+hko1Q3D_7tcl(rn61uAkiqPqw)XnLqc@0_Q8;4s$M!2W zk>=}(-XPM!L+v`j8$>PW%66S;{#f$Hk}rk`K$BnPueF4#!#+2$R$Z>gujC{N3kJ$x zm@*;TSS^XQS8rl1`58GqX4Z^0#&nCj8od=2inhSFPyJK(|L`CG@S4z2iY7~F6v8Gf z4@Lte20Ve^I`i2{)w__?Vdwz|&7)e6+&+=9ks^=pzOTrs2XG9JXJUM^pujuIis)JA zz))Z}InKHf6tGYcMEki$wwPoibzbMP(}ac{dcOh&Syb6ZTh^nXARBB&G+9ddcE15L zA`Tq|#c3^qfD7mV4MFn0eC#s-g6%nqYPMsaa4}kL0W}}7bn*95G?!iXVQplCd#Sf1 zNkMbjX@VO<5;S|1n|SninxdNR=ykHveuMF=tz^7C05EFhdXKZ9`ATc1`vY!tJQ}F% zgFKSKf0B$hh`=z(4}rc=Li!}hK;6#sv_q;%)&w;LAGahKZxK2v$>EOp;=GgY%=9az zdt{@K5Q&tceL388W_FLQ&Dd1SU>L5e4NWmU*Brkshi?8yS1j}d^-P!)XavJ~V*~~z zUHWJzbi53^sqw^jz7lsCEbp|00}IXzv3`zhx$TiHez3GDFSSLO&pxA}t-j=Fngnl>pnP3?zK?0sr>FIPq>Npcb z_Ll%`thfOLxH+`gA(Om`ITfNBsv=2{){QHe%fbE;(?#}I^-h1!c~W;}Nxq!SN)gFJ#3R9sNpG`kp}KUEN3SQeZY>GN%F^v(x~u5qoyYY1%} zJ&I;^ff{Q~nBz4<>sm;dYn%`;ht1p^FhivRK?l^x$H(0eRMQeh5Fx{zcDk{m6bl5z zT&4m61dxls?WiP;tNF^c6uzAZH~ZTUVm^Tq%8U-_P2@PJ*hloqfX zo*3^VVSR|o;EiZ_UA}_1LN#N800~$#4iN?nNL`T6NfkV@UQE|(yOAk4QIL*O6(m4V z1-D2U<`t=COb~p(>{r5ELzHEy6yl0hGbRXNqGl;E=}jupQIKk;3WDuO)C@Y1niWUY zOwug!vZ{+Q4$V_Jkn*ZK5%GEDU%I6Bt_}Q?c`EkTzwuA@o&EK1`aV%AglvnZc`7wc zd3xt+nuWOj?uhHB-J(`oFPOWV!Q6fGJKy-mamRWGqIp`p1MHZ_zV3@A#~N|B7zqr? zEfd*YFgw;e((=^?2P7L@P*R}g2CqSGq5kr!(@q;5>-}2J5rO<>B_nC#ipjC&>|%AS zb=FH1jE;4g_)8BQ11BZow{sk|cxtx_poykJOb9=y*?MxvTG!dX9I}Xd(7raHs|=W# zx_X9vg7wrFa~B-rr_$V&=X0*Pn_3B*wtTCq2~zl5-}}OsezwoY(1qIg9URzXTSokj zQjg*i?S*S7AU14n7kva5JiVFP@mO|)zA}BZS#{dsqng>TfZY^*1S(~PZu+64nn5k3 zC@xS(w}*~u27m&NN@uDPsm10Tqng=6b4e>a1Unql5}g%Q2qIJU=|Lwdm7A!zv=U}Y z6VrJ$C4e6)|B$1a%XO4D)Km>%%1brPwkWflg;bg7smt!VOCOs}pU#Pj=_=(kMaI{r zbWmWHinPBAi_KdQ`p@cryqy$edF|$^u*GA)JCA+ZEo#lc;<4Y1$NpLW`*;86?|0g3 z4WHT^RUi)lr&*K#i8(45KIVT&9zdi+P87u)6*^Uj?0_IGTO*iqRGU3vDs=62K7Gt6 z#T?ZEd<8{tC(Q*>Fy^REXrz@M2(S!vE+*!v@L(3f-T6e1J+4SoYRRnq3dk5qj~y^A zq7f8RYLcL3Er6EeNwVv4NlAyAB=9bzw2@g6r#9s#y3!;u4~sDkexcn#T!XY`ygRI3 ziX^Cngc&?ZrrSdrAH5=6d%B|Qn|!s|<5{{M3ti1UzbhMYxWn8sq%6@4gfJ59CvpUO z5_fIR{xrf%(4mt9#}0}B&dH*Xg+4Fr4-%m{oIou*pSZzZBsgAd4%a9Wl(%KBBQQ0VnYMgu#2Cwb^bh`>|Myp1 z0V^!S6mS7)K+{yP-T_!Ms-p5$DH50<_%K;H#Qi_+WK3)nl>1hoXg=;2{BEj%eMO07 z4BZB*d?+HK`k*zMLrW}E1k1=J^ntC{MWy?Saue;)HtrXd51|8%=P0p^9lDPDJ!5!r zb7+ZW>Y>ZHUrZAa&h5BjLiK?pO_^iBS-Mx9?kB1BC|{Fs6Tqku!gUaM5!Hvw0JSJ> z86br`&4huIgjQKo8HZ#|V9i^}czb-@FG#|9d(xWe{s34f(JQLUxb^wbP>wdcq60uD zydn&*5X_1HN|Tg&2)tr5-BkeYs7F=b#wf1*OSj&lUzkGoV_xp9bMua2bVa52O-vM3 z9yA@mScB!zj%tQ7yHnK+dbGqb1J#`9#=xJJRXewXYRCDaE34MK!RCesGnyuO&x2{= zw(s8%r)ss>RQt2fXnUSMt-#IU*9B-yk`RE=F`hhML-Ci_`?eJSwB`F0|1)p-wZHpU zJ&C0j#1wyZ?vTxaBL>~F1-ZYx-Q}yMI_#eJVI>M9`gYN^1K%e}wy3SpE!?aaJ#Tl> zkriv5{^)sQU~6&uSK3V;56uU_E(V~M;>+(q0@)lodfx8KZ}D_Ag30r)Uh-N2;_=YQ z^L8(JyB2EHBpGSD+)D;8Ya5y*&l^0i#Y^5};zByjQH3gMuI-9Z-Pc1kqhFK^S>>8E zwi!=L$)~7z`MLSpRqEZ0RIr?w=~3IpE8U=B6y6fliB6?+bprj7nVNxrNpy#zU_ygx zqi{N|wdk{Oz}t4M1+NqICtPdzOTo1lZ^17Z!0e7R z(A7vE1DJt#d3~6I6DGFUUf#L-bIG9HT?Vb_*O6P)+Mp$ab~72Y&;2W3@njcGZn z0*AMAM3RdW)9D##PA(^gApQfaPF z=Y!zpqhJc)5dM;0huy8n&*8G;v6}!6&0u<#q+DqvV*rPiF`{UtV^$z|Y0Y?da7&m$ z!%qaPUZ>qD-k!tZNL+w~kHX%P){OV(gjR_XK5iv=O_DLfE{zQ&EJm`kx#dc4X`$X8 zhv(73hucK=*YixOW1*hN?eyx-b5yRDE}c{AVjW|i&^o8|D)3da2;HUOvK|Wc=nwY@ zNHD9>f;Wvs+FIp1z$2Mu@)=^0Fh^e9?Y*}!gq)L2dt!!dp)n1|M+li*E)Cj(%R&VZ zd=$FtzNrqDxhxN`d6z-m;*{K-Q&OzT;*|KrtpSRaDZ{wHFfw5n*LuaQ@}uv1@h^V7 zXO)#I!km&q905BI=2fv0XhKt9hjU8M?3rNgMur~f5X;f(nV=6p1nD<(29be|M$ZJ# zo0X;lNHC{Pi65Fa&jc%(EipBku5dv*B_@b@?MP3fUZ>Le4y3@RHFyArDJ zc#hOoCWurr+jUU;zywC^!toWwDKSapQ%k=zTIDsX93+`sFv$a2-jRL5SxOZ$|3H#l zFv&eUL6Jl{y%`TVYQk&O&kt(r)}?Hg`1Z_FOV$BFaKcq$;xDRjlx4 zaJm|fVWN^M3m25Ypa_;kEz0%hE~r`Jf+7eNNnS+)hBalYjZ6D#ec`_RMXwG79F@A&`h<8ASRVW_}3uWrXK~)JY~7YC_wl;-SJpiX1F}=CAa#zoRxs9tpt?AU3@d^+xBYoiO=!Q{@z`XPfR1fWGNG}KYj%OinkyNZ7Jr~r z6GBgmg2q6f+cY+UVK?0!t6c#C2OATA^id_N8Z>j0I*7xi^O-nLVA72sH16BH}BN9ZxeB*V5iEMwzL^0;DVT&`UQ z6#K6_};cm=eU4H&`CuA5=`Zw{d?yP@$+RW8pkb z*<$8h%#f0%Ib}#8koV_y*k5J_hU4$%&ES&}g<-jdgjq-I#mnJ)94<%6jKlFUgD-)C zgbF$D5YK=H|q;}-@U$%Y!EuSaf)O>OcJB4DpPU-_MbkLVzenxist;wYw1S%ZNpX#By({)oaruUsVs?jsuJE(>$?!W>;6lBbi; zoB5P?eAD~>;x9U$P4oX2yI766lv;r%29bz{B;_m1r<4lj88dy6{v_=90KcEFxeJOy zv(hn!?7)d<9b5-^M?um2wxZX;w`dH_D~gJ<;^|?>!ZEAX7zWu0iY~K5pV%)7LF8vj zH00+fn#ES!tdwX46D~`B*agKL6hYM8cjBm?*N5V)xnQb;@4-r1O6xWPGf2u{0y|5< zm$eoQbaZ>&61$Wg@1v6)0(7iaU%TulUwD`twKRx3FF}#pZZwfXNrh)(=jP;GG*J=`ICngyjDq(4S-DMKnn2Zpm(oDjh(?Q( zidn}_UWx<*asTY4NR;MYg8n>axRE3ZZ#tlF_;nBN2SE5*JtO74aNFKX)l3te7&wDVmVW0h=xAJaRF+eP>Q|2u)aGxw>yPWO5LV4D_w$bR|YqBR_)- z34EjWvQxV90Pb?B9i!3>Hu#uYM8+v(a$ul_T36%U8E##rvaY|nB{q?D?zXPR`{SVe zzSh-zgN9mH;~jEPK8S@oN!@shkn@InhntSnv#|Pl9tpovx<|<6BHsl`Wc~6lKkDwN z3*lpB-951!ZE<(TdC7g(Cvt%Fj7qktY($XUt++y_y38fAZ5V5T&;`#zJdNNA!Bt54 zhYlnTu1HGws59WclWe>1(8+M2;WZ^JB%>2u5k6mGf60i26P5&0JmDt8gE?Svf6f&n zhOo-xE!Y9NTdp`P>I5im=YT%+}sSA_ZxiXn|; zx<3G8OBDjsDx@Un=Q&%`t7>fk5b}?$s;6SN|2&A4Pr3+*j_mvL55{3?F|G>_H_Gbq zO~r@3t~-Fz6nRnX(A5(2a@G;pfe%5?X$TwioYcOeo^31vYz#?NDvy8WL^jt$csI#C2Tv*167|tmZ4<2_1?V~yz4h?tc+ulm^Rdz#o zdQkptK$j)>iHDXPw}A_dHKGrNNcW+A zgy?0fx)rgYMr3}L^8A)f^rfP`MO5Y*P|kEcqbgIipJ%FcdnA5QotimYU0Y37f_kjE zIVn@C_P053v)QQ5o`7ZP7@1epyPb}a@+?1n>hXKt@;5*5hB?Wlrf*BVKTAp=9mAJe zl1sufP2< zw67TAPry0AtR^|5)2eigxL`^y)i%AuxpcL-U`j4|pWZ>4KjUSmF6D$9v(stT6`QrU>v zeoG+fA+G{SG^(_ok7-{1?UV6;E85o_)gJA;5M|D*V+aN!IIiN?nBxjaM0n%jsp8kQ z>=D`2z;6TceIecXWP|MFxVqEecGzke_Pqz%L-@B&|$nA<2UD5v({5t6N4>U>JDYMn#Qb zf=7TL;hq2t2smWhH$qiof*=$_X9o_w2iece;&?S1YM7c>qgt8z!OV@DmCjdn$wo$x zfC!^}csW;8NCvGY@DPXK*a7WtvuLwPslnvT`680Xz=>I>!moyhUbyCbNBD=epaA;2 zgt^m^@ku_`Lx7pXcuC)#si9OT7f@ZOr?eouwtyoTzF5E!lM{~5?O>5`z5wvn(}%&D zMb}5aF{~!cI&R-0LIVa3_(+UbmVlY|4K;Q=q7~^s)xKS`u)Wx~yR&c8ZqZ`jrWdK^ z5X<}v$6x=hmmKA0LEolgqqb)+Pl6_Nv1&vI1bV0Ij z!1lvpQgGWD0}<^T468=0NUn7{D5HHlZx3va2KZ~;<-uhPY*&qW(OLmPp+qJs#fzaX zGr`J~j>ga~K#pq8B~J*u#R#9J@DrDm7}z8M#3#WZ!GRzwPe1u+6iTzDw$E!N)vk6w zm@U*@I&~4XuTw`esq~$g<$=(%v9oG8qoI>@bux4r+5pR%AY@X?kCwHuj4hg9kOT36 zl2Q%_%(k$_%n=(f3n?LkEL}Vtik`d?+9{ z?fiT9^K|b76)w1LdmQh*@Z6WbA5ekkUXlm|TaF;m@2rzBe>DZn4Pe0p%CH&mgQ9Mh z;PMq=b^F`@^C$h<<4~WIZY(pt*2NWC>^L+e_qj>+(wewxiyeB+hFk1VOp^NCGAo3j zUEytrEq1WDO7?nQ19#E<_SF7S9gtonScWz`>$Sl+EZomLU!i>KQ zM+cy|1oNhP#3g;%eu$#F3#B2i3O_z}h!^HY=8R2a7d$NLutkT-Okh9w49l1%cL9)J zzRsAYYqs1?2=5sXA}Emecc%FwG~$!L{Nk)S(b0f_?OTWd;~ql@3=XlCd`>wu2{$$F=^0|1p%DW^Y{ic)E280s zYLfhOlbZV~l0GaO#F{PYEJGuOXSBi}TE@dvM zovNKz7#dMRrCUr~noHVk(qX%C>{(HD83Pu17(>9ajOs`clKecb9tCM0P-Wp&My)P< zODuQVoS^EYQg=-h#gfUqyG-V^TeM^{Zzq%aEuZ?s|LSkKMc1;a1ycqXK}#}+$V2s^ zg{W%OCU`zE(}_c$VZhoI zLv8;)dEGRo05e*9jqJ!LWf=*1mY%NZM&`zos?%=K z;s;E>MRO{e|LDK@+Ryw^_XEJKj1-?m_PPZSgVDQlu9Jq>CeE9AF29aI7$bmamFYH^I^+2$<55S@w*srBOFQG^!9Z z3&9P-`$mvP-30e%35Oxb*$j;!Rj3JqnG79&%mQ$jrdX`<70!`H-2@Lubd$@WcK{1F zD@K?S@V}U3!*g6C%x?r~)NMtiC$JC>&A%;5S&Vv>Jd!MhlAu>xByqaVts&9G*?L4as$u7o2u+n-ZlKz5!4q{*7N<1%J6U3T)!$D`d?KuhcT7Q8Q(j z_zl7B>ZBHg|0Mvtkc*fzwv!xX$k0{jHYm|%ag>pSKSIAjfd-8eP8b5HNxGjX+m0v$ z$3N=FetZrYNYHl~0ucA7M)3WGO*U-u-L5?E&pxvaTH)RUY{3hw*zsl)KP|(R**;o% zo#y&z6Y4us%AU4->tC>x{Zn80;D7z|ZX4B6=>)vM(Lx0wjy;eiF-A&Fz`H?iRRj_6 zwvllTcx4RAlz(szz`F&dSrIHvryd~2fEWFv2sJg1 z6(j2LVH5Cb(ORD;2c`b0UD?0!PkNC45Y}|6{YA{Rl(L_OF8}f@&sC30 zefsX|)6;HIYhsp8(wpfd{awH38xG&UY!0TN6Ym$x#vL-$PDVbE4%dSX`QB6RbsOV66lZHa_ZVzY{Qc6r^dk701#qIDc0fU!Ln9~t8Wf5F$N{+Lv z!K^Jam$oEEhqAnj94DG*7;DF4O9X9_7`lPqMbhtztxJ-Uak4%f_%3Blj^=9CjCTjx zl(Qe0(g$UGD;aMOp#hRNMIr?0hGtF4K-skmPZifhVuFuGI?s56FcB81Vq81;2k|uJ zW-e_qwJT!&StMD6NRp#&i8>*x=#iB2w!0&qg$*7@Ou@Qn#H|J4J`SqJj|tL!T!2?g z_l@XMH|ls9F6;7GhJg7>JZ+S`8=0p_|aQVU-~0I@>*}%RJ(1a!s3}qfC_l3RN5jq zHh6f@K?X%e7iT27a&X+BlCW-mm8f%^~N<05R^VFrQz1%hF|DJ(Bu6cs8dvevN%FfDC zW$TKGhs`N8n?)g7>{k9pg}B6UHyFbewglCH`+Lxj;XQ^zl**s!#|irCNoe{eEKeP0 zFVTp$?Nf)J{Re*L4?XMZP&15e?Fn!Lsv4A)K|-dAp`;?=8d4pWt@{85l6nk9svg)O ze?}8@uqF6T+ae6rp$URYl#}goXxboAHGXKS!zx&ZoNYHyB)L8oYfs1hi7O&3-|Y%} ztFIVqPasBRza<4XW$ca%QXSf%!858;caE4AtE$TdQ|$?@3+EmAq&hcm@dqTQww@+ z5*ACXScGBQ=IrLo!*J$ffw?uABV?j1)xw5EyxQ}VDdo8zu}7Vj!KvnM+VXwT!^ix+ z|N3J--3KseR>sU-$0ByI)@0iv-T8`wr+6uZZo+G0NyBH*rxgztwd&v|>!IXF3BIBci zn2QOwJPL-48$tm<(QWiONX#UjIchDZ^J2=8iAH4UD7Y;{r!A?a z#rm=(CPpgEhaEuW&5AMQh{7&m-&he@UK-?xIgcqvOusYK_ihmG4hm>38l%%o7(nBS zDdmWDEalj=Lua`AagCYjnwwmqEg+v`N;#rPL|+N%K~!Qoz<4?h_5;C7gRdZx@YLEh zW6BYevRJHVa&lM3pZaf}QjTz^bbR-5g`8u%X3Pj-)gFNwJFfaaGo(KjGQow^}M20=P44yV82p1Pq^{O(B=nolFOntetL_Q zM|zLj;=cO^L1=CsRlSkE;=Z2g^($Dqf6lC$x6;k5w)CAWvJW$8H!WDGasyl!+#RXg z#Hv0Yw@?O`maP!mN{3V2Ujl>xBj9$}zYTSP5|~q!XQ4gL5+O<;HR5YsJ{+G>LpVOm z_+eB0|LVN}6mhM(eR<61dM{H$&1uWGmX~$=uRDDGGvjj(P>+WSwRkXp$Eq1koVz#b5xwmp_ljtQ1( z5h_}M4z!)d)JZ0I-eDji1Fi$&DGj6i(6kyRh%>u-)=4$c}9t6@tH4jW1h#7{bH zsMUv}${6c(KUi$0yL3V~`RB*bf8~P*SdF3#+On_fNtcJ-D<5JwW~X3(`&RaUqf`jl zx=IhWGR+fO<{T_@4qkfh^5sYGdz5DtT>7kn%jeIlE7bG*diP!C9M~j>7cXCY-;0-z z-ietH@}qFkItX_o=lCXGTon<221V>bFlmfWooXD?rcOT{rk%N3Efvt ztnT~5qsuso;?ZRsMZxcET?mG+ri`MPL&^1#|Kx@L<>z1fn%4jgBW{ME11)4TB5TAX zq~_$*@LfS*IGeO&5@okFzF)EaNx^NQyK+HEBo;wrjtL*8uTAa=`bREE<7q4n&&_M^>EAmk%v@G!xwJh=mhDWIeN> zD?|S~rp8JY2q326qe%Ym_MjO+X?~69x_n8lW^Rv9yQ^OWhcr_KE1H<8<10bgF zY5A&w#ht(es9Fb%Gp%4uf_O%0wSkJ{o9`>e9LOGsG)WX8h>&7T6r{qd=Lk|`NvmLH zci~I+6;lQT!vLU^kpY1gYVmV1M}_1mlPVO{u8MeQD!h7VB%I2MJM57!CgrF+r-E`_ zzU)yoCOYSFX_er6uS)1z*k4mF_E(p>iQ2*i?S$$ z^o8W%Li(dKPzBHZA?8bj?l$ib6AZ|LVE~KgOBk!9e23OgJYON(AwdEECNkKdTs3b# z3(hwUPeuM@I6*{9>Bkp_%CIRONzIqw*FrXCz6L7~yXz)#70oNVQiCnkp1Z5|OuI#` z6N zq$YSoHcskCClnys78P1j6FhIwXCtr%U`e#vQ@(-`rzJJP6UspXf(N}qhyI_I)C7+J zj!VS_Wc|g__Z4YLO%T($nS>+-KUxIEK)N6;sR;rEuh#x7eTuZCE-04NB+-Nf6bC^X zETc3_O5V;S4~T#C;9#F?4=%dWB*9Nd7Xqh=!3OFX*wII=Gwq$&I({(AEPo$wLL1=Y z0?^QJ+B%2s7XuAhQibQ%JIn&x-#$xh-`U?j8UHs*gwEbNOj^4r!%{dL1!Vwuv zwS@X^s-+!szNsz`?P97I76rOrmI++4d`vmA#P%(}^+SK_;~mYZ?@Mc{fja<*6Un>N zZddB`W(~9#tZX4ScTnox)A3aLtXwdf>Oq^HO!W?f;%MBChs{At@4jL*)q}=An(9I0 zpG@_T@sFl@(D)})J!t)tsU9}}1ZLQ8K5YJzBQ2{6<%l^Ub z2J{sBmWihGcoU|~-wAEH;l)Phg3LR;qc+_on!mefzNoqpFK&tEZ-;2<_x=2zd+`mU zF2WWA@YF>>Ox*;b(FmchoE_HiC5{_(e&o0|*&uijx+3tAZCD#!1SAp=qQXV!8xI&= z1kwZpT!TY{dA<#QgC@Cu-mMFN(g_95<{YnZ5iED_-br>5kU=kDzhIB9jOM}i&2c|W z5CD+V96P%Rm^3JBo^d%H$><`0PO?a%g3ytScLxKL6wyQyl-Avn5Y60<119q!*~08B zNbS>kj%EnVMUcOjSK}hZF<_|u1RjDOYwy4yJ!pS|--3Vp>jA%ivhVD#f7ADgQp0ed z#Y4D34*|>_;f;q&fM56M%tov~T&KTdngQxG<1IeI;v+nI;dzv^>6^To!9s8S=uiH+ z&-RSJ7U8XSUP|&n`R~x+Kn&2D3{f=w<*QOVuYv{^La#xoox31?1QP^uA4vfiA&jyw zWBFWAGKdDm0jocp2~x_eOUB;?sWEKD6G*#|>R^3;+d&o4M=(Kvh>J-2=$|Hy1-6 zp$cLYF|Jw!W!g@>YWfH!h*AUd_#}$i0nwa)o&*-!AJ?q1Lvpuiz*M8Qmji*En33u>9=SO?)DeI=}&*)M zxz!CT^&x+CEhBSt;Y*-`qxpXl7eJ4ZA#`T_mxMg|X8_rA2p@@&hv|IiH-|zzU+J(Z zKCsv2CFMT9E?=JVxn96j5b(6+TMNc|=%4rpAOH9N&yO7Gdcf2bPQ_-o__pKH^Zl+a zJs`V+NWw7i0&*>1K@Y`1hI2zj5YYr&`|SJ2Kn7%!1y+o9$2NvaflQqp2cr5mnuvi6 zTIrQj7%@~wFa|Qm^M-*8wXZ2aznB=vI6Eas<=b;ifef%koLPz`(H+wEaESJ`UlL=; zwJiim&!YP6;1l)VLjZwd=e8HdgI}d9{iG-na*h@P*R^Eb$cWnLSm}VunxJ!1;vhUzGNBuBx=ZSzHZ(e(J8S-ks(*B4ZCWY1S3(C%}Xxc-4Ss&`l`cUKF%A<^btDo$l#=p&Y zsIivy*79TXP-887sQK|u9_n|PX}71@{vy{aaPDB~1Tz-U@f~siFcJn9G3U+}x-Ta)V3LJ;ecRg`lYdB#Dq~puBA0OjdVak1f%!|dl8TXgh z+|R$6?6nP2B-oF&}A%=u7NyzOg%Cd%W1; zd+2v)jP2^)GZ0AEFWY{?yUoQewnJ>s7rS)*5Xk#;JM541jq`1H>x*52EbhdX`}xbZ z?~fU^aXEdws%x~BU#o87+Z~jL=!iYW-R>`THtv>$ZIj~O6jIvWW)}xwAEL7gsWs-D1Z!O!|~+1 zLZ5WXUFnyrC*1eba+gwr;18=U%hT=(rAxoE?#f$q4?pM!^_#zyfsC;IS5u7a=u4AggaqwbkZ-N6h{in9$3qYaeELUmMZV7_SP_-v zUt+c;3Q}j7;Bm#-^HAVuT`mzs`3n9X?U)H3km=BKEOU?Iid5Jpc!r~+f-B%Yn?utz zGC@py(sl~eOwcuu&r$q+lLRLg11Gp}Td1#AlGe^7L7=LYojpic+Upkg)g*CH48wwB zhVE`bb;Rot_thl#D~#+CN!%U6Ad+OdJ(zKVTn`vB(XQS(&vbw42!ge`aB0nWgX&CA zHg>enhPbbGp5)DvUuh41vyNoEMf)QXU3%?k%xteH-XnB+64-%XzQknlJjH#rqaO~e zxye1!*`qEw>Xb6_(0q)=TIPV3zts)37;9{9fUef*18D;y6|+QB*(y^5Wb5%g&xM2)4^Tl{XF}OIJM>BgNLbV%1>YZKq)=Q@La!nS`W5CG;YO{xeB_c2utT5Vkg1@V zb3e4iy|yCYkz#p~JQL!~cxcHm6~Q_Mjtu7NZ~2OvHnk<;=!mbkUxR-KzfU6YmZX76 z`ryn1+`~pv!ecx7>Oclm4)_EQMJp+RaFINN9!GN3AR3pXZ900qJ?PtIS1gkXC@(hm zF_D5Fk^Dn;4U%xMv*aYFLoBhH?As;<_d{I*;Nn}o9!8&saJ6S4-&{@{mT8Hwo*LIe z3O>(667SnRjJ3SJ?qO&eP@jJ3GC@JDdKeI995LIaD6h6_@@XzbN+k5Bc4#DQcEQN< z6piMP5%ItK*MI!$#-V&eg{@Qp8!BvxuF$MGlH~(sC{g7_5Tgjlsf8j0BEL3eFRHu< zqMlC>0Q|k3wGLW#T_fVMgHKC?r2|}KQvLbQzP~{@nVLJ=Og8PG|vRnbH zliWJBvPp_hRfb1fqHsJ6>xNk|a?+hU!Idf~OZ>;Qf1f&w3-a@IWqd!b66#ky3T}b& zEl|F!{JL(l=AHSn)fDs<+OU7$nuRW@Pj|V8(wz7%UC;8Ef$a1 z_QHYllyKmbXZZ@_=LO*$3f!9-X-r$bH8G4dUia^P)|=iMhQgpNX#e)A9%T!3w?HKo zFMhQyP+t8Csz=mg5j-7BlPh*84XGgJz=}*miF&jZfr*yv2?{ZVz1IarJ(}PVYLC?w zW9(=>-V$Ih#Dl_5zhIX`$n&U-8Pn<6j_Cw+( zhfV;@w~kmB^kpWfi-P@(mNnXCuEKR<Q;;z*@6^x!n2f3RCc!*o40UUsqcJW0Q?zKD2A9oqG^Vg;=x(J! z18kwSkJqK!3yg4EKLA3QNSV<0)lj3Q`4PPk*rCR-ea#bH<+hWC0m zj?CC&ZuimuvH9lD`iR_7uy|SZxjgKR(VYrepwmS+C}%vQtVO0}me`bPqcLa}4Ts!2({(HLwJMxdiqX z9;CKa>o0wAfDb3>D)jJ(W0>v<9pdsLTpU)Ud*O?K4ruKRDPM8T@PJ>y55~jA%oZG3 zZAA)_G@W%#kf8!1dWEE9waa;;6bm>m-O?i*)bvE)=;0Z^05<`t%$UpHmtGe?y0%r@ zwWH-+VuAA(9B$2;+E#6nzmrTh=_X zyStGLD(C{&rxNbb<)l_aOVH`4y??~QbR=l70#!2FShsI!ftFn*0q}%?a}#w-1e#vI)WK(`u>}-_G>;%VSnj9 z5BsB?JJqPR^45n7=C<$OG`FL7J2iJ}vv#~3d5wL!PB%8Xxo34_qd_{hpR*gA3Z(vi z+V&g{$hXeVUz?=;`q8YtOV_V0)?xpA{X6MC5BsA-JFx*kAl*ON_Tx*lyKm~_Wgy}Q z=uOAYwuyf4pf1tTEg<3=lVB5#@pThR?al#W%*FO-m8_WK2ZIMoF->j4uC2lj0AOTO zEl(A+9-k}ZCa=yq*Ob+x96iZT|CBd>@v~zfDkLI|4{crYAO)8IbI14006oQ50eTq5 zL9;b3$Op;<2hmo1^GtA$rdF{bhrwoLE{)Rv^4!0pyy zFXMAl1p#m_JfJI#NXv)j180K6(5MKa8iqzC5EZCbVZF%R181Z;c;-VpF^?p$=~YQR zl@p^c67P-=1)UGKQb(*LlfdvptL3(_9X+P@uoROXw_`_SKmVU8KAj#u+P-Z0vfZ0w z_4HKxL09%d*O;QG)v4xdEifK!=hfCe_wF?pe8z>)CYh0HRJ!67-TfqXFY93lCQLii$Vh9`w|Z z2Mb3(wKF!Sg(5kCZ_4+o!8V0ft;~IlN>v4F6k;(*6yawT>j%5`%l2zsS?BS!d;2X~ z?fPSpKv+nrzngNPNGeEcZ_rCK#`1-evRb1m>zNP@DZm(;CHN0KM zry#m(tA9}u?1xITQBQ+-STn7d%8NW<)~ZoYgTkM5c>Oipq^Hp&tmlXtZhMYVPwzPk zkP7gOe!TY3Nl&AXS~Ml{K;WIp=a?$4L8Bwv*-FywwOhhtbAcTU~-4Ao=2mSPDI)>}BOGEVy zHdH@ggkVE?$bBc-3v*1E%c$Ri!6k1_(7Q{0_W9>t5(sTWc=wdGCYBpM(=;yV=$k=D z|FfU?`ycj2q4!3@)!KWb!7B#pfi{d@LtnBi=4}xCWBiT?Zaax6+Am8)Vdx*rDD27K zV$2;aou`6gR_(l6GYrI`QEyQj?}9O_R%*z|s`WHG#;h6$&@(owBDn$Ob#vJg23ASLvQjz24^?1iVa?c@ z2o5_|x#Z}b=FOZ{Lu3g_(iRwJcvv&uo;_F?aCAz7S*AVDcz-Y_kkw(ZlCF{6YbE0i zLY%JQ>JglW?VS|n4ZC*yjAg>Y3gevGHRCM;F(LW+P;w_Jy(bV@2p`23f2nygF7$PXrzc0ih4~^>xmhgB{vz4k3tP< zP+p@Np~7oZpM0NdQHacds5riga*f}9{<&v9XS4+;43{5_VUK7&*N6t1yv@3$APKEH znjj-jz3371E^`acU?3S|Sd2!vI*^Pp>|o57V%VXOFUGLL5ns$TVzk{8M|=_#QKJle z;MY~@ICQ|0cB-8E;yjPI{8LqB<-;AscRgvlg->Q)%|`Okp=Lr~(DfLc514`ZdTd%m z(5aa$Ev?Ix)!!adR{xFP{N11b_SaTWtx}#^?M%G|P@KQ>KVG55y|}yk;a1$OxVsz< zhih?%;&51Tio3hJ9a5}l4`^|Be%JTs`=9yGJd;Us&+T23-Pdk5$)+0PJ0%)cHnD19 zMur62>U|bBoqez}OFV-x5|GI_bu|ZZN#isiBKk`MWym{hxZ9ZK{x@<6zM1GszP7 z#E7NipW<0H=5>2HOy%+(HCM3cd8cTSiikS+?xRfez{podUrFn?fN#-9Nb2q?#?2vN zHpu2%Ala=-G{&F=g`dVcSnKDs;}mmB&G%TD6NQO1q}`;Gk8G$Nyd7Tj;YE^6l`RK&`ur z{y_Wf!*{i$(P|VXe(~a+H#9awiBG6x9NHlQ?Y&K$mttd#v7c+$Tgg#t&0gqr!|KL9 z@)>JbFML zwrIuL$Kf=%)BS3w=G=gZbwMv%<;bMPzJlpM0A^%0?wcvn;_Vao1pho!u(1=p@Q2px z&0;#WSML_hF^$?cy$!fTMCMDY={51;oe127zP8}yR{SywuU0*6Pcd6}{X~|zq|kS~ z_(3m+`cuWbc$p6FK7wy>Ds}x{&s$iwYcTnrRl_k|@(;O; zlObD7gZ?i6=oZHi|07^U$@=z(!mY*c$BZw6wHgU z6Rp^zMVp`cYm&*fP6U2D03y|I#Oi-o+P>S&Q;x!A{;|5MXbQf##Os?+{u&A2OLvX! zFEv*rg|Shk_vyn{Q|yVW*j$l^O)RIjj89WvHiC~ED?PXoA?@n@zHBAUGe)UIBrSK} zBGSOG!~E*6as$z7s@y+vs0HvB)5B_HtJ0}yK5@xyISkRdT)@q6%E>ns%l32j;%*-h zH}?^Wb&{SZO#I$HvHvkb(I!nmE~mWICWhFYQ)NkPmshYD`1h{3yh5}U>qtSpUufpe z1B^9JB;D1^6pBCQ!PWRf1leVFUsJ2pw?Ia#S;q6G3${Ky%Jn=GFIX< zZ;d(@9gTL(Mhht3v-M!#O09f1>*H4P^WYcA;HA39f(mL1_;EwUHKF zxB?{kgjq@ybshfe1V^|C(1ut$Hh{3}6T(j0o0N*{r-D2!CMFv`ECVeAjt3zA;W$oM z<9mjAzr{I~_g1kkBFV3R{D*KF@|wk_Kc{Pal}=I&0E<7L>rsAoVw0gVx;)_dPX8M` z`_i8T7HaqM+!AV-`5LABn^7t0{KjU-XlZ@iUoq+Yg?!Z-4bQIsjhoPnTZld5++irA z?j;CmeCkPVcB)KNZk}kWWp#i9v&#L(p*o60@WCMF3vCwlT6Jn3{s^sbbHIyY5iQZ%1wRpymghSMWG8d#H^~FP z9zIg0$dCf?qdc$yc*}JJmiL&}b?T3Ly|7b0HyIu=dVv9A2b(D3uXNqV@SA|QM{fZQ z`1I?Q&E#HH88)>Tgysc(TMWaJA${txQ6HHSHB7jBJK8b$ZN(RF)`fo#92-$e{&7-` zHzg45U^#vSIFjMjju1;DyV!=*`K5*k8$HH}-lFz+o6|ddBi?i_0rK90%~92{IF3xgA;1`j{W)j>~`PxWQ*XCpKji{ ziWdMl<@EaRa%cq*8d=UUF|YO_!E)*g>UY~FZ=UrNmR8UCrYN(N{my}-_ zn=dOaW`b0qKC>^5I}ASV(Xn>h1-@0|KVJ-6b2j^R?3C@P&%S^6r|B?7tb`9OBd$&? zU}WpXa%2vjLN*1FnO@R$osGQw3cPB^wCAVAtKI+fZHDA1!=jBjeO+ASgICDl%3IAW zY4$Xe+4Tu56u87LyTojU_1d?J*~F_z!_MzTg3Zu5COcwpFF~=}((ZUSYpG|T!9OY} zytNqNWOBHwu%pxDU2kF(;y{aj>1!=Ng&R6gAVsb&Qv8zfp(Pc4y0{4 zb!-H5dUhQ|uI1)<`L;#=c`K;MJ`i;NP7zBv0WJDnglxVCn%(f4di16acGYwaH{@A2 zWLhsCt<}yq5Ni*F4z@}D^iuA2e2zvjxWJvLlAiwswo{mL7PSF~sE5vV794=8opOTN zs#BERBT62)v`#gKy;{?_`Mtuh2H`lciaO+7vd}4SD9PEuBS@b#g;Xbb@ED47-u?bt z_7d@R`8rYiYT=jt5$xXf4H=M5C(A_NFEe?anZ9=$-}ag)h`N55de%LNa695%T;BDx z?B?nOO2C5|RUsKzj$Do6f>>Y5o%Nwb8gus(y@PdSBi>bg`oI^|$2>VYV%T0|hGM3* z6S^Qn{P@IE6@lH9dD46`+_Gx6Qbc=DN3OpWQDc7>{Lf$&?Z4quv^SP^0mY>`NVkk^ zV>^YyT_UTn;|WEfdA>83con|?3%1!+rZ~YS+#i84iYtjG@J1v*xt)Lh7hF3DGu!PL{`)wE=4bN)v^gF^r}#yMiQ zh}`g_ghr7U*>@J4F)ym7_cPTtE!KsYu8uOX&a%7*QBR+4P1j{GAE()lMBVWVWacr? z-77y=37QH8jh1^tBJ}@U(rUCPplfJ1y>nk-963w%;u-kxrzPwdBH+s}Mo$J2$1`x%k z+fx^>LiqWh1ME6i4aAxuu-IaiO%$3k4#KbjDZa3|+ zs@LYrK+p9By4RH20MdIay?QuU%zi1ZHLo`a?4=N_*0(8#1!teHjE0p`xd~~Jy@ZQo z&6-^{4x>x@8ziV?q+a2J1&b>ZGD|ZYghKf7_YuS}R2vz3QYHkPR-&el)~iv9FF=Yv zDyQV+0JB<~ZGSSx#OI?7q7BXwT3BC&7}g>;(Niu`B+b~Zb9&#Caq05$J|tPFhq~G? z?@`(HV9-+}_7=$fJYxULMCz}>{l&kmzg>?-tEwp2A5>A|8!06y9c^+?H(kz1mhCmZ zm>t-j=F?cIaUWwmQ?Krohn@A&?-VoEd-t=r;pDq8JEqnOy0p1B2yUu=@Dy^g-~SdW zm-4X(ffk6OzivIA?W%8-94#PheVGi)i*Fp!ctK@HC_Vbrd}gbKR&vRkK(1qBII4LVICW!1~#@iMm?)P<>vic?f9EM zi5504s$|U-(ow)--Uw0>1kC_YUNRFZJn{=y)hH8>e(~=KIdf; zjW9^Q|6?}eq^mDj%oK;)RQ-}20nJr}(%OTavfKtRIc~`00b#U}7Lj_UfDhj#(s>}-MGOG3@12c=u6hk=*&l#NN*F&xhH7&93^rjwt89YF zg{aJhJF@47VsR43rCAJtjG32QeFGK%v<&0>Mo;`?PQKsgXvnWXYMOjLPG<2l)H2ihpMf$D2Ahxh?v!M}}hU5*r)_yggRR?~{Qp}^W zr~*A@KZq{ov9XOsRym@+eZIFA-R6CXIK6C>Gv`kry#kGUqXdD?r_C`Cvs_8NG-}}b zOR`5W(0HIiA5CftqeE-5dgc=zQ)F?X*y?*~FBk$ee-jaCs{5zF5fWYPs=zLjgfDQL zB`x7TI_-i-R#yt1M2e>RBfl!Nj03Rmrdg_hUdgG(zdOmXe3w@FoYeGs%ptjx@RUmS z5RE5HRScO$vD-6;Gz)lc*RFYnPs{~pniSi^gBxQ9Sd3HWeQ^eRsTfk;i^HXnKNPac zB3lLTUu*FbqnmVS_9h_D2WOHK@*y#-rKN^+QnF+q7APu@(w&Jo|KJ|^&GdyPbIa7f z_O09A^BB_?{Y-NHr0wv+a)hMY8)B(M%`uWkUwUuqcm_6x;#)?<$V9%QE%+pZ&ezX{ z9!ta?-0nsic#vY_X=00f!PHLtW21X`h3w7hf$HVNk=e|eU&$fHz0#NE=PmDf7||~x z)w>oZO0Bi0lYQQkb90|$dc>@@TK`DjRP1{4^t%;(4xK@@4-L-cn$TAeYQkN3|0{KC zwe|-r7cypfWW(gc5^3*i7Tie=F-HCqv^syWgcI?-`MK#kX^}%9i;c+aQWZp^*M3*4 zl=RBkRq9}SR|C5riVOrc1lr=lHskERMJIc`+?o>|I=x@%Iee6i!f*o>@R(6Rg=8k#gTqnXT#-G`D<`YYfIv`PzQ;@jlu^3FuVEonRA$ ztAb2L=qiVf9|k+bpFMk1RYkwGtwo6yNdMtjlZUNWb-KjXOVxVXvIHK7df?L6Ww_Hy zj0?jrcbWM5`yEroaw2KLL`3?rgd6`oMX-fmWGewFC36lbrDOVZ5(E0^CxXE2aS2$E zds_h&%qVUwah11=9On$D75f~5kwCYf({jW~c+~NGlx99?)EI^(uwx`8X&6KNLR4EY zpC`o;daS$rdOS)V@XdjVfn-DsTj_J}_O1FCsn=%#)E*mr_M#S!BN0q%~)wYESL5ZS!wTr{~V(H=$g6|ubc8es_cN#zTg;utTr)w4d zE>v*gt8qiR5-S<924NT?>EIU+kZ(K2*e69FDyLrbh{5AjPSW_lB3K64~I1$cH zj)(n2uyz0|z+qek?Gq<;!x%BQ)SoXY!^rR>X1?hcl5z>N9!B!xj)J>7WEg0ZrpA! z+v*$p`n#2G(-?0Uk!>9I?U}fi&Il^bT0BNw2owVpT}wT;uGBrjm#6h1x$Cene;fs& zu&}6tBx7&Jq;`NIxaP85?Cj}^Gb(TsX_(e8pR zr94m`y&c;nA_Cp;#&nXE?&d^n-M3&Y~FUFRv?)7p)Z~r?2XqDv5RH)Tm%z zpYqcCq5^s>R6@5%ltjhEd^EX|6v>cr%yGr=Q7;rVC<+-@gk)rBBuY=$l7CIpZP$#_ zjDNRC-tuWer_tuA^|hn>X}eub*V3xpX;-tlTnahMYsc@fNftH>Qc*=lf=^~ev$pC% zorn^SfC8cC@(A0OfD!0nmH^#Ii^gPX&s0t_q$6mE<*q!^SAq30+rR~S;b*6=GTJ?x z=542QvXOrsCG%KzD7)w3EE`gws2NQ@r2HC z>9S0Fbn@wSjGvz`b6x(c3*d|+OzRzzGeCcO%(BY&1$}?-<<)1-VM2a8u;t6wO@>)s zr)3uc3vV|+s{QI&92T#=8i~B9 z8qv>2MhHAg_aoIiZkx=K8a(9>XXdIO}x2x1?yHrX)3( zef1+xNm|}xROc5}<+a}7Y_7?BN7hFjWuOUUUT8UcBC{t*Nuj|ddq}s$D<>+iQ6FWT z*a=UO0kWxp?S4OT)D--Ee$wiyRt*~5`9d|0viBOQ8GRkT`02vo=g{U_3D1CZOY`=| zg|57a?#uFvl1OyxpySZ zY(FgnFdTr+GH*JrN?JG?H*r?|kk8CFUsamK)HZ*x>RTP}T*!S~Yg>-@vx+)wO_3v7 z%V;R#?-@O+`|AcwT4^YnSr`#NX4^4*cduA(W&I$WS&deta*O9uO0o@j)X<>&4rpZ| zi)+fZ%!7mO1s`dlCd>80)BPl$s*6~cGkM{hp=K{rd`I~T#LDPNm-}q-L(ZgIT|f}? z-Z%qp#K9H!ip8BhD394?e7zVAH-kyr@l@cCVXpf!|Lwt>*A?9IAB!#ebi9IpOMu|Z zuy6`ry1bX8C*Ow4Q{3^Xxes98X8r{$y$JQk)5=2hl2mUBI?UGPRx0I_KDbNJ%ejeI zUE;Fv*j&A4vf1ThM8k1!riqf{*~3qpL<_I6aQW#m7T26RnT2i^5ZU5sK>vCUgS zZg-Ob$n3ii_yX|dV23apK7hWvx36f~DIl{avu1Mpo`j$fpPU7M?PgUoQ|`AM-@ZdJ zKuJ+)tWn5-XX8>3m>7*h7fED2wnigK!)Nf)G$-I+51RY5#kEf|_0XVHa%4u}eTf|l zeFKEzu&%O9RVFi2MF`&iF#|26q{-1ZtYxq$$S<={IRymIJ>i4e67dho1JKN^F4aTr z^|QV87P71NQ?-{WP_t`8AjHMI2`Tde?NW%IbdA{`D(8w6gXZDlo19p8tX_=LE$7>v zG&oM)j=%LpO3K8rTlLsOPH_ZmXh^akCp_Elu#&jP?EwBjb6>YFg{JGtK~udYi^cK1 zPKRQS=sqFdZz<2+ZHvZ9}E1kf2~+cBpp3;&WV!X_4m#2Z^$$OOmaq&T{3Glvt~SxeTk zT`Oi*Q{fbpBXgb7ScX&nJ$1~ObJHX>d8VlBFsGht##~bSPqT)zPq~X0-BiX9imXx{ z#)0QRM`>mP<&#(WU3p&<0npI&n3wzp&1jc|H7l8gCTSbsZ{-3=z6pv`S}8;K!!<{< zd}ESJrQ}&#kLB7??6yv z9h0N`ds1lwo9R+eRi6>n620^_pqOGenI}2Lam;I6L^8}mh@^(-X}~V40+7+ArAMCC zIFQ{rVJL4sw1DNapM<~G%s#VjQ7UbqBlE_Ulk~Dv3C-MNSY5KhYut-7A$dhMY=4@% zsL9cevKp}r`h_wVqs2X_(#y76P#w=^ZTzX+o?ye-)^SyRi<_do&0A-Ly*+4o%4;%8 zj?opv99cwYo72it$f^T>C9REL&Ua2X+p)OsXaK@tcL`FIIGHqReCE$IU%K0_(rcVt zkKhzUUVpc^s0uZTqu&t9oMteHIy3|un%_G~`nQDlp7StGf|#d6O+j2_gRlOcI`0J$ z9-~lVIcre9I(wEon0@{7kq50Yl;Z6#;>qc*=)v^soL-|ptZFA98|a0amdS=9V)w%D z7x6ra#~z~--ivnqaoSH=6ED-~P`tqP!BE=vxV>z0!N5Ico%bDcT5U#f{T4qJ7k*;> zoFL_HhPzS1hpbqW3jV1~o)NjfQXAldP#4_(uv`k!w^A3W|QFLyW%6*=ju^uTLq{G0+ZBR1witC;xGpa9leZIDTN zLeXt5DxL7(Wx@o&nsKr}<9s&9d+V$(5tDqif32GV>GcO(ag<5{Vo}kTnI{JsyJ!ah zdobl5sR1bAHj@;=AraEZ5wYPa3LzmYU3QK-96Shkv`YSmqTJ~@{hhyz`MF{I zJrBEJc389cWYN2O`S+O`pIO~jYVa4^?MY^;yhe!zk@ukJv`#74uZ=HG><>Jw+4o%uMVTJ2QUSasO&^cTsR1V5Ne6p<=DMc)w^26))Ac zXc(D;y_toR#O|PCYC{9f`h?C3*Nl-mbtn_kX_|hykvZSs434uaB)`mLiS|wx{>#-S zPh$qg?#`UCS5|?v^;kEMa_tuE9h}g1S`F*3EMaGg;<>R-2rw&Hc0UI0kPflz1a5$I ztx~s1*8zWnS!Qaj78UMmTN~oX8^&GNskD=Gv{SQx*hfRAH@_g)REnncEhhRh?}jaW z$#t9PYJA=3YGjFMl_n8o_RSosXn37Ms#L2`VG=PT(;WvqrA9jH$0>MvVh3;qA;8R9 zg->%~bwzRa=wh>Q{L!pwiGD$x&Fr4$d@o>Mwzk;4kD+R(ewnxp29@rjiInY}wlVIY zV*zdH=!5YxlBKoz`&xSfh5{yYkid7PDvIdIW>+*SDK}F<{^F6vmn#-qnyK77RZNF* zkR;x;)@nmnF%UKVI?*z|n;83ehX&%PQBXaE9Nn53$V!)gPxNN2t;#QPS=w45@y*HW zN>7~zw6H<&^RvY4#zp@Z)3`6Mf^5uvp}Y+?^f+=87-il{Uz!tUT4)b_&lmnmuMuK7 ztO7)(;|DBWEWV_eHM|v5h)YUZs&dUUp+YZ-r4{8tehhx{;>@LQ@pywh z7J^vFPp-gCt&YI^N)0NV<4l6bmTo{QprQz$`xIWrV@?(r0q5FYm&YdujXZ0Hm6WaL5t@)l(X?mlEJxNK|uTP*U{ zxhvE4+x7dCJ)Y7x3~`*uM+MC#a@Ng6-}ls>hF-72rh}iN-D&uE*t9dbu)7sijN6SO zZ2pQcUpt*!1ezT}&Xtb~i=sMuZ0lDmk4;&f`RR5+t~}~m)9vJ0{6yb|@|uXU^sPCD z)0?vN|4;`g=TO|nDS_&HzG;CqKJr4f#4{z6(*oFBGj&H6TGKlkvL*wYEzzU!$nT zNH<50Y%YhHBg<`6oa$|un;kZh(ImCk_-=P$*pk|qCGTemzXOjN?))m?*z(rgvgk?y zUrAT+k~8!0^=kuvT4J~nzC*>Q%X)vuOT>C4Q@~$ofkers-887A+fBmQYCjims*RE@ zpFUyC_-|@D<~-oIeP(=o)nqg9$1#t5PbkC>NPExK(#Wp{;vTdHg*Iq{AUVNFq778K z<#LKkxJFTj#CiO68?+6CGY^xM=NzXA8GADtJNg-orCkRcz zU!0d)_56rh?4)(4#w@~3uz)T+{P9U$$O)R9tYoZf+t(DJc|_S zBf7quBt;P*P(xodp);duGAjn$$%2&4u^yiE4t$KMtx)N2lM%8X-UNy-k51whzWXX_ z-@R-Rj#-+19x>TduSQ2EmBgPY%fs|LPzlTOf)}))+{Hyg$2fajR6-k24r zuhWAjGIabz?AqFl81dD4%F6TTf_J*ea&)P_?q)LXMHX5vg&^% zT%{PD+96<@?0q(<5usREJ{iF5%8{~kPS=ne)}PWw8n@rVY<}~nj1P3&Hkf@o_NkwR zO3LV7QooN=vtZpPxgnbS*sv(r673wyI=SAT!ryGJm-_|D($;Y0cjlxp%e`%KvKo?9 zZ2hyNWlf@ zPTG$IP(K`&7os&8rivIXM}fK@y|Cy7yLCFsgyfI!c~D2~yVuHWFWLi*iZZEL{=Q{v zDCde3%VwX{&CcSTwIru=gzk|*W!=QsYAh~3F|p@lPU|f?LSyUY1oc`S@(!A{DAH^0 zCR<=e7I$T}!W3CkojQ~H>~@w8C)Wzr(gexg;@T9y&_$JR(F}`298bFKW+^j@iJ;o)C%C zjQq@q?tv$~U+&|-ay+JH&ntER3TRKy#-2jAj=$de^ca`kd{0+CU5*+>x_ULpUq(45 z7l+4lKO`6DViCti4@K+Y0fgRA4xr~}hR;sE&rgo{xHLuw*u4)8xL3eg&12{7nN@qX zWRbL~nX6%uvMHeeTz)?A3LIb)$L>K;0)$$T&Rx-{ENkW`WL$LEf}JtJ&Ni#Y{%TH( zSEdra`bwu#e})6?hCL4l|L{tzSoE;wFj}1*5QSu62|bVSg`RcucO)Whqwwxh!fkh0 zzc{Qm1QaT3ayDwa`6Mg*^4;a4p=I{JG%UOS5)6qLcqx0n68Vm}x!hM_b>P;!;GR?Q zcGJ`Cb$XGcGdv{bK9!hVH6`ijdE%>b2JIxGu-9x*#HR7M&;VKb(zir3X(LT_X)rJr za2e^1B`OW}W}jD#@y1G^zlX{_MkftmQZ*y8mkRagTMVBU1|vNSj3*!&b+noPN<>Rz zvH3yeKVQXSSwxVYNJ}h!cV5?xu&r!Ad>QL@cI^@9J}67qWAtZCmMcEdikOPz$h{}t zZM~?Ufpb3Ayog{#cBCb~k?Ohc7q-R!D9Fpb8bCBtc8qWBmg^H5xrFDzUAB?)I~2m@ zQS#mj&h#vm3rZ{uzxbL88RJT%GfQREYDzeT z`;$8WiHCiSis(CiSF*z|vyvq;trMNJI|!M>S0<$N{J*NFDsnJglTLFNzYVm~XF5NX znK({R4Phm1UA}nu%)p?hHI#iVjwbBcvCB=L&l!&>d4LJWL88bWhKEI1Z zj|Xq!EWsTL*v0_6AdpO*@X3ZMOZM)ySR3pb31dtE3{dqBNpdk{q=j;R3@?n()>u8sSVj~Z?h#;91zOD z&7vi(0~X{1p+O~?{|a+%f177wW?zVAUKzz@TC(qRk)9Pjxf4w8)ND!BmT%&{TU~b2 z^uco32>ZiQSaiYOAJezw`9dRa^;+^!JR4t5uPNbn%?d-QltZmI^bL0;0rf+qSOx?# z*(SuC#fvQuQuAeATfhNoWp>uS)U)zoy@Q^utm_<1x=wUP#d$t4z8$z-{ITyR69RLP zv6y#p*jKuiaoBRDB!42kX*+V-^D^il_&{i%ELU8j6=^KsUm+-|OT_q;K|VewG}DDX z)8$@GAz?W&p6qlx7U2JV@&`@Oa8!m3?2DYG2obZfF;wIR#Bb*?z~1C;cY_KOgF?wS zH9sceM(n+eC%J@gpm~G7Qi6&a`Gr^Cp2X?Q(>_I&bdBUSD_|E$LH==611bwRVE!z! zB-#db2@0WcNHD;deF>SJLR_=V^=oqh2jTGEz&J1e|ssZ8Uvt|0-{m_N$=!&zEz zlF&&FR>T;zuaH#6Yq)CR?FI4ZL8V_095%*GDHDA(6s{`ktMp-%P z@$>!Oa&|6~FB|ENxWyc3GJ6}=&Y4s-+#81bksY~I2GY~IGg34lyGW{wwU!Eo_(&J} zI2W~58W3RO7V=kq5c571Cgc*pu@G}u&8^_|CpDOS{}XY%Zm*2cl)dmZbfyj}*A(1m zj>=|w7JR~mf4@`A!3s6ne)K5-c>1Sd{42(6LBPk9@(P}R^mJ3wuwYeanLDZ0_Ec%O zq=tuIU{uY3u%9~AQfkIjP>2w2{0svQ9l~u@z0Qg7lY&OC(`R(Xj(OR)&Y^P`*7n)I zPCs4$qL@2ln56pEu}DQ&n%rk?OE}W#<+Kx&G~ij%RSanx$tR>Fh)7cJhry|mkCSy# z@?{N>oQedMM9)|n>=FyuLJ$x^P&HNX~gcYC*2*lc8e_(0^qP^5n{xb&cEHwE)N^N;{>HdPMe<# zWSn)nCxdGyZ=Z@*w_RuOG@gaxsqQd zEw}5$bb^8+dBw|BcsJl6~J{*ZI)Q`J$$@kLw2Byn%>oJ zL*V#TB*H({p!lL)T0wwa!|lFG7TEdr z-@l$BavfU2a_#z!V~h#o+B9jt6sWP5da~f_f{H+josUlip`A3bUqghl%CMymjE%j~ zN#=D@ps?blqRQ)SZjap61^jWVS_$3%G4zE_Dz1OirUb0rF~yi@#WdQ_#qu%fi_Ic1 ztr&MMl!klLQ|L$F;s+JE9-0rn_vhY=c(m6v_5*t^G4K$!{Vr^Sx4EYmSb#+zK~1+K zNBDTXuFcHSX7y+bBl%yqVEUK91&hv0zIz);o08VZwfUi#L-d`P!B|Q&21150b5+B3 z#QcutgpaH%-lV|!Y`s3AE^3ls%?Pgt@a3^k4^=5rdeoW}@xIBZnubV+a)m-}|38g`Fx6P;8fC22 zCi$Np6N?~oJDtc;j_rUTQf=ogXzlx5jH8rF!>f}=5CHfs1G#7NM9Zk+zqw>WLM0Gh z|6b~iXM4mD!l1dx44ycjcb{*=G?MhEULpK0yUH+y`o+(}o)~Z1mIM+85ZHx7rQbAn zJ4*Y7mf(k{O~en|+)$V$g;tzW-W)xIgC*Q)9$`nAhhl8LfAwHUIGU}Td${^JCC!oB zJ*=8WSi-+Uv2Y%6DK*5gLk;%% zKjhPEsY1gkE;fp|;P2lmZekfKEh=4N!_Dgl_#s{PmWHws2AngL62TJ5fl_w#l%oxG z>p-=7eFQ6Fc`IVkas4?Bg@beT)Pzxe@ms4N9qmvw#|&6%rPs-O9(hYkC|=4-7yuhJ z7+_+OEu2VH1zOyb_ZyN?Lp!!Yr+l3PAB+iI=aDvTgoA(xQ$iR5<(Fir{4QOLgsvGd zrAGxdSi1Ob^DqTM$GSWh?cVy<305ZS=|wwx{N_LT3g)lvrl|k3 za4_@jNS=cDs-5kpdMxtD^;SRIfnSQ@|7IramCNbrT*v?2I;!Q1C%-$U=>QmMugk&? zB)4|3#ucue+EWkYXauNrS@S&_T<6A`w-ThUKmK@sgC~PQ8kj8*9O`@yCQq}05u>str+Et<2EQN`9JR8Y*3Pf$ zQ!tnr@GU_nBBsKtJ~9;C9JE@``B~67AhK$h0`+Xw@btp!&j$=!k}EI*f7AOxgDG}| zh5yy$Hy$LYXaIjzda{0kp@s{LbE=*Mg($xhFuZPf6P7}J%Xu)0X`B)k`AA6ozYia_ z?#Av9t|!w#+?`k*U8hUGAj*T|AJldldAH8}C4q7ckekGB1OM>~QZ8>Eq5`r9VDp2* z_yS2`KM%+HF|~JvtBNqgZT{SbC}Yn z?fG%9NY7Gk*^Mk8gO>?wVQ|~O7WO2;U~7IcoJ{_*lpuhfMG;jr1?n!KEx~xInMN0f z7C`t#CIxq+Il|EQpNWB%hr8L7A9M%cJ>fbT_SXTwu0FR9q^-a9l9dDcVPMVrzRj$u zTDUXpnXXKQiWsIPn2!rR-H$Q-=N_%pz%u{3I|cX2D_4^+=ZZdIeg}C|wb=Vvx_o}; z_7s*2R9^a^?-!7GVoq)C200k}C#Uod*B=%KOT+ALuZBwRL;pkg^PbcLIoN2K|HF>p z`Tmv;TXNZW!2=eUk;5qr(?s)z$Mb7~%=E@_3xeN6a7~k}dH<|Z8K`N;u)Az-aASnp z1$Z026MM>QaA#X4bZcb&x%086;{Vj&Jo=QiI1p&xtx&Rm4CTsi z+yh)1suE6oJshhy^%)*SFRrsMXnYm=&LC4;Z&l-}t9Nzv&ak$4H?N9Gge8-=qFQlg zuLuX6R%+)X1e@yZtm?HPS^M2YF*>|R5DFKbf{$p^a8LO(-@n~00KlMci}f;775w`3 zo>gsi1Ijft&-zc<)S2uQREr|@iC(G~{?@>u%o?M~pPmqURHHz%VS}FgQ z&nA_s3E$|5qC#soUNMvwD7SRxGaIG|O-ET~3BCK`dywnmG8j5g>SbMdNDH&>UYa}Z zJ`Hos$4bz?D8>Q;OJGJ(37=V#n6%ISC19_#<#k0{T7ZI>YKkufD`Ddve=K<(tp|-| zS=P6y=LZWFNzO$!n;lz;WvYS@=e(qO>V%NaZ%!5}C0ObCcB$B#&tFT5UnL0(jfRVr zs%yqj@8q)jH9`FZG<8`3S`aF1;4Sm!WP!>F)sI*C2ZODDTKXEo2vivC1kLt+!{e?Q z6A%Fa0!^DVNKGp_K(NC&DyPX=ZpXW#4`9Pb475uNgD@{u?tNVDV2Y0sP<*`0O5f-y z*%sY0JF*1lj8d7_(MD&xj+@NIYx*f{gb43&nD%Q9(3ddslFb1v3L!>#bH*p4Sanse z1<&2l{6_XZbcz8`#bxD`5&$0-Nx+HW15{J8yEvX*`M(~&G5Rcgbs2-vnJ-wlv`~iM zb^;sxGvU#S@891v%6E+U;Xwia#$O6}Wq9_>2-0zV;3fW#`!fQo3zQZ+4Yo`?7j$oJUCkOS{&jkF#9Il7WA0secKr(-W z_ACF=z$^_|-S&xa%;5S!xD4*tC`WvvISlT*ti^GpS#8{2jCQE-M@Bi&Kv`=5j+G@>Xx6al7_IZp3DxyRCu1xfHM9vk~=?Ebq-rx5Qz72V| z+~Y?id5gk{GH#fPJ{HzH8uQ1qIC_4qCd>>sHz%Z;YK*;VzJ_q@$i7!p| zf*ovaE|N)KgP>4F^Sun26s;u(ktcfI`}1m}<2`8n?4cbUF*(r6*^Kvk_@6fe)9ERD zJAEoe9ks{41cT*Qh8KBb;OW4Ig>uJ85dMfP7g~O@ukC>Yt<&1@y~5M^c^xZBNaB0< z!%@D;I2Q!WM!(h{2-{j7_z@Vf1MBlu;Z9WXG`jWs%{w}<#=H+s=Y}ws`ng*0G4!m#l%oI(D>?{?=HF1U1`SmrZpfc9jvL(oNo30Pinr&#uAk zDh?RzYjVL7be*bYzy{wq%;+y^dpQ~*Dxd#&3i|qQO8jp+qPJJn*3v>sE)PR?WEhSo zd7A^K;x;=$X|OjCO+#a7P=!q+EBlj3g~L57MQ!iX%Npz(7m;bc*ADZqm{wTUbq} zr?^Dse}1CG!z=HX%a3ojIZJ^xWa6bSSR0!v)w;je**sx)ikX9U%eKRPl1zsL4S#S0 zPV1w6zA>vp#D$S#zLKVD)p9hWIL1(?bt8E`~S1R9PA%edwo9=bU)bXTV~{ zzZJJeqzD}l68>jqBYsTBx>cV;Hp`725K`~Aq&|IAgiPUxJqU>&YMd1J33wnIlw7v(X@;bd%NC6n$o8L1_wTCjL>-!re(RYI83-0@1 z)2nl_uBAV$-2Z6a#orZ-gD1G+EW&{Faj@r=g*mPVIqg4Vnm+%*abvLVp6r)y@1oO# zm`;my@*kV^+ZGY2mYdA~00yCCs1CD-kEdXpDg$0#fh^FAtq#EJ!w!SN?jMJrsfGlN z;@ciUKFi7CX6-iChN7k0FW1ze-c5oP2?&n?uO+btccZ6)e@CD9w`VN(f_vCD%0zZT z6Ru)a9t=0yvHIWjbGZIbA!Tna`K8}Q9@9B~0^J(J^e4zV;75Ze;EA4qZV(-W{)_#o zAjLURVA^e%MJAOg^h1q4Jy}5zN|3E)XlFLI&0?=~6zb4Ki|c+`EdI&og4)1Hq07ZpEm#6)h9g($Z%EO z&D`doOb`GAEBTL@dTK|^eKdpxCbqD|;{kl3vLX*9Oppgk7wX@~KVDq|}26 z5B(^r3xt2@lDYH4`j6>A?R9C3+`6T~4(m2P@N_Tas@8OmtX+~A^B?MQ%!_d)e>W)_ z_+|X*TO}VzK;+&r2XcPXGNFdk<|~MZ?D_S(4%lq<%BTWC*zU9H`ATc zw=uh=2_PSCe(}=f9ur?xq06viF|q$mzySafZ1n&6e-Vz+Uu&Z##cq4qc4r&)@1pIv zHI(R*OZmVa#6h>q>mEA@1qw#?bQNhPg?-xy{ZTM2*;kxrW5*g8jTn94KiG;O#z!o6 zF<&&igRledYa7BaOp{Jc%=tlO?W6ijbqfi3{8tygcf;EV^R{@lhCj*X4B4o;&}4e~ zq&Xh~zFJYA7qI$Iq$U=ncYUuKEXc3<>+fG;Sri3NMH0`|IQb(PrDu`>#tf71X=LD0 zPx7gREz=wwI2}_BIC>K<@|fnq>UR!N>LAxiNRN$yl3d|@bDmwc+5dd=gyN4IsG^}( z|CQpOuf3hPI9SEitw|7aas^eVxcvLpNek!=7)@US)@;pk&+9*~ZjI3qL&x|+5o!c^ zPxHNo4Jk}=)e1)fQb6XvvVB%9zPe=4?Q&XrZ20K^=%wS$3CR0rW?4|fFmSh2d6r4@ zWkluhxA5@L|6}XBqpAM?_&?RBkPwRO%p|U?Y|7q}WN+dsdta*}BYW?is}jPsSD9HE zH|yfcc8P0W+wXPxe9!Oq$L}0D$E)i)_x*m2=ku}N5=7M74PQgJ*bmmLE^}?LgIy!t!#sSg<&^L(r`e}pE+FD44GiTpa6`^N#E`fF&bP7@T^Sq<`&}5P`K{a#L zwG$Ku$$vCN5|Y*>^s0FpWH~hB|NJ^{Ll(0Ga=CcasHk%UZD1+k^^Y_tc%bxyDU7{= zfG+8U&c=$WgX3iTahFzhqfq4buX3o-VY2#MLluc&h`M|uD<{CXKvJENHEF?V4<^(=_n5h46l178|}>t7RkNt zMPqd`LevnTr8LkMz9Hz+o`EPK)wIduh3}b+oyUr$Zt@cll&Oq~(s?(ucJRDZ+p04D zt`VG|^3ttrm-c%;DnE%AtEaUSsK_BItbhVA9S?sr!=q@#feds`Wdt?QO#Brg+-{Un z!&7`ih3AU0%H%X92?+fBooZ!Fes2g&4XZYz^p95Xhp5EG2}*W;miDr$G(jWavFoIY zGBtW|q-4c!Nuf-}^2^MM`-jL%HrT)0B#|;SpzR{$b&FDQ5%4Q!)FoW!7rbsw1c2S3 z@ZA$5tmFMI1*XYU4V$kvCpa?XO5Rzo^EBAW>5j^r-(TIUk-^2&gS^BJJAug>B}m-%iCB(`JRrf+&Nq7<}mO(6AQMg;4)D%=My!W zuyJG~yq3HDe=Opk2?e#WFxY#lRK=|7kQtdz3^Qk|OZD^vUx#YJpr!e4=ku$1%w zmjVt82mjlFt&?$DV2N(#RFTLN3a|4+qTXv%g;}P0r@z3xLpB~-IFQd+I0Us$w!~Hl ztzYZ8#>f%%-Wlx9GkV9k(!rWlYTay3Frxf|kh);f{{^p>itkd(WsSi)R==f+6}^Vh z2St|V1`26j4~Lis|1Y~jgONJ2Gg#pozc;DF@1GDbU1)Hh5Pi&g2BpQu3s3YY6aZ163`Yu=)b0}ItNeOwUU~9YM}QT%m#YrI%7LA9#NWPQ56w* zNC3)m4}QkDwo^q8ULjNS^OlISr}6Y=o?x_7SUsLY=n1i#B=Paz!xwJ&+RdPybVcAe zJ&OK$Nlkh~ol;Twp!$Q|Aqh~7f#oz~EN$pRS8 z_XtN=YIskPKxBHw?_n?BWj6|PI_!GyWAxn6W!!0O^C*nf!ib)HCZ;QhN^&Im*y)*P zsy6r)z9`Y#jZ*RqT8N$R6;}8k+iQA1PwwqlOSP{=AOd>I42XyzCk9Km{y&Uc{(R~S ziqR<9diL`zRBvH{Y`Mz-E=oSg`oMGEpsb3+?dpl}(5PWe>OnjfB zi+a(;2c`TWg^;I;H`k64tO(1jQ|ItC(ZW&T>AIr%x2=r-h<@yKk?G~=i-!HEVO z-1O31_YV!QCvAx~Zk3Ce2sg1mlO1v7^62oiqicR7fKGF?bRvu;WgInGR7yaR)<@tQ z%%LAbK7V;wGZ0ueDtn>{DyCSr!NqYHEFm*FBi+2wtp|6^dL~o)qTKFUVAkf{-Q{!6_X0wF} zmBxMI2b0$__zzd^e?;^TT+yli0PjM{^Q?CF6Jucw0W$E-F*)d!9_hdh1zN$2kBa?99t?+H86 zYd>pxp)K-on=AE}&YkU!q2MGx@6b?poNzp@{I>dgCg_?D1zj_Va~2!$XAB zs;oxzGb^jVhgxcHU~%=1XfIh=@g=TnawrIUa=zDN?1}wAl zn0ki<8#HZA`#g?TlRr&0XyQWXM@{ed+PAyRKDeh#!Tykx{UJofDQ%(6F(qEMJoS%Y z4-wbIOHs@Lyf*5q_2RF=m!dyPs`ND^oFnX$;wNr%kCxH3nssWN5wmhGj0KkVTK@BQ zO|qX?v?y4u+AO_jjrEc$WVEm{ul&*PcMJO=CfkK_vZa~?nu!YM)mxIrL-jhVOEu`P zUh>f2ABmIZc=#Tes8zcq0BkglRjq=T`=7b8U?wDwZ~sQ?5XDlQca0hJfPbt7A5Ry{rqYhlLBq)LWUx8o3VLu|&?p$0_m#&{z}3@L$t9hH?BnG?2~E0r57NH}?%S7S5sG+c^0^(F$Jc9WvS+ycbNmq&^%^VX`}Ary{6il2Le%{rZ|u zT*h|h8m-4;GCzG#G8bxsJ=byIyTn0#TbUN`?UZ{sKJslNtHY0>-XlkA?tJ}L8EZZi zlJ*X>W^Ao-u5Op8q;Swnix`m>t3w@_iim$>rX;(>tGFzRy`;4C3VxTXdjKG3IxgzP z%&#l%sFC8e2D5~e6F4?|tD~&_=&`1IXrd~tGAt2~G84lVu|8WL*L-G8WYrv{C$lJC zg!BK)Vo}BF23HipnH&ED7oWBg77W9SiPXs8*25|i<#y~B&{@v9$Du`RI=MiU=-P|q z6GwvO)p-AA$_&cLUrL3hBRW`y=XFY&L;?^Rj+vWz14$n@;|@^+VqZ>kJ=QGn;IWlT zR9azSP`pkiHt9yZGho8`B=ZDLhbq;?R%{qRsng0vk8E8X&@Uw5UFf0T%81qY(mUGe zo01eLccCNrf0|&<@oZ^r!_a?=>Plj_80O-P$fKARbXVc3C$@X&sn-XfzcgNWr7VFu z(c<~?kO!Ua)#gKaQ8D0uxa;P$aNirZbthzCeSDaI_a*6(e;#@w`>X;|iEr9TnP*21 z=;Q>}ufLsVIoVKIi>BJQ3`j0`glAW+yBj2p`ve+3t+QHWG0uPy^DIpA#B#93)+z(a zG4s4PimN3l>Uo{TlH>gR6s71soT9;1#eUE|YNw1|l|*b@2c3aMjAy(Hv}A=Zf)P*(g`0`xNSha^c=L zqitb{x$O&`!HPywgLzP}rp!CBf;IJ?_a9fWxaK$V>ItIF-$f3-Twd#R}4YJ5`W{iK&@nSrc;h_h^-$XoxAgedyvge;#x)^@C}B7yAZ z%70WiIX_8ui^S9NfcAlx<*)u4fIL&Hr6^^Q^0yp2h3L-0#)Di*tymK9L|ZdT0jDPsiSsj>oUL?$*d1JU|xp%~ZbF@+d#ue~caifiZl_&%&5~fK1$@o)aQgb5qX1%bVu+IqMh>vzlB9DEZ8~}U= z=2^X0wyi*sD)H1sV*Rn7W1n%504aNY_(pkSSWTWXD-FgLWw$1r#R2;IEYNw8zZNPk z>W$6$$!PzQUglv`Y*c_=as4+;Lioy0B>_lOs8fX7Q_r;Z$aZvAXZQbfqWwjA_1{hr zhh-uxcpX!xQXv-WWmdRnwGHnd$TwutYqE@|{xv8}j=W##t|7qVn&xGt!8DxzmQ5Jr zzJ$qmX|M>I*)D7UvTM>@pI@7XDtp+iH#n0OZ!(E?U~wh zr@xf==yz?yC5w$B4%%<6n?wDraOLlkJ#`9d*Y=SL(F^vS*s0C5CcDJrA!L)C{6#gz zFcCGKZr!9>Wle?hIQAC;WURrrDmh&F5EGYSfw~K7)6{-H7x4@mpD4=u@$6SDJ3c5Efmex&BHT#h1$JUF z`hqBz%z%VGO8VTBsE}7biP6PheUvZ6qo+lgyD<6vCz4aD7kHV5)Fe--wHMQZ-Zh05 zdtD~~-|hMf%CqLT)^T=uBvC2B7i8s47BPhV={3b5)yaYm#r>#H-Au#nGrg-I4J@wX zWCIDGW1|J64W5oKF8MTi3yE#G%rBt`JuMaE4_Ic^ZeBDB4+ATgLO0HEQz0H!p#+O2$T;}~MWM`c2 zJ8zs)9RSqu<7kg2$7^^OR?fU`mwoBOw5VbBr7f|scoOu{BHO5GO!6wHe6vYR8B%R$7PG2`mGe5A=%0)jP)kdkcmhqEu3oy_YS-NlE z>M&1@Xr)jw9%Ny9W;g8B>t8us$emSuG{rZQ!gy)sCW~lE@*c{aw;uqYB8nX?yWxWC zA?{xscwQ0V8&AuR`GyX|td>7|-@~qkUz8}bVbqw|vW-O&-5#(nNWFLBY@etpk}QyH z_^3M0P$n>hshC1MrHv9OqkF(T#R7Ky7Q~~Oejlp$*Rm&P z_@XhDaiVe%hb&J}t0}TN{^T@FaG3wD-@&EZ=FZhL2u6$8?NS>e!mpuwY$$F(ORL zM`0~yv&d`wQT(AYu`BoG#UyS%k>0*l+h|p`=@N-&LZj10&cQ%-&__<{s>&qpNT5Hk zza&|opF%hHP`Ja~0rQA@g;dR8Ehu$1k_NQuUOH*qS=8#1I%Gp$M_4Pep__nk6-kJb z&^2CNpJ^ZyPmo-fd3^Zn>`w(*j8%Jm!SX*^1|s{RSLC3Wh$mIrNA}50EOb#?@##Xz zIIvX%&FPxU6LqaL;cwxPH_z}2D`v_w!>^Z5hoVjjpm6lj3Z7=O`?U6!Z3r(*U@tK9zyIu}^rGN4;9tq$id#K82D2WX zW`H}dAl-^!{3OgWcYA4JdPfr-VCres;;=-YRS4P zaxWE49F9oloqO0H<3z-4iki^Eux64bh%(%S0J&j`O}TSTN&lQry765=R`kX@bF$xQ z4)Hh&eev43$$<&ob!F{IT6hsEP*4uP3R%~f?CSbjBm$AIR-e$q&)JmZgUfvWzu^;v zqEVc+2WmWgibr1uDFguEl#}*@SuR(S;$+5j^|ZFyE*SnEs4c-mC+7!AnBE#Z<3_nE%ZimmXrl8D+8!g#0=c(v!p&m zjhP^2@PD6`)o-^w&8J@Su1pJG=AF*yt)hTZD06kusOZ|q_cQa4>g+5GR%Y?t50GWB zvwOR010hfSKT6R7kNX1!A`vmb9s@-BnO7h4kNE0lUHxZnKXxHtx|!Pn1Q0X7~=9}iKJe={Bv0ZjmF zi~0Is^{*%u>Oj>=cKSs|pclK^?>nG5>)^Iea?+jrm456A&%HbPnnjgb1c9o+ZSSO~ zQP*?WAW02QK6kDMPfm9COvYyiQHqJqVb{RPgQ!t`@L)6Y%3lc2h1>&X-Fe+5{;uB| zgFRPg%|0Q%*V&UcN}!66I@&0I5P9n^aZI@Mu#uFgXTqAgnxLzVC?=5=lnXNM}uQPybYw@lZ04L_s%ozhzK#bnDI^Y#ioEzoq3zZ{cgKnUjm_nL>jYa(XE4SHq+J)n@{z9}pp6 zY1lFjP$FCTxYU!HbOnLG7hvP%QB|LfE#Sao|FN-BhxyU$IGm@(S&Zx^6p0)G2mnWi zboc>G5<7fV<#7JNuL3_|TD8~Djrkj5Y{Ra}sS4humGMfB_Iex?TJLZA9L>t6L1YrZ|u z^3be5uhbr2;%thMv8rh`t9N6V)=Vxroep%vq}?QCZ(}u>m&|JyS)}K^nX~+-GR;GA zA-OW`7~ni!LE)C^zEu$8_)SYHevWW6Zeg~Uh;Q6OLdq{&*9UgDykGb$fw z3ELIH{E_c58Q%5RJ3w^`2A$g(3Thc5_A`vV`4yTEqV|?Okx^-Ua5=nO$yd&0+Aodo zu+j1N_6lCW6ulo>?xFc3daHSQfsn|#i_S-+RBDi5mOA)V>GRGGL_$8^r2c2|Ee(;|(unN@r&w`ZWOA{0ZAt(#~YL+}3v{m6nID6k`pfP$^wzhhgZ zWusTvU9mlV=YvVGC0+p%bKl>`$Nuk(UqD7y-b?(*Q-h~2p}(MxMRbgbqCj;A3cD98 z2XD4|F&{@I?7uAW*6BSE$LnIq;2~!&ScP&l4kqq?!%jRib=CFT* zWVhoRmX$2mLnVT)mUMBX%BhRiXcB=x_ zSIPd}d3gcG-;~Ll?U>WJ8BnLZ1XLt}#xP~_ATRGQ1r|(6@SzaW_IWQn-pz>bB;### zeKnlc$wxb{?P1-NlHFPNVlJCeJ;}eX?j~TKon7gdr-;`AwD~x?KBEMVjX!qkY^|2( z`s%pStQgNmEG1~zR!^MPtPh6_=o3V!jTmz02&T2LnMTbDrIP;RIO!A|ZEA@;QuhS|HZ8r(R*Tz{~}{`h)}Z6LCws&ybCUU+?t$`FE}F*r;zo z9u9ReNd6`N>g;LTuL#Z|shK;NfCch0vp;^1a17#x!h_m*7n*`8&wPixLLTg>-Te!u z%Es6yWBhes(5K}Ae}KY7j2IWM&h2zA39y>%k>WBip%uRw#RVdIlK zUnL6?AuB{7tQPb#PBumJUyobPKw5IZ+UsKPcH|aQ zGGSIAbvZ|^DGG8tk2B#+K zl}vGjr74Bk8L<1=v>EEy@Hz)E;t#o)`!zk7co)P0>xUdiR-Rku z&`ruOYlnyLG1^~pDMiJj9HIua)~MZe1!`SW*6q%3L4#*e2pFJo{Qw5ekxv49TX<2% ze1t4t`Q_7K#h{BdN(!l! z)ugg&>{1j70<0CQXRGRakH*oqxwYVt`_Z3pDocWcBs`^~t1FFhti8xTvyB-`y)@Kx z?pXr3U#SI#xtr74f056ET7CE+knB^QA(7JX1Be%|KMR9%+~${^S87vr(SE-q{$eC- zfMLS7B{$*Yh;W@W{nXa;1JW3dqqalDbJ)H)30v((Rr>4fq!m$vQu0Idqa}IhCPDuz zZGnKF`dCbxf9+BK?A8fQpq*02&UCttwh>_A@rFNOEb5qMSIGzB{QdeIgxg33th9UD zJcz0%uxZMls(>BI>#qq6HWLaA>LXX`1TX7dnRkq2=j+{QUuycI+Pd*v)-wIQvy~O7 z#u{&DnotyYH%nu?9)&m0XivQiOY*NWNucr{eOj-^o=r=8)ORi%+!Z#$VCVvt=szFz zo%V{=_06tLKc?fp>JGdkWf3o*sU%xt95qm%AGpdDhC`_UL& zSpA93Rq(5*4EC`XP+rjG*J zgo5L0;|$TXktPMzJT=QGei9&^E@*#Xr`K6^9E%l<0#b=^qE1h?{wX+CUVvw*fCxw+ z5F%B-j}6CnOl+mJUGxD-805sseBv63j-T~kDi6{j=KI%Q+k;HH)8!qqXeF{?KmLd| zEZ>4&#f)BMgZM84Jwwe?Lz{Njrg5DH@wum$=U9*~6Rym)&aWSo(MLOJU7q=tv9Znj z+S;k15FNyB|H&fFoF*5e;bh%A#c;R;g#` zKc|q}!prQtJ9-s=LMZZ*v6Y%1cBIO`Xb88+69GTUsoFmMDFpme<9yppjO_DS(cuyM z_ms901ST4~Z8)G-u4oy2E*e}&b-+x1Kv8ovzK-2{PgLhSsg`Hx(*A6XSljm6im@5( z{PWyFzGPd2OmDmt1%Cw=p1ybhJ^H~h(HH-gLow|&waQ$C4rw1{vypjTgbti;6S&8i z8+YgpCf92(llF-xCHd}sN&1?f3pZwBdBTt39=y~Jzx$Tk`OOS$K!Z)Yb9^n7E z$i#8P@|U&f;bW1UKaHC(Nmdy1$V;&cwDa?*MyX93@#{ct{H`53V59N7cXKoQBfVE&wJa+FEE9KXmlswasMhxSDI`0C2!#|RhLLxfGIR5t{^3W$ z^NrP@!6UaMvO%COI`Kxbb1xLoB2F7D;)U@X+wve>A%nxH_iUtk*FD1Qv?#Dgs?b<< zb>vxnVi2+B=nY~2+$fK`Dp+YDY{PqJbm_P$4(TyBDY|FM7m17VxyC5Mz#-8~R# zVS*VE)Xddv$0nkIj8c<|e0a3s1 zUtliOs81{+XWnbelo9Bdv#FSGE?0_~VJDr?o~IX+vW;xpiV>s_;Dvw7%QszH36o~B zoXzQzW=!F}GlsB8aZr-cju`9Nww$VBk7nSI&%?hFf~#alBe+MC+YLQA9W?dNX7 zlt#$`{W8TRF!yLAo!h2yGKRPuQx^scj7k>tenhXz^-@J;zP*@5mciPg#g(`->pI54{Dr>&4`5`P+f23-9*L0hj&sgXfU`;pK4QJoN)EY7EmWM6R}P z0HAdqMu{?TKN3-ZG>!}U^v#jbcrt`@u8n63nMY^Ux0oof8o6i!;L%k^C0Yj_xoW}= zdR{z5$)mBP8}}-tOPH7u7{t#Hx~p{c6G10G&9Ack{rFE?ms4^HigNI1CE>(cItxl9 z+Qy2^&mO0FhtpV>b`01=tM%;MW#p{wo#NljS8*?Vj$I>UI61EH$X0_e3@HGEt_&%d zC0?1i?DJ~;#}qE1c;Hq>*V{_B>;^8w@Ntcoj~yX#?i^MM>2MoEL!1%66E(1TvPcil zxH(xf)}f52v_l8lKt@h9p*n&PFyN>o*SIE;RZu>Y=1vK4I2RBjAeoR%B)j8!s)S#P z$Deu=UvB?S>askWgv3)IApm zvT}c0E>~2xSaa(CBy7LyYd-g4zIoZ)PCMVv>Ut+c?u0qbpQ41g&?UyFuVAOzJUfIh zyjq4dE+S;W3fGctCHH0}Y5vNOzj;8#3B4zH8;fE5VPQ1O)p&XrU4flIxqdf1^Yzc2 zvnL&yL^ea=i&<*nI5tK;vI|K9un>e2$Q=1~EEb~yxr6kXx`}dhuJd?FM$6=rvzt}o z?Y69^-plH16Jzq(+&~b2oA3R%0|Q|Jp`^rFrC7ETIm-KT-fy8tBqg&ZBscprkWcpv zPo#xyYu`G5_Mrfbte-k_6ShFQZQ)+N_%RRNV;~g3*A5&$EB>z@o)m<$M_SOM!|^>v zuX!~FyZXz2zco8K9ETruj+k%Wy6Yg~qUj@WyrH=>`NCyitoWOvz=M^(jpckrFmTv= zus1ofEB!T2gvN9`uR|GI+gZ6z6TSY?T@%mPS<{{>tSOe#?!h!R5uT&z7X1ywthbqAR16BCRlgJ$rIWeco7o**i*QmPW51;41 zD1Wsm>`=s;31}p+G;2GVL9Zg%!ERpo0`WAhn)yT_yuGrcnF}vF2?snw!xwQ|^P+Ez zXHlAAHW8!76>h$MJg@n9qGG&2n|d2=94*p93g2gsim~0lwfMtr?`5ezWL4i^mex7W zx$DnzAM}{h^H6RsS%mIs)WBex@kBW5jSpzsd(0vDxiZ_>{0OXH0~&uKQ8^Gu#7M~S z8(sA_h`xHgTO`P1wPZ$zjzD=zh!)p+ceRm0aT%8eFTDaINJ)PB)DtuaG0s3vjdTt; zv8j7SrTb|sL`0^;8kn?QVFML)mlAG`rgB9+1ZZ|wP0CWMv3=yHB?)`WIUtVR0Um{3 zKlYm5+EVeMkny=QG!tAo^`o;KkXnP|%j-zl=lHDeT9twv9>2<&=f_QSM5Ela1r(S6 zL_SCl^M+5U^^;z#fG}kK*>6FJzl*W}pC%lC{sn1B9T^x}%Clxy)Z&drL9)w#(%@AF zp1+~!`p2hRI^OTiCU0LxBkP?%1ZT`|{5tev zpQ9)wqE78dVR{ooDP;$UbJT@g!b<+`JlmC~=h&{AAbQZudQHKmvZ6aAjKAl)*?c^a zU4<5I?er8It|(DnD0f{pdFn3Rns$ot;IhGsChkDbA`ij&>lX0vvvUJug4y{>iX#5Y^|wkz1DlaJ zy-+yg=uu?f%w8vbha8U#E&k>UW`bmU|JedMC3zq-s=FJIf2kuE>KX8`uW>9}6FD>8 ziQ!^Jo!+9CtVOOA7Ddc|OGe~EF0|3*ySd(_mF+@O{Y@j+wv~RZeDu8Da=4b+ zqsouzEe$=XH?(b$ayL}ZAELdM+!z79M$Js+IaF6j$4%MNPX6`GkW8sVo_du}xY>bD zapATKVHRg>zXn=Qy^)(XvZv(hh`xl^aI7R$pzg zDytY?jBp!rNsvs(y}VuQbhJdDZ?pZ*9*4YMT|Lx56ann?6AeV%we&L}TFvR8OS1S; z_fRi(5wmvlJTOOX=I1!08Qlj*=`P3B4DXB^<@HR!CL`Vzg2~0@=6uH*dv4aHrO9B7LH2ef)UK z3b~@OUkkZFHXAFwjNGVHj^|mg=BR8xUJq{VSF`)C`)jT2V3PUg)+!p-csnMQz!O7r#pWxgP`AA_FOz=;QTG!B=6 z?I{uzV1rAQfBlz)?-k*VDZmq$V6=FuYtdAD0`DbE=ynR6-U|h7%}=+LD|MepU-nAL#@&u~0=5;P_Jk3v!C!)aqQ8tLBs;ktr8Mkb! zMeh@DPda4*?SVp?rfCmNF!n}shIvjO>$yD&Jz=^MtR;f^a%!E;D0n?Z^+)FPcmcX% z>hH7Y|E!wn(`BlSONV0xj2BcJWc7jMzSjB`{&WdS?C@u}AJ=TPV}Giy{z(EFtQ49#&F;Y<*j+DsROIW7Es@5^|%(o`T_R{7$c{R zCehZf~SM097#~N@Wo*!+F_!c8E$fy)W5WA$4yALYJ;>9-rs53&ulVBGTsgAqSBC* zMcY*IexO>0@Pnw3TG^c@r-7A;53`Lxs1Bg8E=~B&NFy|MR+ zo4wXZ4ZAW=%}zJt+kuNN2BddTHRvf$ggo3GfBgqvY~*519x zALZoPE?@P3elPUu!42S2fc{)YB5yuZbEN0VlOZ);>%yRLYlw&GtIt`L3ODcaus_YN=w5{BIDn&u(>dGFu3lA9FwJZlaKHch&|h3{;(y>U{W zBc#SwI?(N!pcCEQskzyVX4z(RA_e%)3Ie%S1X7(tx;(%1+&eE5tqwHbh_bGoh-nMt zA%0lefl}9-0+b@O;xEKK<>RHEUPvAD=7IC7#pEx&7->mQz_LgWyXNPC`=zX*FOE>E ziBRqqfZwZuOh?d8gR%$T+E`>=ZBqS)@vwZzKx=Xqtvf7{nxt-kpWd>eh?A@{a%x(J zgKg7i6eM+1c~h5wt#~5N7Wej1jZ^?4%|@o?U)>gV)`McX(nr^LCAX`r*na2~mL%_5 z8O#_*J}979tfd;BARP|8Wm0c)$f;d3)w*}LJyv&IF?BIL-ToQ1hr`s>pfhPy)4#6^ zzpS3cP{`-9XcK5LZ>wdfDYJ$pl;^(<77kMiq|~BO`Sf%pX5bA+S^}%GAPtusy=-3B z(_q1UY-Q85fz9^h>9ni#=#jfIc9*BwQ4-gn-{a{G*j2yqofZU zl5d^DvMi5v&R{iV+;%>knqyY@e@PD}LCU zZ14Uc23jM&t@H@ua4@s}L!pPv)5f5jZ}U5{p|-IkUT%3U)4c)l5}?HR@F*kKr~T{i zPyAg?eBH{+a9Bqe-`y3DLX#Ux8pgTQS6W_L?pQo75za8fJUsjUf&Uesu!)uycb^D% zUrmkp?#qPs*Qm*N1+}~^+^tq((~M-f`w(o8X5SX%KXFG0#ZSb=IP0P8 zmG5A^wI5`d4ej+Rs?M#nS5B9C?oA>NSkF09L8O!BVu;TLzZzm$TFkYMp(2h@CCyPx zZlmCp{My;xde)F~P*ZcZX6N3&k;qlINZAYHbMH@u!)+lGxHm1k6*~)sX4XYtRadsCOQ}^SlKYgVuUv12ITo|AEOs6bd@VoL+YVbkxm$Cund#qxe?9$j`Yq(H zd|4_cd*_MXJcPU%wS1}LtO;+I3{&Jj>FW3r7uw>Z`}{V}%79y4;v3??PqibE38aSk zE?<`JrgIBJf834s+2K5i!b)mjbq_NY3y5_tf=ngzX0m$8a8~EFDJd0p^uZmEl+i}| z4t5_3h)ncEEJUL;Yav6~sl4#BKTLM~`V9xaZ*RGk`J*h}I)}xcxeaH!;24#Z@UrD` z0a}=iR#(<$RnN}P8*@$|V=cbcshlx&v(Dc;_g5QHvO&_u(DRkC;@(I3mDA2{=#|xW zNg6Qf#K{gFd`?DxZTsa*XYnbmv;ZA(tv zilz z#-v@t<`0lZNjr?)x_ePXa0e;;WVIs1Ko8jID5I?`^Q=JyO1PH&@TvIAy1qMis6F#v zT=^z9>0%EPiiL&6_-xYLxL1~9iXOcZq0ByUrZ{Q8@z7XJW6?Cf$h5ZU#}!XvRccB3 z$v*6GZb}W^oRe@z`&7n>_9?_!*yb$3S(*FSDU@O?`GvsWY`_r6t)D^~Yc}^gV`@|dx%(ve*R#eHnXUOsFd zR>I}Bv2p1?U?dG%b8q{*>3=YEr%fZ)etXkhVfdXYxz^l~azH`6Ru#tWJkD3S{ubji^@ogu7MN{Lf=;s*0#0}7ux7TO-T|D|oQ=6xGSbeumvNfGaS<{b#L{%V~ zEpJ?C70NhC62dQLD_5yS2CKR+8`@JyP%XY%TeL zdr5@P%<;I`=U;nl?pxp6hU_p3rCE@$P~fYZVTpH*6U&G_U=y1AhVY3?vzBFX(R?OA zs+x|8cQd>TD;j67tws>q&b9yjRcdYSwUC*}E-{F1pi7Bk)I8UI8GpXoh04-Eu40`{6^`-@Z3Jr=NyqgTz{i4^!cNFLhW^t`}Z zK6$0yo9H5dMMJzYF&ekdj>@}xVc<}Gp^gk>c{$Fi&k2-jHY^=N}CQf`~Euiuq3c7nHqi=gWD`_so{k79DS*t=}> zNtX1oC8lSBlJr!(CtIfAI^jPu{HS~Rt>)Qa7n!og=K0@Dppq&x>KFFO^!It;a(#}1 z5pYv`@NlYeV<`EhwNhfHwHz#Y`)DwX6Cc~Kg8=oUv{SZ}+v3Rm2%AsZ4ZeF(WQ$9i zB$2~Npid=-@DHb!xT`pQD(0{_cUN-uo&EJF)^5p``pvi=p~}JmOpk3(rz&rB2c)!9 zUg0OHR1w%+T28$Iw_bk&jHI@lJY!k4rSfB#&`i%wL_FQHII+}V%E|=^%)a_M`?~(` zns)!>ulfCmM*bYcM|D);Z1dJHnd3EDC8=?@wb3i*%+TAxyq=ViDQ2e+S1U zWk`{(DPob}tT!aR<=ocr*N$V`16nq(IhGUF=kq!p?XjtNniIy;z1R2c(%E3^OEj_O zikA7sCFaRm{p)@;b^3-tDcmvmeF3-hBg#CYHDqH+7AD;s{G5>Aq zfg$teIj<=Xr~7NeQg#qM8emG1u2^#;H>edEr^t)CucBHd1T&S0boQd1+YoeJfMU|7 zYfiikw~4nK3q{%Q8*CXV2dS}wOiq^%shdHI34gj54^4RS%jOFEc6~$nbdz5b`b-k# z)9Bw+duUR6uybvx(PZ#TC8E~NPV7>Vj_y4(blnrNOWPjC`IO&Y^9H7l%l;lhOTTgx z2y`YQ12Ih->5WPpMU~;wL+;)k)`YA^+>(7;JqIhiYwrbn4Jca*^jN?$T6sOPOVs?V zbI&`iQX!gbSe))jMMY&xgkx1<(#Mtz_iR%0C)MnAzt)@bZyKi`Y${-}dxsg7wOv)o zvFr{l>=HosSgFqB7?D1PZK-i|sKBx)v8I@78HylRJ{?c(L_z9$vd=OFgiI=I^sXXi2YU^;0S&;h((&XSPrORK1 z^pSjx6;Eos@J6#U4}!*u8Bf2hCl@hL8;FlKx|x+6vUCf4 zl}};@8PVxrW`exWUCc*JC;o@H*dtD@#uo+h(5?hK@cqJI zH@??+W16>4nL1Hr@-)8J8hBaoj_>KcEb@Z(MqWd9JcNx$v31)zKMm0WEeznM&b@k{ zox?T$X|-uqx%cJ^J!ju3lV(P6qQdpZ>?^p5V)2(<)}3%!slhv2sRXi8$dscXT?0ln z)|8Hze$W9^S3jcace5EMn%PhTk06*W%o;MbaYctG7rHXlKu+%To0i<>L#9;n^E4-T zu}Q3Jka5&HcD%=@>TNO%X7IrhQ=t(iYN}p0$+-Ih9#p(IR2%2Z$6?H%r;y{H^hXfy zG^(%qg|7F{5UTEwM4;q-2uZ4es-mKz(sH}-L0<2~lRucEbHj$EkAk$V2yK99#VFyS zW!0~OxakiO)ShI7c;8UHk7n|4xcFj2(e!Ok8~XKMH8n?2-BnferZ}!Z@lV^-ec>3^ zfo2R2*&OYL+vv!G-4%r`7VmtZ8hkcGK{lBQTA*IuikGt?^#b7O3+NG&|3lMNfJL=+ z(f5o8h_r$rAq`4MNrSYsgwi10-K{hXFbYVA)F2}107FZQfWQnPEzQu~@t*xvbOQ{zQaq@Y#jZ89%bULPhk3X*NqNi zK{4n*`Juwp0AVBkBHC^(~Kd$vwDz)}C1+PU@{t1%?*|MB$a1t|YJP~hfIDX(ev##t-j9a>x zup7lpl^oy)VQ})V7w~6qcT%(bb%ZDcB*SxS(KQm{C(rxvxY@ZdYFb0>>QuJr(D>^7 zrT?zMi*KU*%YjIvK0KyL8joLiLL2Dw2I)hYxLx1nqVH;unp#fcK*8rmw^f9i3J>8e z#y9s~{mIijmJTcPGCAC%JyP|tig(zZv+@7&i=J`=L%pD`&SI7NopMn3G-TT{%9pfy z`u3q2IU!2IzZ;rt5rDAti&`K%^vgzURQmW@E){PFOsxL9o`3J~ac*DYQ}zvckuo=z z-28m)nxP%Va!E`|TLz{f2#YQ)XUdyQ^!_`WndXcB#wgzhNw-v_JXBI?dZlL{+8$nb zHGzRBJ1aF$veO;*9=z>1gbbTssJnz}i`3wHYr=z#(|sFImy=upjj zUEj@Q>Fr5Ln9;dIu%>ekM8RbvcwZB5%t;Q@lf=lE11*oU6FyloXRL%3+XWZuTdETE zKOV3f`+8o|uxVl2Wb4}DvhJC&r=NFT@-1UU_Ms3OXW;YdKccih5z(j+h|&{Op~I1i z(9k}1QU%c4#?VZMBIAC~0v=U_bw_)=!hK{Kg+z1Rj>pP));H~Mo=45OwZ|>IEy3P| z)Ki(ls5Q|eQ&GzY#4%fMs3XxFbNlK+4c6HWSvOihA_id|tknxo--0ly^hq$oax)vB ze`_C6qmc|#} zLWonX^ul1_Yoyc9ssw+-(6Sr4)^*)CDJ{;o51&};@(NqCHf&NMknItWBVE&SC zkjy!mXRhyZ`j~w#=u~f+_VSJEqNM;inJa2Hon`J!FSm4Tm&S5MTIB^MIJc*VlA~x&X(6Esoua{(mW96m?T6W(iouM* zjEVf-wZfImixcOuMyR!Rs`ndf$3=?9tNgmib2wP6dWHeRE35styG`Gppu=Fo<;dUqGAmI&+3?$ zUY$2<@Ao3KQ=PQO*5l*umLcv6s$>BrAbR=u+~<_l$HS7;U!9==_1^Wo*k>K3ln$$o zBkyDjch>%;xoN1lSg_%87qc~F!}Bgv_g?R0c&-WnCVPw%4z%}p?{!7ZGiugvlu}nT zd{PxL6#~&)3r3VrKs=EGeha#Y1zLmMs6)Th5^rlFQykzFuQTh8R$!zoNI$V1YW+y(zmtFkJ?vSIAImOwIH^;34Je}G*RR_TNy>M3$)*uB$ zz)zM(RYZmKPVToSePsV9Ph1LE#@S@Xxw?N$IBa5NXA{$dj(E{Gsy=Cf$i5=ZXCw(k zM)e0?3`I92fGCJaiVInaVq{+N^O+VE%8CWgEeSG}Qnu_}Ye&R6hmI=sjp0#q47e@6zNK z4*=g4OabA4;BiNmb5l*&@$I!03*xudjkUge44lxY1!?Ex)89JrWBr5*?abpYB-3k|HjEaabM*#% zvEVAkSGduE(V5O_f|;JRzZ(RzOzGSlAQIT?nIe&!-s6QDe}wkv>+^h5u_F_EBm zfVH{do&tU2RA6pH!$?55$5CWjRv}eDGHEvvR5O_-;0sj%aAEii&LrN6_xi-Ufe4SM zORp*a>X%NDW#n5e)6cJx4PKXqdO@_Ku@Dm8{Y zsST8qN&3dnm8b>TMyLGf(`d*7HfL|ZQoGR_lF``FAjVKAgebPHMSv}1PClxh&E}RO zNyATSsE?)*wmGE&k10Ecf~_#)-2IyOP7HU%3}WANmyYQ-?h*Whw3L=dEhvJ|_b5XFvTK zmfNp4R0*PSewB*t(P>yUDni!lDKtbbaU?J((gc>Z4@G`_kON3O zFP5g)IvCz1*8nx8qW+y20!Rz))BV~@b)5(&cw$DMT68_xjAVVZJP?&uwu_Q zIfu!(uP?R$(*#7W3rM;>8`m$`>xSZ7A$5^ACDYLSFvuPITH)ZzIqK%nT)6LxvtLSZ%cqoN{*|iXHHsVS`{q&hcitpf-EKzH*DHC?exvkk z1;wY*Rcut#GrZLm0dUA%xoxE9-pe|6lDg5t726!+{G%-p67{{HPnx|`;6MHj#rVBU zFe4|9I(YAtV^E%bj(Z3c9O`Ap6g+Ukq=Kk7I*`2wW?6IY0i*$T&a6Q3wNNir%smBi zFa_5qL1n#lK66|Tv^X2KudEdxxQvMuW4F+V@u?GeVYpAgU+U9;xu@WaM6cibPsvbkw(ctRzj{yv)Vw!j}@U^iMIh zS*9%K%T`p7?!iT>SL$vY-`vYFV@@5^xqyzCvrz@c@xO1XSoBP}TmaH3Pnn-$F`Yz| z@7;M>i0dBRtqF1JBGNfvI^d){g04w29X6CwnO?X3E++D8UoLe*>mOxyAVQdd2u#xp zVMxX$8eqf#wrFtDOSdalNa)sOxiaf6apu&j!9xgg)+~S|WdXdfP(3yfFy=0X9a0?1 zzYDa)JKtNIA=Oa4<8k@COBYmCAfj-DeNFx4(i2By84332D>l*Yfkehava^IENQN<% z^}IZ06)NXB7pB7VhSquiu>M{$)KiOkxVw+4&F+NXt!WD$=x*A$;a}3bDWb@~m)x=3 z4jeZorW<$Y_-WF~tbjcD*qq{6O^)3`y6E-6AD_D2h;-tl@six65$99~Wap3yl9pG@ zTi${e+cWh2Q0&Oci3!@PRo{qhod{nM_|G0;Ju2Apq%C-g`JW?#J<9(z$yt`DQe#qMytA1#v{~8}O|6t9%3weoR zb~nKCa9RyPoT8c(4`NF)i+nYrFUBsvX^so@z>bQ4mT>$X z3}s0LE*ic&A+8Lt^IZbXfn+BA3XGSKT_6PCyZO)YO-wd{1c5&;F4WEOXOs63*0ptd zHvEmUhX1?4)9UUa@1Il6O;zi(8!f3q=595i)*)ECk3^KloyYF7tf^}y=_O>oA z|J?)mXMo;vg^d$&aPt}gr`szd=}Raq)UjZvkBqnyj=Q;vh2u4 z&;P)Qu^EdjeB*`XFaCtJR=LmI`*soU`{XEU)`90CKlUSqqT`(_l#JfUiy7(am_#|Z z-2>o8KyN)R8BuKV?4)2@LKEX;D6Uaeo$KcYayccybW2{vH(;GJtFN*indnT&g}3#* zU}n?vMTfNOZ^Om(OgX6YNfKLsg$RsOb_kNpI=Ff*K;=jLi(aXa5P^010>MCcqI8d} z%khK*yxf$&Fs}LpOz4lZ zK8Jl727sn2Q>p)JOMaVO7KW zQZBW|SiM?P^HzVr0&8QHcwKJoK9YMHW5UQlJ&z6hfN|mGQN75|*q_9~or|Mio>b9( zMtzXRRF&H}NpkN*itJ8s$H9!x-rG02VT7P7KERbonysf7K$LoAd@y#JbMaAqf^ztF zniKZAM>D>|Wm!s_lhc$&FEV~Jwl3x0Nw?!DYs5p8x-pSz)#&|cqKwME%#av-W^egjU;_BvQ)O(fHpk>eo?cY&Fti!@=UZ~M`f2R3F=5&{G7;TlnK@#c zUwOhqAblkGp1Y1~UN7#P{E2k%A&7JaJs&z$EoyKw=*G9oXQ1R8OV~o1-Kg6j4J_L2 z{ZoH@VnH?c001cJE}GKbE`5Efs6}r1ULLoCbZci-&|?igWXDrHRc04w>&2AJFI{#t zV<6I95>(?pn#1il;c?_-ilutv`oxgSuBBfj4^&JdJM2*#P&uy(+Ta_PWLiov;29P zD`!*Be0GPM;VE7>7XcfY*m-f|O%rOz*Ai@x2>bk#ogd6WP?Wz2zX zyklANeD+_t!slNShHqw552(K*WWSF+P?lb^A5i?f<+h{sZDL+s7+yw;uJ!HN&13B( zsTRM`)TKs`e41N4ot~p4OvwcQC7tE$O2CW-7vC++obh@TJ8sb$@Drbobzd6HchUO1$SfV9DoQ z_=zLwXv)LCIn-GjL;CU!w7#rB7>tjYFZKzT#BL)K^wt9xlF++W5|!th^3A;nGp&~F zd!^lWmGI*L?4enX5Xd>r**Tw>w1m9TzU2r@8x#n*t;;yqlRd5!{vN%PEKw2 zUl3eJyr!XVV_jm_hd&qozb`KLCr@%Ka>dwA(*ZL^3s#UnsAVV!srarlnGY;>Zo{|8 zS7)_3@r1^zD;VmuCSiC5$|KeLPhWGpMNEm#`#&N#0sAdzdx-iy%^;w$^;G{r58N7o z@@$*ek~69acQiv>BS99(i$V)Z21jrkRLqlrw>5_SgADqaMMWJ@Lybq7&*)Br%&j+$ zcU=K$?pUxcyTG zG)w>}^6!iZn$*(9^mfzC^e%%4F{Z3`{_p!h2o|eE?{@wu+`C-U0GuIR9Ln`U-ihFE>Z#Os!?;?J>NTI`2`nT8VmZ z30-D3UtRuo(UyFbM}VdX3BOGjG9DM?JU}Trk9&C6=3=L`rzI{c?Tqn0_1r9m_;5~k zQsmnaIFAwnba5~t#y8csC{Os7yJ7&tMi&Ofa#AhT!7U~^LzkMXF$*N(hh_OSGSInp zHzulg^I+Z#TgHU_u`&9Hx|;xO5SVwjMxZ;OoA?pX(bN(eFTeHOth-JCb_XmL!&(RBp`IZN5kFH&1%L025}EgS%6IyeIi}RGA%( z0^Et{?)Ts54XVNBLL2TxUV!!|))uPaF{qA()PGtX##{ghmA)TK;|dE|>%J*w+61~z zbF6Q*@VmY;%^Xn|=Ee@9yAoH*rp8k{(pxK-~u&B|M@u9&(UYpgU* z8H{I;1jgpKcJT1R8Zw%W)b-m|v22yFTJNCW$FCOBv#q;l6rVQ+X*^6M#H4#X9Eky^ zpBkrrfO$|@;nc|L(4cQi=y6F4_(G>iUDKNpg-Enl?m|*>ro(aj`Q^g~NPJ6?+n0w_ zx`m!JJ8 z&WD0%lR8>m;)g&itF{~D^L=PC!IBbm?zD#|1{M0Oq)UgLVhw5~wM4S>&F0LEsd_sw zEG+sthuezXh`Jv;-3Uc^bR8Is8k|0~ zp&}#(*#_@tBc=9+ndTyhIvC6QElq~w&QJ&7@(ZQAv{R=Cbqet~YjNv=Y~sX<+*3cp z4C?Z`HIF1}7=ncGp_ zLU?{kVlo}I_L!1Sev0;3@ego|PBIx^G!ogM=QAQ>^QjJbH#4lg_3Zj;zCwq zgM_DfLLh{iFHI*Dhc93T@8f746XTqr&VX3q)VDm0_DT4i?vt^>@)RFXBsR$H(u(CG zgWbOsMEP=GpW!%vX74>NJr&#rvAZY-bFwA1meVwUv#NzM#Rbi)tQ5-UP6b z_o_5zcN{W55pXkxD3(75;|oVrheIU;n?rpru0>J3r2z#~$Nc^$E5S<9ib??cVemfQiXedYd_ruFMFVByo#|SR(2E z3D6YzDOUugW_3{mNH3Gn@YKUY*P2G`b--ibf}7NJCp7tgaJd&`R+1R zlW0`2ZP?8@nrwBIv>}k=Cx$6{Gr;D$=Dy0)oVS_$DzSp|W~ThIT2!T6HX9B4oH%Jo zm%|Xo0j`5a!H^$S4QYZ^sBIHLSDB0(CW4@A16Wk!Q|v-VVbq5Nu+c>4h=DSzu_^Y) zw&Tsl6EV0=#hvH9F@WJj5i37lw}6QLNTw*KhmR(|>$sHb6P|&AmD`XH*~HnX&W(?a zt*>q~g{eOVY+MxXRpl(Jzk!GvM=tuLwR?52x5+-(^}k>-!ltM7E9o8F8?y9F&k~%I zuEF<4Nh5xsD!qV9E9tz!TtqaC#HZCP|X#7pn8=e(#VWU;og3$7H0b8R^T z23cQ$IAAb4=ed1;;s@TO5LoYsE59Onm#e(|wp<2-aO#04JJO)R%rL`^IpcaQBbM+d zhaemevg>>>j8h_I8q@Ko#*WKn4=qBZP#btWyML_ghwcSvb$V;`5ea$MgjboEP^4nq zR>2DlgIyh<`Le8kSQiA#ecXyX){#3!{5SNo{>BFO`len&<5*qIXP7?JY5=36+$v5& zYWb$U8cwjiuGHpU@h^v|6ONp{nh18bN_E~w_f?_a$-0j7{3>ct`Ki2-oL!(%|D)=t z?G5@|FaJw|%;HC_y*Rt7YTBOu5xD&e-q|i5z6Ijggl@#$M7Lfke5nj8N9`Xr6;S7xOI~>jt`SI>-S=1G{33_zGrzvyQsy9RuVV$%|14)pE*DCq^bMiy z@X5c09d*G9!i=Ys73X+V9|t*-0eR{ zIhkxe%=^buzk?NISfA!`YKs=8>-ixD>_q*#kNxaQ4HbDdr?Q`HU3ZEHiZ!|+$cY<( zw6b1y!>{XiZ^hR9$GTHF9WMn;&K9l&rUO)>6Q^^}{V@GO%=5^6Tk;(f|FRkG!<*;~ zI`P?XvwlA+tX}bOq&(jO-pMooy@eGZvb+NuXBTV*!s6H4LY#Ts&Zphq?m4`H(}`5q zxh1Ve**7I)onqW@dn0C$TRz61=cSunLbj1%q(+LI=TmOw45Jbi+O@eFYL5D|!=zEY%a$KII3wmgiL^qX&Zbk3#X#gOqOzjM7#)JPFTZ@54U zJuRi=k!#0_O}4Ntn7i;awlI_2)B9C9TxWQZvYZ!G<2ZoSzN)&c5aI7%843EZfJ{U$ zGAos_Z=dp~4h<+K7_5gQabrJ!>QsJ1C%xKNd^@(P_K1pS>-jDcqFcoy~EwsOGMEd2-CxE_z-hROFjF%BX%wiCo4l z%&*~MV){o6GwWJs-RlpvD7V?g@BH?Ua+qu`t|5berD5uT$2|C_cP<#KsA|&^IUD7C zPm3)WKm?T9-1@`oQHvF^XInqmQeETjzmG7vZesWsq%YEH;tskz<*7jMhTgl`C zG|JuY$O*g$?b;5tw@#tTsMy@a3NZuj+5-MSMeCHlj?UsI?7KR!E36s@tjWm^8Nh}B zMJzRbAXn{f3$b)kbzIM1$=tRY7nS)|P=wx8L7|w{8clq#-dbb!0We0T+vlY1a>CF$ z_^NgLu7AQ+idPwrzC8oFcKYvO*H9(=sEV}qC}YqN2ARGfXI`20d}>i=k!uH?kpUyw zKO}56sTo3NxH#?;%|x(@IWYV3xJ}@5xx-DpJRIerGoj*1NK>z9dKji|=FR@u{U`J5 z3(gg0&O=o5)rQRgYi!cwT)|q!TK)42-gXZpkg#q<&r&qVmur`zUD*80T~s#@bZX1Y z8#!IV+U}u zn`@aSLv;7x`zr{*{m9w9_(jgcjX=rA#DGQ#@?k-?6z0RV!^qbR@(>%Joxc!3?oU@_ zl$noI15Fy?Rk}{OP=)3TNH~40bhay;qM8|QuC+qD@ifT&01>pf6a{phjX-ooJi?VKqoFpisj{z_#a6gSmA?qI0SjgD**p z^be62Axa>EpaE%yLp-VHuS9|i7Bc^UUSY* z9XI5w@gaC92mimWT~&&Riz83B$_p-SQUT5y^)Dd|)wb2~L$h`XG=4gWrLpTMf3z2s>ZA zjY=ehB|dY;zv^J!^)9xXO_*I=v^e=KEe*fx-4?v{!=2uHJB0pWwFcLBTRD}3*s;Xn zHT%Sck#n66wF$3oc8*BK?vkph)VhOi8#CVBPt?FAE=&YWtSCCPaVA+y7xsIH>3*j= zYYY88Ev6QcKkuc68cJ&BvJ9D1Cpi9KhbzHyooI~31$dCeM zQLpk(oOPw!4X59EsZR1Co@1V(M5rlOMO=BhXz`O}0r?P29jQo{*CeCVNxyEXKnKXD z7J$C$7@M72kp86%(}8F}DBx#4EA@x@<=je9z~x1w)`R zye^IHc~l)|oNFmbGEDhz^1%QC4-?7lW>*UitmMBQp2Ld*rKypH&b1t@dG?&Si>*7L ziQ~po{JuB(CJqy^dt;+3Iw`iBpXPoH&t^`&Wnym^ZS7`@l{WiNmr^ps6`5PSaa$JS zvia{)Ab))(qTtXq_hc66zc8|f6VzI{Ho~%hG52R&#DgTTHYa$#2uY9)=lCubkUhWw zDhdEE%PuXGUn1rk*?t`fkB;*PyYX>vKK1cB z`S{O=WDmgTS0WBBWkt!CsZD{e7pscFT{ZFRK3B#)xV>RM!g-3$7y!d^NyAZ`KKm=^IqhiLGA39wb zGp5`b95PTWRk@I#1!>e4hP-(>;fOqK52udC%=1P8-W;4VX5YnNBI50{+I zjJ{$x5|{&0HT@a#Xlf;^7V;P6irY5H-F!^5draU%sXsY1&^i9pAGy+DAX`Ejp2)2PbARqhSpfroQl^ z0!D+cGc6fwdMJwI-PHbZ#q#qN7IxNMntk|kie+Vu0xw74iZw~p=Yr6_gkhAz*=)4Z zZx4%reWrk%c#f7^bt9{EcQNq5`!4_ej=Sa4p+ccXFg<;l5S-ecS${6Q7&r* zYKx06!s_`{EJ^J}{ISTh6Pndmlb^a>Enj$XU$JBt1NoTdPX3uAcS)UYMOAC}>=q$o zc>${ugq^r4)E*1GdY#0cs|&;C+=4HkyaVt5mTDtlE9#4YJ*Ky=ADvQWW$N?!`6{jA zj~ZrqRsFNL8}I*#&)G$tZ_mC$_7dmhM>A8Wl*RbIVz%pgA6Bc=0$2JSfu#^TGCIT{ zNI(D8&3hH9jc$S7!7Y$Ow&b0w#pdx9mWpo2b0&?%F}lHM;i|w1A>qp>(d`C;>ft!R zjsSh>S#p?l2bSHrgD2I++hXj=_1SK-23nhnA1S^9srZJEb5rq4v+~mJD=Eq-fL|YX z0SFt)Gc#wxu78JLAWXy zty;1EoFbw0(;?(sQI;wJ;AU6-n-ZGO;EdK{--k7OQ|Zzsp4emse7@n+cKKdccfMrI zMP+7NKJ*4RdEu8Ct3u7?@aj4ZpN2OmzsZ$-3^*mnrhJy{`;=gjS_zULDVHE}$>(3u zoI$}HLhoFoVd^<4?(J=|SR*&MWa;mW3S4$%&kdG=u0qlz3QKflxbE#^OHDHFxk+IutbxE)r)K~M6`fF+kaiF?f3Io`Q^Z@yLt5*^&D zW49taV=Oeb9<1MYJ! zjv2MD6&`#l4xe|T!mwu4iHL!wAuCBqD;8$gzd?BQ5I|14X~i1J@j1Z1TO?Et?htOM zvRnF1`P}(VDyD1qtR>~7q$$*ID9;G|N)4>H8Xq=k~$M5DyIE08I3`%TITotu-ySUm#+W~iFt{A1s6 z8SxUP4RU>M#*8vTj*ZcQSvu($g_X=I>3*4*_duP3Kr9io@Be(sy-d--Jx_E6qgacv z3X_8(1}T>Un;=)4a{&h4GHI`L^F~PWT#8;f_il# zRkT~=`bPe1Zl?70$5!aBl5py;`k2}FG<02%>?`wCQ=TisJowL_PWV93_@iW?N3bWP z>=A~2>)6}ut*|0o3jw!&VXgm}9r~MmKRhLJs@^olSxS%2$^vBQz7q z79tu-)sKBXoUsJ@DHqH^Y|)RqZEd^~v_BifqAV2ccdmCDmfCFubl5cVxf=s3`=o2 zCC$oA&;R&}hy+jv(1iM10nER38Ck8A@bvCsrj)F+bZ~*!ebeDvsv0azLRU6ho&R*x zi*hn^1nztN@QTcRH;lD}B?i>BAIN$uUX*aPUH*sm%x7ipPbV7aqN@<)r%HNjc zJR&LtSetrSOT@?RAKi%_QE(afQUWjq(~1^LhIK1DYhIGR0vvW=mZESl)qtl5%e-PMB!W_uZ1|?jB0o!iK8Cv9bg!-+4&Hju#lbClAjj zm-AxaAH!nUCN1Q*O)2T%z9Jl)YW?m@=#BfhKkfLAj~lQE-#XzC#QjS`nkd1k6ZY&%=HNN!kuX_mRb@0f5q|u?*dd^KX$hWmv8KI4ppK3f`;SW z4DhC^PaI!wYpfAjm~md$nx$u|K6ievPQUC+$1qc|Brmf>M$9<^UiJBQ-ha4?5{ouX z2ZpX*`DE!#a|v~efdAAfP@y&9Jwj9QFBySRAyn#V>{>fGrFm+Tkt$Pt{{kAV5l!#Q zWtgh;=CEZ&{Hw;dqfl9bZUJx+8Q9weEaUMv{0?|WDw%KPapHCO=XbLYKzZD180|!j zppIUB4IuH8NZR)CYh5Sl0tg3!#eTEyq(jFA^~Xh>s2y{wVftY5$9o19?8pr|(Z*)b zZ@W!DR*1~G!&%VDe}(oScP=_oa(Nx&M7`N;HPeKbdvCa|ZIAuoxARY$VvFEk?+O!teBaUoeU) z;NqBL0Ca&^F#pMi0v%K(vz+r-hT=SJe~yKHqDH5$j`=on^+7bf2)Gmf(`fpc{QH3? z$-@MTU!1N%_sA}&vNGAO6DaPKO=S45-Xz!3#4X^?0y3&yCjBCFGnYRp7ys{BQjT-T zJ?>pu4xCinp*gCIjixr>C00o1}Oe%C~W*E!(;EPtsP-H=I_#6?3cL<*GWW3fELl6)pYt!w~GATk*{4uFqS% zrbfEjT?^$0qFl&BEkxq`^=u0J<){jRe?p%TDR;);@)9XuYxl$Nvx|3FFE$JO9dbB4 zS|WVcp--fvJtHI2dvUf>YlXpY+iU4XB8f(GlP9`2$VykELFYR{sn1ht|Nsn3Ag<(Kd+Nhek8;EM@;kp%DU*f!O3IRdh}yixnKr z6p3Ll1AzVGrEHSkY=MQvcEml_=XVY&{rB+YMNV(B|4t!QB!OzzZpiqAGmJUl`e~TwJ!>dQ82OJ<0R;QoX-k+Kx$UA`3+mjckl&lg5&)B3UuMAh=)Tx#mmUjzU^_ zCKeL^G~@fcZ|`Z?UWtzUesATgz0=t~m3z!zCZV;ujM34SVXfZC-&v)>9}6r+a)}y! z+Q{*ov-BJAFMEDj#9`-rQ3ldWV5lR9X%NL|q(;J&8=8Bi|4zi38GJOCm*U)I$ZHJN ztIFO0qB;19|0BP#`U4j{BB3)FWCO~LAtz!}HQ8As!kd2Ww2EF2M^$d3BF}0z0|A2l z{v3+mV`%M_zvIZDX!_bhv0--UpIh`DgC9_g%_F382VgZb*mo|YN*$Vi0WuTr!7}T3 z3r;s)Kwkko+C=~H4Ir!Pf7C>}wv7l%ZFrEx4Z=&Q+03D?Z>s$Gsr-S7{!f;c_VY%B z^ybT3RF46*CGx8o)s3n5Q2d0exmF1oqqZ@ziYgt+Ib zOSHZuu2PyLCljY>b#?nLA7yPlDQ>V*H2BZ7g^`F5|8QI7_t)KqH*=7bKFZ`@MQ~JQ zim0Gyx%;`_y4!knre|34xLePJJlokpgo+7w*6BQl{fpJWN#%!g?bkP(0;y0&NzwRb z%OC>>FWaP;kxdgWm$?z`h{{NpSvQnXWWypXIm$yfAhM8N!>6Rkj+uy&Gp1keUGDAp zzK_!PUa^%xZ*+<`YJ-8#J&v$lK{1AZySX-W@f9G{pNpV3Sz^J79mHX_uXF2?33+zj3479S>uV4f8z0+maZy*> zvKF`#Z&-hA35EZpKDp8CY|F60YX)Njg(aD$Mqoq7J-%d12h2MFiS)FHtOy1@`C}#uq$d44c16)sG-qrYb389{a{I{{kY{^l|7uE6~>VQI?}*g1iatNArc z`=Q53if#YAQAW)*q_lMMCfI6B$N1pCUsf3dqHzUxmPZkP;F7whT)()J_pl7%9-^5%-xG@8Q4@q?Q?;#d{g5e31CfvCYIfPO*4yW>d z%z5eO_JtC?Y-69mkKihgHy8TqHgQWCFNyjCEMMkS;+HhLjdR~yDj-jKoCR9n$7dDM z)%O6Bpq3S&lY3cj%2+|>!$t~ z72-^;k3)8Nx$w!N)5-T4Gwj8`5A@^bRE7<5%MS5Hr2tmTyZyq8Fecf8x{Vh_Ox<>q z(N}|d*q3(^27kpl7qqK?nY@AD6{+9m!a>Zh1!+Arcd z4))|9>R)T?$zrljfM<%V-C>`r|Ew~`9b^UStmj>$Q<17a+kHkm*2KA7O>4{C6zzWz zX;r~BrT^wviZaq7>O|Lfy`1ZaKj&@N1o30NI~I<8_QI8KHb~GP2oG-wT zHD)DGHsXKO!*d%I6=5YW-G-q>Vy}x^dOx~?wd5uQ13$P_Y*;lJLLhIq?zYSH&#$zO zyyV7N#~UpTcZ@!aBkj44%8O#%1lQBCF+amEJp3;^M1{HpLd4cybZk=XmDiV9co~1O zCO=v)eGyyNly3LdHq?Q)YE|HvTPKE~qj1s_*^l0Stt0CCA*QEjm9I@3eQvf^Q}McQnAu;?vzPTEV|BY_dtvCa zXbjJfp^Qu?K%2wL`q)?y##j~!3>h>R3yg2H7z=t*q0(PV>ODGo@marwz&tG)gd&K{N=cHUBfx~gp*Mv|*nbP_-J6T{9jh%Fl8anV$ zv@10;*L(tkEq4dB1RIt}SAr8~27^v2oStJm66iyjjYd#)Iu~)P9H@I7yg|c)+r2LPlQIU8UnmvL>Iu*+?5Wzy%>P`F zNufHn=h$PnBD8cV6CtJN@X8RZ&K$Ng!i^4=mR521>Z++2LspLxjPQ{H@EYsWP+Qtt zFKKWHz}-&(m{u25WXqxaj(sl0P7jjqgo9y{+G5Dz0V6)XrFUr5e z?-~PC`b_|NON3F_Q%tc8)_KzA3(p|*Qw|{cOz7$CnwL`!ls@clWeraMh&}LSKV*Kg z6N&R3kt!q}D<}SW*Occ=$}ecJgW?{O?ZloU)mg6Xp>1E){0ZyWH+BTB&b{b^@Y~WhK+;$W575T2S0Ua%dh-rduvRrO8+4xrih=~#p#?Iv|`343I z%dllL@=jy6cWE?%8^%@Khz-*VaPA{xZ$dC%k-y>S?GK5e8?R~Rz`O!&x)kl2k3Fgl zhU2=h`-yXzGmN5pk9^O1wf%oTc`%h7#gOx75B-XP=-72lO6-ATi}67-b>xQ|2gcOc zM5=3za3<@Rh;m_=R5bj(e+ApXQkmna4;F!o8cfT;C_6=(?xz4+!a4-@SbIZ_}a4 z2NXI$EoDH)aWDV&O?L5w#Cp@?_IPT$%-YMdZ@bS5`LoWyRNrb)k#hS1bZ&-&L$gkY z%afzzlNrto~anA6=f!dIGi=Bi`IA~=&`m+@sJKmJu6(g3kkI8*HH}3(~eU3y%|(+9J6lub9p-*w5(!|&G#M!gfQ;{ zcvU=M(Y;>9G886R4cXPyKOX8EnSFfhQ&>{MR82N8`xtTYAmbnJ_yC>93b-B*CSPIX z6#^o?nsrY^qfRc;#)dp%7LI&UVV#1pHU`IfplFmr z4ZEp~LUve9xQ>vz>f75!cZZ7=f%yj)^q$t?1dRE6+&bs-FEJDlBS51KbsFCoQ;4N- z*ocgDrI-szGAll`_WYiAmR4#0!(4ae7N{yp3t#DlO*nnWx;lj^^w-bFrR0PU2Ut4V zd6v0You@DOD(Ut**F9r{gt(|)PZ~&&@txqKqMnl1R|eg!SsZ~2zd2hooQ#TYTrY5Z zaZ0B8n~BT5AV2Wu`-fAA@{qeV+XS!Nf0$p3hlfY|5|V*Wfubxl<$wnmZ9h3Ra8gbD zmuo$y;*8svj{Hw1TN((vyKWg1di9kCnI=L$yf0K0vUFcrrbL_Wy#P|23jZR8fE|<{ zm~%cCxECupM-0@ov~nV)tINgP&Mm-hLw%KFMDa3FYvx^P;}a;)-Ddf?pTywyh#6Azo9RbMw6v34eEq-?-cTX5 zI~N9%GrvDJ-Sj*Z)Vjd01|XQ+LV;f;A7XO;h<@9~t|MX>Y2YB6R5#K2sAZYRQeIoX znMuu6&2t@pS?gGGJT+OXPqpsmftcZVs@XWzQ|l(RrQLvR2weEll{7O2F-~J5G`{h6 zn=7U6Ccueg)mRN~;S_jw>3M$ty!6t5{_dt@o^1$ddY@;LhA$`ur&ZFqS=vXpx&UA# zHrx$m(Emx2Xg#m}ii)x5cqCyebYzP>Cq{u^Ax}zn;8Q>B$RSFK9ZY7TMb@)*5s>>8AD>KQiexoZ~P;A6Vk>sNngj` z97?sx=s&53nFuy!(G}HQHu*l`26C;UcXz#~&PVtY3catSSo8Nzl)6~mqb%z>#4ig*Q-pGTu4Tx}{wdt0AC1p?qq%(NiASQ2_v(W8-)&IcSH@T);T%S=&Bf zFJO9qU+_wa^1Tcm>&KraGu{4y-?zOwgdEu9v3+p>N{D!X4m!?R+E_PrELq3f=3sQv zaSbVH`zZ-dlD%&E*4ASgoD-r7hjsDZ%=xM#Q^efH;{bwjkB}v*08$*XJcS|mrNRA&d%uZyw zYQIh{$r#jdiT6+zM@C%rjK>}tXomBW_$Rghj4`#oJgoJxyDe75UY!%xj!YFF8Nu-K0NeiPd2`~WSVzyZO1rX6{s{Vs67Y*boOg*k4 zKEB#!y%*y<(25MW$f@1fnDkDmdizGd!y2S(GvwJ8o6A#UeGrf~fExlyv2zJ>DOU3HsH#`C>bnN}BFCkbj- z>yTwV&#+c}QxzeYK-GL!FTa1M>q=r zqkj&qzkR^>M^R-ql7=Id$iUMWpO2DjnShw?#rU5BuGpCpnM96plU7oC3x*l-BEb%_}yip~zpn+^B*{0`$OBV{d!FS+0&w#m{vu?3xf{a-~p9+VU%E zsCw%}px_;1xy5_=YY7>w&aD3xD<3?U2*8`*rPV&VE)Ko4K+Sli=o~n;UY$cMeTQdU zVX@>1q#52GQz}8pG2&>8+o%d`NyH$lG>|kv*@6f;AdfKr1ag4`i^i}YAdMwMZso=X z;C&E#X>(cf3bjfl-L0dQ1F{GT$xi8R8@7Q83PlW#gi}zrYW0vJpb*R46PN)4%wox^ z!&v4#tFUk`^Fnb6-rpOw(PYaHn(mo~1P}LkMM^Gp=@rdJW@{)}BfktWe5IYkbzWr@lQ-M>)8SGZlLGVrbO>a&Ge zwT{ExQbo}e65nY#&8 zadibaz3y$ECMorvFMyL>3#w@RIM0y-HhfLtOj3u9FPvVPEx%s(qTn!m zsw^7g)MX7ks)7_uUs*4nE_iFCj@nPV%!DfX!Un3b*a!im2y<6f_`T`$6Vmo9&NX0k z8~ID$-t*IKMU9`hJ-UYH6(F4TQms4!9^FHKw-H`fjiS9CR1x2f$0Dm&PHm<%&SF8Q zU+xD|vV3+W=<$g^H_fg&pliHtn|W)XOOz(tzMsrFM-0s2t+#DeWa{mn>k;XztHrdzk;(I?+U}>2O;zlkzV_|83;~(kUlJsmD$UMI=d6GP9=?-)vDD-B>c&gA-j#oSTNJys4wGFJ7 zR#_JwIxRIA0aRyD5OD1vGat~dd@Law{!0(;zezwe8-*-?XP;*_LKbPFMgl4eH+46^oh z@;m6Q5OQmrM|?!$VP}z&amxO0m+lpf70P{EXTa?0`uO06ZsPx6djjy&^oUwd=XU>* zD4PNIa|mAXpM}hRahn)pRj0B?+(YuUK1?GBhMRd9S1vhkCIU9@jG*~f&_Nq)XQTCZ z&$6*W3;$VO;%ooqnt2IC(=+v^n^&1`y*BK6-uek7Vd9yHmF^|`60hAw)b3(nbaPjZ zLXCI)YjwBJ=hA%LmWDBX54gwUZ98H8j-zd{{;631#8h_WeE_Z0!i5U45wZAU*uM1d zn`e2Bqw06#n!2wsP?hVgqPzrNmb75kDY`vqeKd4g1;@V7tx?trlpLVFMXTl+>Z`BF zu}O#Pzcx8@H`?XvXU1 zB?OPu^8l8040=bim3x#&4*_FD%_bpsnTkS;X78AO#0__$1mo;pE+pA`G)t$P%Fi60 zt4gB1JAqlJC*I^!ttMv?jXh zPG)E-8%qX@r!ij-8BZ>}w#9*lO7Ee4W_g%3P$UVs$#YbG)G2ip%9 zDh6>gl1>JAqSESFY#(gjU2yBCS^r2&E^xFCh8x`b@A1`bu=g#z?X)uS&eV=1ePmmR z6=se6lat%iydT65f4{o!pzsBUMXjEx4RS$&6s8!Dqe<^3te zs6S+0(gtGCj_!Q@SYVJ(?S6AqJi0e9o~&^H=;+p4;SI@I(YDXqgxd+Qx}=ota(?1wIoSojDT9)?4iO{53n$@aXQ z))KjHvizly3z+LBTD2~qwzLxjRj_INCeGaQE6$fY2A$;N-m?ef@ush~e|SA~pN2)% ztG=1ZPU_~YqfL(g+euu82x$Egg#@ztHp}mPKz|Tp+*HlqSd#Q{~;l*q$kjX4q1E!Otx^DM2X7lA8_eq^}DF+jwhA57dR}>C>nOD?3_`)_yO|FaKjAmdye7_P_yC zXO+8y?#8I_(}n-(pW{th#L4VmC{%-jyhKgDWW2COxrt|LGoNurzhGKSNqfSbW|UNg zvtOb-i6QSL?hvFVX2gfgx4r3Jb@9x@t-1x7}12g%z=7dr&yH0Q7XeYxv! z8uT;3TCcmOq&VLx=U*i1Hu+AH$>}X+)HlhUTTE8a4Z+K|B{RONVx*%+rBTWTKt}jc zZN$61v@%+Fbh_-jA!xdrm?R%%Ib}ipfwAL@;236^ey6XWh`X!F_y}L{5v1Ou-C8sV zFt3vxk8=X+5iQ#83KrASXrc~uKkaz5Zb_nEGQ{!x_WV~Sf_Zp>>3+j;{iH`3VfWi7 zDsNt(RY0c6BoQsWnvNueyPzq5eupIh7;Y@Sxl?E2c9`?ABfM-q}je>q_98 zOK#OF-l5m%A=V9I{v;|td64QRmCnp@`~T3nGD6bKy{Dk`|Gb$wcaHx0>{{(rtgc?F zV6)w#ilNHPQ;u4RazhN$4D)T@C%VsZ05-OrzvLv2{@De#y_dJt>%STQ>Ne3B=R(ibeGM1Ki3;eDHK$dJjyV-Qf7~s@y85|Wk&}X-NS<#4S z{V*Z@skRjdPpV|AuklgeAa}P)B$OBo?t$$TZS^fmPuSMG-Bh(jdY@VR;oC09VtMG! zJcm!o1!&Z^Kh|NP$z!>-{(u*Lz9)%>w-m2~jEr#ql(i`AQ*F2FKt;ToV5_bwKgEyN zgCp$jq*1zPT@z@J!A)B_YsWuv*l%(!Vx3Q|(6hCofx39G9fE#9 zRr)GMx>8l`&*vD&Qy)g$FKOZ$TA?ELe?hwub82{2z`vmY>tg9T#Hhb$#?Ko3k`URv zFHfm(k=4L8*(b>>D>53P0K1fuQIdgR~+^HLl*q`oP?FTzvFxy{gwqM z5?_}Rsj1iy$SFUj2L`4~+ls#apo8x9qQDzxw!L0dW8gF2#DCv}C-UuR)l_^q!tE4) z+xmZ26iT@sAe`RM6}`F4Q+58!6fH=B{(#L!NBvVL1}Y*FZJAA2y$(i7n+6?5%HqAU z)JFnG$s;Q{dqn(*sJ$#sUA68My4l})=H(8?4^L$)BjXLqJWA14>$ysslxPBzE$D!dG!?gksCnU?j|S~%-0oA8 zvLfJQ)iVBnM3DDWn@oA6U-(jSrb(HArevB~wYX*C?vsvD zb5mDCXT>e5`>=VAWp@hhzYZ>Yh%qig^|Js(qtCgn*3rGUzfubD<(XIrw5byB6~xPE zf0bj%>2Dy%=^Iim$%Fco2-ocW8aSqvUv+1S-U0-NC(9*ic>YP^Sj$hXB10yLR|nG~5@a?i4}eo&nw`CL zG2N8rnYtFWl2(S&qp~a?!@B){1t^5Rvv=A83Qp}{Q;UF9gnId1F8C)FHZWz$QS_;^wcW<;hp4wT?p_i0>4|44aB1HL{<|*v zBl8H@eW7a6xZs!`rpJ%)?b6WwHATeIUb=O5(5~8fk(Uve!u>yy@bI}Z_tE8tldZiSj;{B)ibXiVBlGa0O!}@%*;|DxCCr6}nZ~M}%p>29 zv}(C&?mzKL-QSAJR=CJ!KFk;NkcA88549Q`3BiZ%w1`Sz*rKCGFK^;?l^30TQvF; z^nI#5dcHXJ>X!tY_eNY+t-1E~Ni-5{#Z`~X%bMu)Kb^qmkie-(FLtrKtg}Nshi6u| zQVG8nCX0Tw7~jCHYbQ?sdH3&67)(GKl1r2PSzWn_O+F-g(pV9Ye89 zk5+YrJ3IP3St*s&Icg<6L^d~F-m{EY$dJV7*u^GsW)~%`*D?X-VX?tfHoy z#^jVJDnE^Wqe3=ne{H@){4>p#8c|b{N}F|5;M1K| z!g%h*=9&m8b&@VM-||@0cO6fOH|=tUhAxJNpp8g?i(dDGI7q-ayAhbp?83@K$NO2M zt9i=03pW;`4(UV^d8`1B?`11@s13MoN_h9gL0dRKB}3<}MfKW<`c#vqH=!wWPMvksI&m5FG|~ah0W>GTCw-Tz*HJB zl@eG)k<~Ohn_W^57zyf~T35gA=}k&nWlYmIq-7!uzaJM#L&)@{ zKkCDpXqvy<2&YJ*v-Y4KliyDV^#z{;a}NjQZnE(Eq^r*98YrDk#Z4auNfrufB@Jp= zyz$_lFeCRrWyx!z5p8bZ!@OP@)niKip5yALvoO2z=gyN$^N1}B_UjMDbf#XWr4vwX z?82(*;;r`fDNFql4K;5gZoD9}K%gZXv56Yj^~o~!ITh4S1DkOcGz{OGo9j6pBzcYd zStGLrkOhckzC8O?rXDw~1KLCM8Rum$dAn=`%^Nbtl#T zz@qlS`nJ*VZc(Q7ib)gPs9w%_8(vX8>=Qcz^?h_^E41QP=6);G9OlKl7KB_E_D+!` zF3m=|PZWLzwGBnMd3zVPe$5WwLPrsZ}zOFm@7DxG7Xr}wg)rU!e7R%Uizxmxb zf=OpDGl-#~w=E>wV%_}9VmLf84<3q@L1Uid3Zk~DG8HtO4z8rT>-yPA(80k z+eb&Iopr_>y+L{{42T6{LkM=UK!`4t42Np=tV=J&6R2FUNjxS--?7D*%59kr@p@)F zV&BaBh?g>ov(kSuDNT=8{Lj+zLc<2SWV4&y&VQpOW5UzO(`4|7Li)ZDvCa^aM$6bD zm2JfwXT5JFw;J@4DU#a6&!lweaXa+#CQ1p~s;}&?7v>tdsmPB_zaDFghtZgRSt$?p zy^v!d4JVGURDq}4zf9Iri-Vb@4{Eve)d%tWcGNZ6xo3v0$Vk)9kXg5wCB-ibA zNNy1}Exdve`@=S8$Bqwa36SJbIoN=})SjUrXp)nh!54G{-c$S!j!5*-eUO6p~mEi z?N^80Jxz&twd&DaIb*?io2g^^w)$dZ=u4`=GjD;qkG{S!1%0uGpMvNb@3$z+m3oN1 zeg9e@dXJN)@&rkU3ObuA_UC@1C+SGw7?cD}G@A+R6r9-hfS&W?CXM97$-?1et4r)m zj0jY3i9lq0AxO5YAag8RmAShY>MbyxBM{)wKr^dwr`qw>ZiEq)^z(!iHM)jK>;Gg9 z>VgJ-?I&U-MRqro5C@FZ;D#%H6r*aBMF$*KE|Z386FuxB$T>+OxxL4CHmEE;wLMexRy%Le7O#4t7+nsJS=gmU&Wl=P z(s51LNUIbWYwg*q*iF77=@8n_V2qV!>GVYB*9g>AoNG%(XWM( z!wl-a@gGLN+X=$xddBI~1M0n=ve3Q%$^UkVv%Z0>5jGi*|G$-Svp%k$AqRPr8n#Ep zqgzj1z5-V|Li<`+UvalAXr7u+aY>=_!@}P>RNTkEoEnLg3P$`RUHAoiw>Rd$H ze@MZ-e>cPuY;q(#(x%={&G7xSg||AEdGte|CVwHL6(by)*F0Jt{q1Z>2C65%lR=$RWYfqR^M zunBNb!oyfiA*bd;UiePf6AQbM^?{I2Jsczpak1%$9jCu2ZR6eH=;P%QkzBugz^OM{ zA4z8V#z!e~*2zjq`D)}(LK3yna00pGMAeW|Bsp~%rX-h`=etJxJdkF+ap0IV${eaL z?8PqGh_~rEetw+j?3+-fw(f16K&}!n9%dtuuk?#e+XY`8$t#ho?8N0aY~pJ`h zMs8|27UK{%X*Tgr>+4M_npoGgn}0|%b$X9b9XSt)kcG_SeXn%xIXpiQ^1Vq{;0Ewy z-sGJv8Rm$_{5XXFv$gFhr+^JnwkQ<47krhFQEAW*T=tYtJ13TINx#W#O1LjOI>Xw{ zooWMLZYg9eMi@iXd|K~j#|L6fp124(q$VcagsbF}7=?l6uhSzHpI^$X{^KcAtjpQh zshpI!x%`?Y9Ym_D!s7ndkq5*oN`pzEtlYa1$z5dOd1R|82cExkX$+H1f3plXm;H08 zanYOP)dR@}>&R17`OGN3+)bRzs4H9IFO1N#^1TnEUmaK7w7+m{W=spMQnVi`uyHed~7DSWcP(w z0&z3ql@U8bqm8$Rf-Eu{14&7+ocNOU8JKD^pr@1_qM^2B*E#3n6RekVpYXib71I2K z3EC?zq|^pMO$|3d=0Ilx(P<{lqjp^mVz!q}DwO)>O)|+E`TECc1+|$PmZ<&%S%8IrXzh zhz501-#6XrZ;R%U3c4OeXk^>yq~o#=J36UgSZ#djTvrc*)G11}>J6@$5A`BmDg>gY$pOI8@=M*mBYX|WYg1H2k z2%lUX&pX7}eGeG`aFnj;>{HL3W`RA2v-Md$1BHQIt(J>_-LUNjXNd?uCxB3>19fq? zzz0oIg>Fb;wgxbC?JRr5L7v9H!bcC%cdxZ=e(+dnqcvT9rc-SsVP%(?mM|6?@szq* z2V>#6GphlR!f>ZkU(ikUhbdNxmCt8`9uSvX&e$ZSzSdrb6Lho@$q#7GAzH z9WRo|R(FZP>DVBv{5)8W*$aUnA&?e}^<8Tx?}mUL7iwC7qCj0!~7vY zA&H>UnX1f(XhfHdD5t~rtuIUzRG25_uUXcSe^FHCLv@Ht^y-8@{mgl}P!wAPKQSI5+?s`uwYI-RL_+mw zoJ1=wxNkfPMlMXHm#9^OUe6!dCe~wKoqhA2WI$t0LjZb(AVru9_MT%Quq5z$P>6+g zj8#dvZFY%sD$+Am_R;5;nF^`ofA*0D`x~lYC zOCCw7)u4sk?Tjq0bm6mqwGQ>(BNQgrY2NBXWYQxo){W7{N1;MT&(-joXVtT_LhOv- zglU6fgD$|}`vdJ#ElmcH^D^<&l!cuv#h(qf@JLuEk)sQgq!_Plr~3LA#gEvl>mT_$ zXFI%+quYL!PBqxcGM=a48sIkho3;867qlj(xW9r$j9jk^R2QV-L+7um=Q@Xwj^IqT z{8+$D5OBlv`h)Wl6p5ftNP;eN4sGkZ%|5qAt*D6uiaRpx(!>TPY8A(s-HY&C%csH_pok>i$; zm%@VpcOQaVN)2}0^*UB*XHhYkbZa|DNCHV5)`RoPC!F#)n?tg zNySUebw|1rm%CgVOSu!tGaqnt8!S5{PAH&-V=pk4Af{VgC(FaO`pQ3M^+Vs15ur#G=>cl|;nXcw z;chgF%E;leJI}CNjOe{GpCa9mCXexSFcwvp`devz!SaS8UY*M0`+m%$KCUkD5O9*h zw|)9XGDY+DsS1l5WFg>V{}@_s@`!637-0XqASUfY<}s6^1Qjly?scB?N@{1jhQxT% zQ~cuXdu@`5c>^E^4Ks=h%zx{NHH}DqZ@SUEHjhJN>RgI>2VQ%^C2}|L8ib=i-*w^A zS901VM1GN6eGsqQ+|by^`@DdcEMWHM-@pTt$Vsp!k4ULy63+ZJrq0M8X>~S3Czig6 zv?nZ3uZEkLF2OpYtEc#hGivkaQj8b-!3!*!W$hv0=1EwSuBBY9~tVPZjpz_ipEuz4yM8-vkyvQ z|9C3{46qS z+_FJEWI-=>_JuhLHW(LNtfT|E_jo=qE`D;F-}meMb9FZM=HVe-&{Y8o_o%9SJDq4F$ZE5I& z{mI1iH0POsCzscvmrA;W$@e?iE}!!RIuLUziwzV^2cvMa1pGb@)AuSusW!@~-ra`Q z_Wo`$Zc6XfCn`lW#I0>Oc)%I}{1?NTRK1mG{X&nv)gq1(ww_moOw#6i#p;!9*n&pT zg0{?XHCI_^R+cl?T_aYJJLwD9_7>4v!mqf~V;(xvsF5j}Yf%SsNiDsc#8wuU(yrC| zWotFNkT&svBT|yC1x-B&_+f_yep7epDWAc5rC@4ipRl#JLWjzb138uqgvdU_Hyxav z5nNkoX$u(yXvRhyR)zH{?y__WnR)9bBYF7#)Duzgh9%9I6q6l&T1@UQSHN!p?fi3w z_K_51(GRP{khInj<>bnn=Z0uXliHCe9O{azI#d6JK$43%BT&bgT~#W6b!CH;WkTY5Lr-JFSThCx?%Crf0hQqW;i3eIL#+BLYA#kT~A&Lz!BQAwr zn;)gNT(2G>+z-@~i8ou`~j;AyBOeefqsSvcI-ahEwXkDEFx~cD#kb7po7Sd`!xIJviFiUk@b(# z>T&vPC8pklRuJEk94}KdN8f(Qj-oqfb{X@@qR7%QO+$?@=NRBe*17!T z`tjS&iOMH6;s2peAg*6qxP1J!2{qx-`_St!&oQM>B9$dvY%w;IbJi?gX4R>o;SdJ5 zHdrKZ_ypVZkAz#F+};9T5;YHw#@eAWiK(T&iL6%fWR*$ftS4?o$k)xObYs}ie}s|s zfKfM}EY@uJ+K8zG=}nxUxjY@-xGf$5mLENFhSHvCq%vV`byRr$NpMDeyPlb)Ac>M? zxo+B^mbXY5huF@Xc=bHxv$kDAyF4l{ z4qVxVR0<>hku@Z8rD-|oz{acy5k6Y(dEhxmnj?}(FCv*AuGiP>q?uY0-I*N%G=!Li zsX^(V^CCZWGGL5AhuW;s`qbWQsM}6@=Qh(os1fWn%j~?J_yjwv)IWXklNjh&g^tGg zVDu=;7;{nHuSIlfDSTM`=)Cd|o9K?G_&ppD2JW{oXu1EY6`C3<5TB&Mz6k_HNlJ#p6up*-I0&A3=;Xnqt$Lj1J?d*F zqgL7+3eCdKofmEq%I!kKl?w!rq7nd+=X~-jdMf097{W&-7kTI7d??MOCEiE--%?e# zG}AiccAatQrl-j1PpqrQ@5n*a=3BM4<=)Ye`THbuJuT0!_QfOaAKIHU^wi^n76$69 zv8gHJ5i+#0I3i5jF55pZl%c2y-jy`_Ypv|JL>&lO(#K!|8Yo-^;$FQ~^o6UsGT)0V z9}bSS6|flHwyV+%;1JRRa5zKB$L+wcmK~3xlyi|U&1T*EUqD31i*L;~W0ZH$=`;}D z29KeueXHHEM{l5oPGPK~wm!1Lj`xpsS|emTBNi2r1kGjNrpzzxclMsEuUQS9n1)Wl zJP~O^UjIXJH&_n6UL{c}aRiBX0b5(LZ~KAV!CqL<-aA_F;XN%hM(4^p_du;rS+3N-qL3bQMA~Y}U*6K8@aDE#9VWZ8elZV}fibE|5T^ zxwr(TwY<&O&r@ods=tugAdcQJT(rMC*&s@+a-ZFh+ z+D?~>;wB)MsJi@0a5ygB`uX2Z)&<5Y1$5Di>PUjv z&HVcAhqSPvI2TpJf#Dp7{0X-i^y=U*6Abvil;l7!GBw?g-DX8$$D69>vaGBB4jmZO z;ElQ?UAV(E{$isE%p&;`E$fg~V=b!?o~W|65N(jc?`J3(LGfx^!*NViJ~V9_hmXiT z+hQ!*e+KzUy8KK5SHX@8s}`8e)x1IHW5cn@z+&) zPq0%SS5Mb;Pt*{qIrpC*L^~uV_xUeLPR5AYiW`-&682hyvZ?;^h0!u*g>krBjSZ*B z-R!xKZ2kd?ZvAuQM<)0oK{t@{(;4@Sd+Fga8P}86@NH8%y2}k>FHF=& zDiF&I54EBu|-o#Xe;XUhwTDO8f6Ib;^8~GL^H!-A@<<8V86&M{(i~e zM*)cGk@Jd}s`_u}4^n3n-|yfhDEcLRtAt(kh!hawUX25P+ubK#brYZ!3xu3}9UgO* zgP!)&$#MQUtt2&0%|tBx8~X7z{Aw=LkX%`fS*H|Z?7{aCXO3}((zY~W`X zIt4d>KCU^vQ*WCsvihRMqSJY6s;}q$6fA;HEDuwRC zZ;$He-`tPt;*$wUmlCHyT6O7*57L4_|2=^totTo0e**3?LJLA6#F3ZKX~~{!{ByFm zqT6WiQ8h(!HAa3u4k0}8%u4Rm+(FAw%zB(0g^upvHG_%|BD#$xGlycY>uC@%+@h()RwAbf5u+@4VM_ct@bo&H*)F3$RZsjNTjN3jCAP%%8! zIWj3j>|4TO-iZ6#TX0B+hwsX=wWviq#Bx$jem8@Yb9S0-8?do*`5u!%NyX3F<{#2V zw7Krhk8{IUOpq5o$(_BTirdfOp~0o&Fr`RL8x@iarFyU6$F)8Ioto6N-vN512MgQF z#J<*RU=yVYcouy086MZ=h=|&mc#&97Oy%!(MyqZ3R_k|^^5R$3Ey=Hl^D~3qwe4lv z3ivwt3gjfXjY{j90@8x4QgqnQ?;&}Ou8G`4<__0g#HLC|f7^X@B3p+H3xAL9M(kB5 zLc^G+cBRB$D?{zyBzKUmZZe5TaMi%|I(5w=l6MiP1-xW82({x`W?~|{n)(AU(I}So zrT2s*kzr@b!gUHf&iJA+@zKcf%m4J*p&h!aD1P7hDZV?r7zq!P8>QO5mBg14mESc1 z43=|t8zA0(DR1$mn2l$P#0!@G74utTE38vHov{pOLI<$nVQ#6mymRLRRqpC}HW5ix zXWt3k%1E|=QUcSwA=ehlVE`ufaisM}JoqX-m%o)P`Z5;H(66n=%z9V9wCyqN3LfVW zJEATW#_o4A|94Nx^6(`sYweV8@LMaJdaNY1=|=a)XF~4UM(M8UL=fd{t!xIw{!?3c zts<+$6eH>NawL?lZK-=zJb13->>D;VF7d5a{*z(`q5SfT+czemqnIDVm45nnLTA zQfpJT2-jMJeV+rmemFFYqon-X-?v@IvK)fTE8>pk@>HGSV@6b^Xn2#TyHfvfe$FJ4 z*?C91V!{BN#^=s4>($(4yfnt1@PFp-F|U>JxZOvSjQEeZGt{mzpc?#w(Jo6prlL|E zHM3fU6Tj`B;L!TtY=DD9?7qvDPhw}L)T{S!Pu=Ayp4#7iOC+*OZ0kcjdaj4R2&G)u zge?FU1|B);Cd>cD*VA=0b}eyl=}JVU_zRqOb88s-XD@anJfeFWDH)ZrwRD_~K%~YK zD0PoI_L|IN=6VyP9E`;Rlj{1#;$cO~V}Vx@`}BEVc*OkM!5ju6%LuepLbkuit!RrR zFE^6*64c3k0A#=^?5zpl2WlKxiBln+LorZ&di$;I{@pS;+j}ze7WAZO(KeJkEl>l@ zx|4V_`G$#KAB0$~d0fNCCZ-7D7k%j~TO__|eS{g7$Pk14ld5U>O*P~tUd|iZr|bXP zI`>8hFx&|~J&E{Tr$8`UE+8!7VgSiryvVBb;q&>YXSDo(JkJd^MQR9s-B3-~w836H z3G%|0=;*nEgtd&~-DF=G%YhdP2^n*~5v~uGHZ8XT0SHjQRb#m4HxspDDvRCX$M6t) zMy&6R$?#bN#Y;@UR#Ed=L#OQr`Rh-97p9P~#jF*G+<7)&?p0s%iNMeT$j2CWkOc|; zvRu(Bg)=%|KIPm!$(QM`%;f#eN#i6s3-i{XN>U36PnvlvUE%JGTuCBfLmNF3jOVpX z&%cUr(~jeM);BAXU`r^64?`y`X-{IKw|HZhW`DCY))HgVFR^F^RB>%O`&b$MQ36@@u5!LtooCv^;lb9s4tPdrDf{!1 zcX*>xQ%Vk~uX*wYhU}aaMK#CRi2JTQBIWxVCXW}=zWk3Y!jgkZt1t@;i$@}ML5kmEMiy^GaUNY<}u?SFoM6{Pj&7$ zZo=D6g}Ibi|98?eepvLzcbGTnQwZM1xbok<57YK!4|K%yq701y3P54io03FjSmOl9Ep(xFK!2 zMuyvzbdA;WZEM(iN*_8Wcn-9wWmx+p%N^?{A5e5oWo_a7)OQA+nqOZ6@RT=fT8AQl z<)UrK=Zdz(^*9Y5-4Jts9e85*U?&2=8DlcqEW}1hlg=3^l_lbPXdGWA}UW zNw@GPoIFTZ*X?sVge}ESHJk~FKpFGpnW9}Jj} zkeomoA)h|z$J}7fBCB;lf6mcC((U4Gqh4jU5m<4H%$w+ z`(wIW@{L+n)TXKk+{a1986aHW32Q-+H5(d5-t=9|7x!}(2`e6ca-Xb^dN@x|{Y9Pt zugIm|v+6e>+cl__eo09Ui?y6k!qCES_S)vU6K7kba0UdHE0XY8M3QC8o@hVs%;~(& zCuR{j)H+mtqa^}K(61#@(_hr$7uK&atQ zo(7ymKM^ZXkkYS^>*<2mP6eAb1VeWj%n$#NYr~tz)XS`Iu0yS)o6$D^#c8wh{g?KQ znG3i6q46WL$$8m2JK=ib^evGNLc0BpGct--ESL69Sa7XVo+>tNXKbJcW%h4QgoP7n z9$6e_)Gw4F7CyeXA`cWy?w12^ixw&Rr2Zb?rTF)vdo@DsF4{*U%jS~#7YQ>opm-$- z$0Q*9K2P#?^4ES0>T)~D>x=S){tSu4aU~hee_le|j*5+%0)-#+OuqLFElhJ4zJM?9 z#S5l-eL-V0S>_8BR#hMY4)7Q_cCJ%aXhP}A0Wb+DNI z&W}o2Ac$Qn=8Bje85P!N^JW(a3*R^QW{qx;^|9A#*#m?ZQMh8Nx}AKn)OePq)SH8Z zqxMXj_2c(+#oXLeF8w*&B^z#BHMb(@9*qW7JPX}Pa&3p4=1A6%#%{hM;>HZ(C&ndA zEjKf1^VAn>?4H)M4r4>Z_A>YXj`Y8;l1a=t-;-$(4aJR_|K4XZ*0t#qS{al#CDmoe z^&JXT0Kb29A7qMi`q~6!I1L)1IsT_>f>gRbyz~-&#Sd>~=Pu?`%N^nCU5yu78B#YK zd5UlUw8G1GH#aO2iBF`XR_je1&yqOa=eMiOboa5PxcB%bvsV`I-yNJB^H`PuRu;g? zZ~K_;@p(&~ea7oW#}#%^Z=4Cf$F0wo&WnHHsU!Z!6VFKb8ac~C$hKp{cxfDc?Y^H# zpQYtYzMsq32O_`!KgarC@lGCpnY0bB>$7Y`&FHe{CzhSc5q*Hd(H=*KOn(P5-85l| z1=Xr*-b|YDUsWNA?YkPZ_(X7CP*PYLLhy;eO}ywNTqOnP#;aaZaEXE-PjXAxBCr?u zjrAa%(;g#hIGL4V-BjYtZO!HFG5@nh@Dt-m-~DuB8hYa4Kl>7o8Oea){zC6Q(Ws*r zv)-`0VuF_~dDJuW#?iy)q(ZCsNGnbhH0f9K5()VgJ5@#z`kcs1)v2a{L4=>LWgfQv z?pr#4?Q`Eo>*5lit&?Z)2{!%q)C)!pPOifO=YWR+bc8P~si+Nl^=AhNto-4_RqLjjyP!oqP?_qfEZ*es(>1RV&07o)1y6V3P3oobiq?*qWM!op(&Gw?PWvi+F*CCWWNq4U z-JsOmo*t>`vKg^m_nzMw&5=WwA#Fp0NMz`2QKAtILoHCT!D1clC8Ygojbv8_P29;d zlthcW1GSaByWhd8y7-nw76KgJSgq?r1DWaGHtHxN4M+D72E8x;!ke`mg zjGRMc%sr&tQ|zC}OxcW`HFWC&fet)|`eXXR3Fxb?u+w%*ZZve|^T*U9BIGrS9NY(f@^>a3 z{B=A|N|ldgq#K5~SLJ0ENnb*6xkNe;1Mg?Lg4c?Hya3h#>VWLpt_!FOTctxtAg-$fd%{ zr90VBv$ZxyL}Bmzlx`JF=f=o)CPF2%Y9``;Z4LzVH{DrRU&h$QieLnAz4@rR{~7e` zl!TTHYs$LRoyg8B>?aE?CS54icuyT1vtXd6~P*+{mKy0d*~0a z#ad$GA;MkCs?S2pmD zBkC`<*K`4uID=LbXgT#hZuXHx2l$!m+B`0ai;J;K5q5B=BVj@152y;nYJ)M;>_@q* zNvF?}F5j@&{m76Ch&3=on!2r{(X~0{#)|hpL*Chn12n5!Iurnlv;2=6oS8~EnLM?wJh(J;BTya% zo|{iRht<))CiruY-xudv<|JCTEG0T+l1iP6GJSa}t4j0_rXpb(zRh&^86Rim7}jE0 zyvbc{bj1{Uxv2#8ds6$S@^8?95>So<2gv*n2xV5?VC7pew*J#YlQb`+im)+^HXX4H zBita`!$5Q>D!($t&%VS|LT1+rn&QANRep2|KAh=3bz2Q$Bxa3y`jPv%_s+B5*%Pw( z7=UYIHB~-umuwJTRpXH8OxFEw_YP>;z%n7%Pf-5v)%n>-qFoR1_UKR7=FOu>uA^%n z^Ii}EZPgTy$c{nxMZ#-(VnAE1k7rwn1}$imo5g(eByhlz+VNIi|2F#eIHt1Zd!p&W z#I%LMXEYNK!dlH}?>ks7O1|$kekookNSXo26+_>>Vxzq^zMZSqI~Abe&^~59JPW$O zlc(9D9v;y4F$)jiWi{ooO{0)=rU#K9ogz(ErLOECq`gEA-d~LTA#IG%vNVtAQCWLd zuFQsj&Pm}GKe*HEf8sjdbGywK)r-FAxBy@#svhGi?E4X_fc708p`2#rgvqL%_W7x$ zUHdmpI%22Xq9=u8$*Y|fhPQ>`Oh!88ymCXQGU+Vw!@}kD>DM_kOwqFiBBZ`j;c5Hh z=~r}82Tk!-N4OYqz5bdDvb0Q5a55_G=-X0$3K+fLzxCHzZa?r(opOJwRdQQ-?5ffD z=WAIWEK8Qqtb-eH?r!=Iqi}KG>knB+$|1{LX`3c~vERqvTZllxL zArN3MX_1n-!)bpcbKQ?$ZCE8!^Y(00E*Bc=SE_mHdQF;WWoW&ho67a6s?^z#BRcw% zm~_pd=fjtsNZz-GZr2mPN7WNI0vbJ(E-8jW+#hXj2Nw6!x--I!hU%VO$GdYM`McMQ z7NXbZmiuT@6kbP~Id%xVy!Ps@Tm4Z0hnQ9U?K*gi(JFhHyYJi<`TO(eC&2DAj%baI za5~FrzW6%q$aA6bOvEj;cX-dT*8wQ_D-h(mW4N(aIjIQw6cJ~cyXQQ%UDf>=RAc3= zR)(davzlnISGmlmwGkc`7g!&rjRG@(nAG~SNv~VvlU4G79~8|GFI4||9$QnGl8;3B zp3hyHxWW!OX-2+TEdQIoSC9#3B~a!7P)Y!tb8{6A^fw9AO?nkp^-{=eE0(;(u~iyz zBR@AGhv6YggC~bZ8yPU^+Tg@HHkQT0Wqj|@xSgIsF&vG7%iN_tr4yATC*CAu5$Z0^Q5W9 znN>iLOM=+&1jvsXw&fL~t7ZNAx|jbPepOvt##b<20sO z;p(`QwV4sm12La@D~=BZ&Ud1oe!Qx#n{Mbkbg=L`Cqt6~fluS#UGhg?=m0O3SyY@YmSdi{F0MffU4hsgyV#jW++^bGZWO=?gYyFcK z|I7s7IDJ3BjumnTjfS^0jrhTsVxi*=tL}n0&$Qb_l95&Aj$(fopk$8zs!#}`R zIqt%IGQavtDD5bxR{;>|;Qa-|Ca;KSY=$7odgY5zthXFfr%w$W^5C5XcyHW`cFBezf|#T=gL5TF2%B z&;GdRXMl<%_wBuyl`ky<7l^yYeLVEzA|JK87` zCFV66LI&n1E*g{|V&`mS<+Wv79lGAx*IEvZ!&wQLsgt8U4_-TU>H`ZtcRqG7S zwC`sF%J@^jc@Rj|oHTm75~+zsF#0M4G5Ru}#Z1)yOP?JKNiWc@wTK8S9lTY z-&~Vm-{pegMzlgBmUkRHb)^nHL>S7#_pp@Qx`3ZzD|4unsGTU;-|vZ3UM3TVQblRr z9Pl`5ryZS|qs-UGuqwnO$FL4$r*kX+c;odM)NGSJ?qV&#IC=Iy?BpHH^Jx-w^T15B zHq~~Cg!9pyb4UM=$LIK*GHuhqVb`rlZ5!U_&k(+_ix9*WZD_x}(MU{*NE=Jorq%Py zXX7ubDQL40MKZ{pb`f&~?uBYhQQVm!k#e1>>M2{0rEu)VS9UQ}bMAviR#)1+X$g%F zADXsoN#(>Q^oj*7!0f+|&XlpfBB$P_#Uu1m2d+QI56siFMaIKrOYpWW)f)Hi^x|ds zg1Z+WbzHNYvBONwYM`-H0sL(*!L}wa@G4ma(Y1rRY@)bP3VbE?IS zKuF`|$15?hWQ|XR&DzEyYV8C~Vul2yTIXVsIkgiG6pg7H}_iyxt6@4|K~619wODGqDWC@7L@HWPD0_v&2HgM};!_frNUu)7zhp z6&RB!`;HWH%qxf@d^w8{JnPi#-%?V(*Jt+}Ze3lg2<3fr^XIqvJ7&cyP4?)BI@`Pe zRwM8WM1Ibr1PUVF0i6S_ZXp|`xYYDb>uaN6{xh3o(|cNj{YJLiqqx!I%04MYIDPn9 zwy#rU_zfOV@s_pbmmOwjk0@AdxP>l)eR5fC2EbIyH#>Yh`T*Tncwrz4xqg(hPe9Nb^-8mxdJXAlW#&Ce5(Hr4#rbVIaUE$Ga=}xw6#ByAZkoNYvv{#-d|Ir!m4zX zS+>FsjvQ+V)>x{BHGuFJUHoWkU)@sb!V%B!KWJ)8c$_a=%1G?@o8>{oY>Fc3^@29m z1wSO@l~$&g5(MDa1{^*_Y}=c7=d8|hut^qoFL5X)9An8x+U+?w^x`@zmlzrf-4v3_ zFBmrCz^xv58%a-T1gb3gN5S{awZ*pB&F?L1f=UfLf*vWd*4%#u5%4lGjP^PVU3Ik` zx2J)VsmFpViBj1M_B3rt4}Wpdp5|Ju%k^{S96l$>utt3E{3W&aMgw%eK<-bGY+bj> zA3=RdyNXKL1he^H&lffu*ltu>+3j`YjC^?jE!ZV0H!c;k`<7-`JlPjMje|$f6Viv^ z^jEjatllRDJE@_XPXKU@tUKB)kl)G#jt==5)$SBKhtZi*3nRT<7;|3HN}By)sm#VL zA?}}vp_NhvD;(R)?<4MhqUcDJzq%~!xRJ%Zj8QibXivO#6<52pzbSGjtIyVIrx?`3 zakyyz)zoB73q^Aye`A7Jd9!p)fHD97Uw!pZ<-zyJgH#I3FWR+a?<3f3hW{SF@}U>G zwYaR4eZ{^m@S6JJnz;vrzP>zSIPtK}!F#AY6lIKYnypg0C$U4#Y_Eue_rWQTJTCYb zrrrm~)*535#8$g2xcZJuJSX)&z^gDGf{TDYD}AP0a}XxD_|?`(F1H9S0cT=?*bS>Z z+t{#g`idi~I1!FZvsZs%rm%{68(e7%h3jiI&quI%=KALB_>E!PlZWkNhsP6#7VT6X?~K=uHhXc6&0r&jL@kP17#=La5#WZ3QR!v#w!^=2IwTPq?J zdMRsKJ|w&~cKH%7ymXN#gAW&hkZEjo>0V zppHaLj@Z7+%qCdXYcagUD)LlJQIOI>BkpouCgDKM)SWSG;}}F!UxEY0!*Ne&upq;d zUT(~XZ?!BTpQTjAzu2_$mKMrI;@-IfE%fNY!LYe(?5)1=m*6?OIIi}mX7+5WzLC&1 zqkBP(E-~SL(kf1w>f;zib`y8EVxubx96J=BMNYC;rYoLHAQ<~oc8HDKzT%tF;CRUT zAv)cfrGw<)4bEY$>(jik|5st7K=)M;iA^3~)$i!>31V1r_^Vl>ekDl&W;Y`?`L@R0 z^5yZlPMvw_naygSDq3Bsz$NQJ5Ii8?c0;oD{SXoqg}y#fQD3#H)&Or^$iWxA%g)0{ z=CA9MH{anAw$0C2o%8EuwDg~YS@FAdrB&hB+osn7C)^NzYkmF`r8V^Q9KBB;fey5; zJlh0w>eNA^fN%IX>HRRbhe`hzSdSlkMp;I2; z>!t@Us{5l`D|b2AH!>jV;vuZUT0IobT#X+FKb(}2A*ag z574YPbnjSCSkgs$Ee-1lBh5?Zp%Z@_Pkh_sexrLnT<`H$;*?>x;CG~YLyxfY37TF+a)lLP*+7lVsNIIO8l5cZ>xtKbMb z+|)JVSGvu^nXU{g?Tphie?-WlyNdAgh{&OX$n*OUk_2~jz$|vtR}b&i2jNUVUKZ6n z(B%vVlm0k_y5RnF)NYoiI5b@%n%-!y63DrD#li8+x}y{8wiBtvf1ngO-W`g;HNMN6 zUT)z;k##5SG1WIBfqNgI$i%_Ewry_crukkxg=glKN4~8rmO~_F9v~@VR+W)&Kc!Lt}{V^GR8SE#X zEjd}8{5utS7t(bFJjU=x092grvr30&>vT|e_DUED%&FP(wQ>D2!4&n*nGU$ zPP)fCS)E?{EwNqnf8_cFixBZ8Vm~I@4QtLAWEu;u73lsG|6^16YH-KAdfq*&&seMF zq3T&W2-x9kYMV;G5<84uk?$5{Fe{ZigiY@Ki;QKE4?A!^rGqr9!0VU6@7ZZREvliUKR#@aiZklk-pNPm3N;Vh>s1pc9oi` zz%ncX1!d^9Y`Jp>Ui)*(Wi^~03*4ZiKj@0kh<=f+UtQi4UD<0emFYd2+W8o;7Qm{C zJBnA)_FFybi2?IpbemglUFu~I;|bRParD8CI$pZSfC%Ti1(ycW5mqYB2HI`V6f1aI zo1S3D%L~L|yhzYR52AP)9oTEO9@1yMX|Y9C7GWrX#K6^ihi!g&_~ zyp%c&G1^`%+ng>pRBnm9bqq0!pq%%SgZ8>ET-hRQajYzrXx{yzx2|e*X{xjcA-@iQZ_DDuCpIu-dhsi>h-$hGf>^$+S5uykz!_ST3T^rXsU z1GHpLWzth+xwpvgU}YuA!{(2Wk^({gumF+wbEkbc?PJomb(=BD$2bXIM`9N-*Dd#~ zQNv1g^+r_|8(oS&xwk(}KifeDiRyrNuBORRRE8R@sa5Z9I&Wazt8bEfCfa(wj=eNx z{eLJb14_GJa3j19?q z5F4PO>kMP7ey*gX_4Uq!qZ3LF6!F3N74s#yq1%ZC4T+$=EQ`ep?;p;q9y0MHXGTJ_%?}i9O@>%bb#dJxY;?Dm82@oR6q~?se?s4++ zlF=>c_&p)Bo8^s)*aOv8PdP7TUsW$;_;vETr(XBVwxx%f6oK>i(jI_tH7dR$9FYDx z73dx)lVKKg<~wf$?5r@}?5DP2bLOkMk=pK&;3o~4C4IQ@c%lO8`+(vg=x5?B5~zbT z?AvUmq=qnVCA_9HW3Sq^S4eCr&MsKQy({k={>`iQ-hPeIWB72rVj=*^fqC$iveb+q zakj+AHQVY8o!?|k9TeBxE~FaXjw(+vc7I?_tLjosy;%y%SC{sm$G2ac4_kmrScKwV zB$6XxGHB?FPs$wH8)v||_vw$fR!U<{1z8-+p*Ob&%Xdy?7%xs!xuEOH<5BO4VZ|J^*0y-{N_>;2?g;gXX+c_fz&`(1^Zrr{8MK{y199@4vb(WD4k~e9B_mbR5+wl3Z z7eM@x?k$S=@~cW0K2ST>8T`z8k!$rbwq8TvQCB3bl|r!Z8)zY{(=!qbB-GojvP^Q9 z?GDPx0qo>f$Cv%zZZ5Lr`@7a~45S%^iV!J`o)W^|<+`7&5{4{6rp3wX)%rsV=YE7z za|~SpZ$6M5l*$-;U~N5qSG5z8gA9Q-5tX{3>GZ8n$6Tez@i$J)dGB4*tNMqBTnP(P zP898^mfxGDf4Wx4)X01zI-3qAV|)mlb?^1Oz=r{cNcBZvbMy&O!NNnQjWGMjhqZIg zwz~NOTwI{uJ8peEnf+^sI?pH`38bq(k(320?U7xAcgvR|@AO*z+T%;SCGQD^Aa>?4o8g!;ibz85c?z>HKf5j(P|48J>NMv|j8q4W;8Yx&HV zeeN~6Bg)^(ei7#X2RL%8hFH6AL~Ct`rr@CoI(ePto8AtYA^(1-JJ`60lfAYBE8>2A zB)EZX5?~i=b5F=ZQWX@j%y-vo&^%GG4;F)*s&f6ec9k5zntDA#P*uv~iW~%T!GhzX zoJ;9EsGt1tK+M$ac)DWt+y!K&cCH#heWQg)RQLXE;w1Zbs@6aq+_d?t?=~0d^@w=w zu$Q=Xjfj5tcufN3(xT1%IGMn!h0%9+B5NsYi9!#DWLv&>^@=@-w+d4pi4#8dQ~0sg zcNM@bsqvC4UXv!u=WE9t!E&6!TwKCjDC^j?Ru+Sf0NZ$~RGiBgMti%Gui5T=ouJUn z`rbo6@eym=c^%Q3@>25`m+g|#!WsWoZZq7P-Zyv#SbB}Lr?7WJMZCcRnuz?ZT3-1PjI zp8{+dl{X4hU81luYNLlZ^ts0^gRKXviAnyf2;_IIV<%j?CtifuuU0=kK8sc!EMQM4T z6quFi9eKtBb%7pSIyAWVeQkfm$q%&uidg z^&Vq^dR|R=5ez1H!UKduO;j84d^~+SqX5k(zwzP~)AFl+q;*74dE!Y9`OFXVcTcVG zR@|=sr}xEgU&WIdOu-n=N$qXuK3iYe&I`obbJoIDHRgyvy&(SvefI!KGm*Xo)i;(? zlE-_NgLxRN4&+)`I>yvuE^k4QktYJGx$vZ-?zm23CB3O}S-?y-dfGj6uRMGMGnk4^ zG90UUJ7m+ADPsTF{^rR{MRAOQa0MHR->(Dh1fnd`k1Kz@+*Y6REUuAGR=uPwrTC&( z>^l1Y5EojPgA-5q)Y?{;p6Il~CFs^*{mhs_64HNOT2TdxAb=AJ@r5!xKFNie$GhxXNC`S82#ZwoPP095v+dkWZY>c_tZ+7 zmeLfiH=p+W$XC68Vm}P|UnmgGpTh@krYu3D zyXrtb;O&^v+9F?R&|AQMw*M-c+A+gwRV$pWQOQ>QC0s z%5xFI*i@XWpwm!zT;idYCQg%WxeM|AwywVb)hJF2dwK~@L%c%a#pv&HJj<~Nyd^GP zXx+rxZog1j#ia{G1cFGj+WEH%WKu$x5T%5I97~wYsNNy#jATKTWetZS zuBoZo)*tw=4`qmdKlUq2id!j%V5n<4Rcedb$fBSML7{=;&SkJ2C%u~|^+#TDq`$J@ z+rY~v^_06Y;Zd7lj3V;(c_x3Xv%{)VC&3; zTk}~~$HCgAe)BlEPoIUv!9Yk&X-TomWO-Y!^7p={ck3&CTrJ+>5)x9)j#sk`^s1}} z3%ICoM7p->8 z+hF`BH~3|o-i4rTiI?BMI>dP|UiJ2`wJfkzd0WrO=P#F{KiGM_*pM`~&cn6eAJCZs z|77BImmoF*d|p+|hcnFATq11PS@Uq(hV-3EFUs4(y6`xChpf{Qo%(r9s* z%lcG{=QJuX9#;9s_~88|1W|?M?j~=RKBlu*PscUTLrD9AV(|wVE)zF^bOlcuNEU_w zJ6Y%nK=rf!q6=Pd59^Sa%WkObkod1(Nv>|(1Y|M*k3cV1zk#Je-|gh#RHKXEcZ`!o z`B(HAuljlNI-KV#c@G|D=RacoAvl^-Hyfm$_lR|}YT1o;$huym&MX6ax<@@F2g7>j zcs2OU{+nin@x`V+5y?>praIt^Smdu3h}&pR9O(~)?*RmQrPYZNQTG+|YRDSnMay!f z!);#1$f;|`XtJAnk1amuf>)R_Z{4uQd)5&!2u1o5zfhVlj3 z+&QN9T~XS|eKYaQ`H1xLaLx@rUn6d%%K|VvBb{w}3cGPAqVk_v_`-TR279mEBhEUP z2uP+lV7v*wVom9V#4J-9$47tGurXm;wvk@H{@9ykf>JejP~8f~hDIme!TEFV1K|b} zQ(+)$AMaw?PYdA+Qvhs};;2|h`|E$YD2jmS`hk)2E$1*oQt{vMO5VwOPDVEru}8Bf z6=wHe>N=KOxjb$G_r1#>s?irp#dvQUAIR|TzQaJfrbyGbD=gaJ1P6z7jZtO35*xag zt82yor+EtPTN7Yy3x8>a>m8J=S-DVoY59oJDh)Q^p`ZD#NE2XoEl!@F5qJa1Y2=3{ zV?L#K+Cs;DCK@$BPQz!ebCicrOweMPMsZ84$N;; zgi7B8!AHpPTF!Z8Md6M?Alb&LwwJm^$G)>QdNe;*e(-Kqk?>mZo#t1|+On|*#okPw zn#w6=_NLJz{SyXdz8S0QBW861mB~j%SxhfgpO;8WOwRT47&zFQ0&^sw;EQA@)sDEA z+WjZhns|LnTn)rtLzorE%&rH6ZcY*y&2=00yG78C7+60&u(-*({&_(+Do!KY;|$4RLM4=-h;hp`Q`o2OW>Eu zyFa3p`X=kSgDy>tKv=1JC~}#**l*<_M*y?FxcZ13s0hZp#5ijZKcu+){L=)F>$t9{ zm7v85U*PHj5M6i~JcC1^2WBpQ5C?fYX!Ur`2W&ob18!bZE*x6@Q1Cl1fLuVIMbWC* zXe_i}7RhDaT`u!^m9)0txvB}?R9y+B%6-1F+x2~E=cw^}Tth6)%t-5>sBDty64Y}z zK1kp&o+u9@l2YF4LHNHG^QB70bPns`ZDN?bkiD{JeIo?LI8o+N9Ly+ylJ;7GJa3VR zWk}Xc#y$XSqP2eZ15}I33J|XyLWRAD4SErK51ynT7OwDCGY8i|yTqmF-JJM|TUGJT zyJ+>fS#2rZfmhW%lr#?Q`t2s;s$(TOUJT!R^^(@#+pGB2R$w2kuQ@hK=!Xp!FRrNR z7M`-m9rFI7ICrPfN3@?V8}XrRIwB=talKp<#D)Qje-Xd9=qsATfmEmmCGQe@ ztNT*)>!w)zT)fq;SI(bk9_0zUWKv_b@^@-^>t&+S{j0O5;;48ShtsNs}=x! zJ)B;sXfAj2%dtI3`T{2$n1oa5xPJP$8vEVV${PPM@;5DnDz8NEF5399$1Ps(?ELw5x(rOY9bGvD4Z?!#>JBxg*pu~6%Z zuIOFYQu~x(PbuAPRz>IQR3abe5)C&`HOt(@7wpCEhv1_E;MwO`$Kow=eQX0^*i+p1 zWsUi@_0f_rVc*JowriuSGgz?*joe_G?@`w-VdiI?!Kl@ zrSt6%jk`2}o^UBy_kslw$oEYF0?Q9o@wIMjqvS{dgXIq+t0s_&2KK7hc*l|YmC>CQ zqkYqC5Fz#mT&VzgcP7!WANu!uA`Pr8iNZwm!hiE6oxh_Sk zlvtVf43vGslkL8T^Zz2Xo@No~hj1LcWKOf`K08cGu#0?j=%QHsTRSawO)%kRAG)~J z{a>^DzF(}SN&UAzN<0A9*i?Yusc;f3H9zLy;8A;!s4}r3NZm(go#1!s;0lE4O;kpc zk~X`Gt$g2KwB5R^fHtj^dmku~>yxtB02D;zAZq`_=F4Kkpu>SS_Q$2X)BkXY>11y~ zEFL>bUg+1C5KSa+sjZLN>>9VT{Gd z$?M}>(F^^k>4!(Zp8@X8y1oMHI%(+K2Lc{HeN~W9_<0ti1!#(|V>hr#=K}-B$6%sT zDFSdUxFn_US}N+pEK5tB`>#{)C4tn0-5IkrFEKIu73Wk05EugRQD^y~w|SPQKsgl3 z&ZWQCATg3~Ht|&=+p}a=4w_fEMvo=>PSL4!l0HI!)7EugT@K%Fm@Hn3J@6=Qp}&E< zZ#ceu3=NZV5&j`{bvc$rSmGPfkCgQbEzkH=Wd7%ecZE%Pd~`RHT0q?6(2&DL6%ERd zNQJyE79lZO#*L_38>Spl2aGCEA@P}?VauL}?j{=?EdH-ssdJ9^)^$0km;T?Gv{0c0 zL$h;ll)0$FLAb(Me_o}$$FIke5$d=Ag1a|n+)qETIR|t^CT0m-$ppTSttu%y*Kw6v zN?;LKj+|I$O6eF9TxH9GZP42i#;+xP4;lb)$1NOec_aT6AH@Z>?^N1(JbxzeGsLQ@0CcDH>C*BVRBdT)gqOJQ^o)o=a%;jHK|pSEuzg%IIH zzn}=r<{`Hrh>Zm5jkidmzr%rJyk{tspOGAlWc8PD(zbN&^f7KT>D;WBS{o25^y#b7 zdSV`G=FJnlJn^G$A^C)>x^v>fLgsgqmXMAMxysa7bc)lTW}&KO3a-#~9Xvn73k@t( zta$imcd$nD1waMB14VVV2+@T`Ql%~fSk z%QGQr3Pdd_|8Q75#(17kEFR-i=dch7!{PSa#$~{6FERWDXGz_qBnkOI^8L&%_|l`4 zbJ&%n@vsk~ci}>CB@>rGjzCtVm_%|sGEyp0A|3T%HTHf7o@U>Pv$nng&<+Py*#+=RK#904<7*ZfX%Z9z|W>vg>UMkGpPk;&7b zfmX}=8?K9?gGh;k&#@lZq|+6>lL~sBvfWz8M0oaou;NlIH6aYQ4@FCO*r|2w4(Z5g z_;&4llq;KbsZETc3&`Y3y!1ME*_)@FZRb2O?KkxPqWu`qYe1pmd}u$7Jghl?16+_- zwroHKC7PCnLSeqplH@Xz9Kn)*mZ}lN|Ju>(1r##=*)}qFqQ7FJ;L<_&HB3)%Ve^yG z4O(a5rUTWKs!NsWtIpuI=4Ayb&iGVl@DsD!$$i|YaNaSO#w^HTYEDI0SRfwmE~TW; z)0aFgiOb)PwQyk+C#`8-yzXXHIR9$*dq6YJ<+h(MvjaOREaqBUaSLvda0P9kTR&8c zzv%E@{M_B4P}i=Lg=Q|1oR;AL$0lC-TR4`T4=$z2R#7W^>LZL&$3YQ5xlnIpXT~qQ z)&nf*_%ycH+gn6oOX`96kT2@b4wy{ zsvf-~WyRp7Qr~>*PVa{fhazuXYYS-{%_IG3Hk3TW)FsB_#Q946-`9sNxX^t{kLzLf zb{`M8&Z2hQe(#Plh^YK0EPm2gwvvWILElh65^|or&T;S4+!D!|@w>&$fJutDRNmrn zYKXXJB=rU=$lBRs3q3N+BDoLid-J?zQQUTOUaIZ;hEp-s>vXF{ii%(LmDglgQmSR2 zS#8EtKnv(m2F|R{oPRAe9>Hg1n0{F{qbP%G2|m#Gp->TFLX8x`wH@zUP@~m!8c9~E z{u0Q(rREL)GCyB92HSkalO#a2zi(-qM@ttSjCAr`e1|ZX;;EEqcI(^xH$9%HYEO?{ zq{g;p52EByKfzbzb)@LXFyneq)cIwi%x!99(8;q6jRp6^#g;moz`@WOd!C=IR+}q~ zGH|LniBih*;GJF>lW{u$tU0h8V1M}s)o#?<-os+q9x#;rY_CJAzDI_GZ-i-~F*cog z$8sc@dW#-SeZq;j6Z`Q_tc4pjg!MrN7P9ri0n)evtjt&`SF$N}alK;Y5Eb(&p$n&~ z-tJC^;PJXVfhjHT*#>#WQBBCggnWx%T<|-Wy+->pETa0|>;;Lv<3AO{zt18wyk0G- zvJrJCM$5-MlA}>1lfNhS@T-ve9X>jCekSBg1YiI2Pi`-RZbv-`G$Vc>^Kh|obo(f; zbhY~AEMvKP+HrKzM=QhAeP-2g$PlWVBGO!2orZFH9~bA`=s&&X#iPa)pj`6J%Bcie z5*1%NN0$;REA|^)2!i$z9U}W4E=3TRNKb;NJaw)tb<~wx;+tE)NLy5H8Tzj~8e^kd zW=hJ=kJ#HVSi*`jMp@_bWXh^!dHA}^!dG~J;Nig{+Q@-ndLf15A}v&Q^i*HQ$@!pG z<>)J>l9;-?T*SLoj-h%&U%AG!-hJ@XWV^$ckl-q9SXI?Yw5v^l?gVybr>k*&Xs1Vg ziF+uKg4NQzH*Ompk$L0L^E2I^sVn-X{(IRMb6I7wY5tBrF2Mo) z56|mok}Wsr5A)a~s#x<$oYW?CPKK+k_D?RDn=Q6}7{IE2?FK+hG-%iQc%*je0XiE3X`$s_v zHC8il8I8`-8`N4zClv_044G*uNEoxR_j>KT2!>67@O;tw6Nxa4)piQpOcYWP;jZ0z zvi6o6 zQc~boNhm{&D;pk;YdcHPy53l=vLV;B>y+~ovS_2ah4-E_MXHpPJkgFL8cp;7n8$q8 z+?$Cb>Gg0W-(S5XDafmqZOov0w7>XFDb$op!d_I@`eG&UmEM#?3OV|!=ig-6!MH%1 zH@erv^g29yOJMLO$wmixd?W^O(wuZyZ%7sDL9nWIXz3xSbrb;6Bpx#q)_e1^7ry9C z3;Me>PfAO2d@pIinKDy{)yydMSOyUp>xEc-uP+O`s6QUn-5dRIVTqyDeo9}r zuinom<_nPK4rNHxV26JlAl+~;Qd2*B^&u1@pjB5at;kD+MF0~xCs~NB%VNYEWzw*9 z84|Z4;ixVM>mWeAc9MbevYOBHm_r>M)FL`btmHFj!DTH1HxXGxlX{fT2QOxI(@Ak6 z1URX|T#wO$@AQa+$RA(dx48JHq@1=#tUr=*vWd$M8-8>2^t9ROSgWEvt~bfvR{zqG z*QpM}pDUN0ZfPYA!_TZE9VCJ2hr-B;9pp2Y;Ckf(fer&S zx(cZJm4ij_?n;2r4IH%_3FHi%dt0|XC<`zYE%1IH7cpL%K(A{x(fzTBU)l~OYO|Ld zQ9B+lH&)}my~ZPmU6L-Sn5Q@g(X4>{#-W<$#Y=ziUHv!m36zSLOQ2cWJMmLs1}~R*xOHaIr@&yeT6w{G+EEBA zb=`}H>@fxg$84qfG3^QE^Q3pCkOzNJ5jKSocaTO$I0=(0q+}}y)l;SNvU_fjLIyjs z_lq~j=H$fc5W+1W$x+nr_nBUx-0#dC4^AoyIgll(z)~AtQ6iutQbh~K*pHY&kbxd!4ZK_+V(@Dir zG4M|6$}J|Od%ihBB&Q?i$SccCnWpw{)`mb7FM4!eKjNvgF-Yw4TMU-3eY;1zTV}T# z>=7qOfg`c_j{HRSYI6k&c@Ur!+}v%d82_vy+;i-Lm;1uwFl?&W7i-J060qraP?N3f zU@9<#r8o7Vcn{liMg*&rODM7j)QC>;>AOq?C$=g+A4|*r{u!wop!4TuTAdn-_?R_9 z7ayD(MvD#}09+4TX9}>E#LF9ofkU>~MCy@6O3Q~7saWU?qGCP9L1Lm-c)l9gXiA>Q z-{@P{uUbBv@0FOZ3#+#D=#SqYGt!-_v* z9+78KL#)fk+qN}^C)&2-2JlA(YcHR!m$ocn1h9h#${nLyhVKnIwDQ0LZoq)*P>OxG zhK-qEW+T7a8{pyk=F)~Ptmk&&Gk$JQlZkL_(}cR@=(}3 z10O2>V&tSD4^dWzdbg*e-?kw&@o!t)Wy+Y07#vYJV^dhlve#F+$bq9gAE@tcK33Ni ze@hKr!@~!xT)t;dpnMM!>zB!KL0BYBm{$o{`!K4`G80PehV5oXZJ!hg%9Mc9Gs)C` zj?15UH)b9@M(LkK_1~2NpPo5;HDONFZUO>zpm1 zX^LYva^M{a4Z;*Rh##Cne8$6!#*$Nz+99|I-{g4ijkX86*bsI5^9+v-)pvtG)t~cO zA2y0TR%RHahkd+5VW|UhQAp_gM@M2vz#L$yKO0BmM ziLGBeFf<;Qprnger+eNS;qxSu^js8#$~||6%?At@NkTAxX6&LK;s2fwUMOLX3?&hs`$vJ zU0F#V_huMtg9N<)LLVcqNJ;@BqfaD%N2_mtBGh?dgu|Xp67&EJ* z-d;7gX!w%ZTS;lT^+1?2$*4g?B|hm@DU$@dhDG}agzm}vS+s)%9$`ZZ*KBe_>llwK zDkY{f1v=C~UTrsu>7LYgMXkBlK<%+up+Hmb56{nI?R)S7#3%{)H@waNAw1RV7n!Es zeQ^>c!55c0>GuSjRd~G5%1urzDsinLGA=2Uk&KO4Ay`b`JnSl3Mu^1Z;cFa@D9@%2 zPL&b&DRIgS=DY%H+Iw+J? z@_TM${mp4gEAiFNgu_r?Pq;GnppH$+$-)un2z*uhO;h6yE8|{IFf-~tMm+KiuQWiO zW^{x9f6>cOX;_63+p`;N>SqgW89Ggkw%<8}#jATj%o46qS}KElI%xmm4Ml?(OW-|6 z1il}_rLIk>YA?k0pi^sZ^`$-ph{O7Rn!SQ~Igfb7sXTe%yN^3h($*duZ%>SR+*P$E z_HJ%T-8NPiyM0%MmG}xfZqMKBxrh;}kHPFHt{f72@?4w041F&{-rbHRkWRl;X?URy zxO8qGMN)AP_ir+A!P2elv9t`!Q=_J+Fw9{=hy5gbQdy%x<;#!h`gVFsbS9%*U4ApP z(uVuv<6=V+r5F}%nH=FMb#R;9eY)?Mj93(K$+caiz3oHi&o z;p`yD-CpwN6o0^ZYgc}^Txr8tFmIZBWBpB*`9B8 zVGM}6#A!E-Q|`T+4YvmO`fx|h1NAUTpn#SSf|{0(l4dQRsP1oCB;YnV@RZ}2xg2%I~Fxs6cu-i65TEPTM> zB0baFNx!C#lfWho`tW%I(o#s*NX{VYz zZUBDX@#wx0CBD1Ay9H@uaU)b>;{{eud8ygv6#h(JDeJU5V5X9=w_0wz+kzH?>Js+M zwpMgdjibm#8$23i!v~G`yJg75a4z#A)07%N#<0Qe#v*2qkb%505c|fxVM|c-!ev!9 z*hw<|nnB$MSun;cLTf`hbLZYGa%L(xKD~t1`Bag!lun8qPvYEnD{5Ih;V*tvy$ZPw zK%iv6VITlzCaoyo_oZ?bbCVt>*`>)b1p2jAG5}En%MFJV!37O0<3l5!1aj-9sc2jy zIe#}xTI1XOEPY_GiYu?f!OgV>{hl8$vGuKxz?YCA9d6`;lS{qs?8WnfSYu%PxT+6igK}y5g(QZAu~5pSCENQKXxI3vwpmI@E+Z^1n zFJuhZX%BGpu2BiMF|xJ1-6bK_G@E|mrS7b_v0d>u5P`S8xi4(WelShYr4l78UW6pgj2E_G?R?XGWRNLw!qSn7+If{*MlRu<|L(d?0qfN&ocGz8m z)p$$>&v=36Icl`xN8AluSb5TE7;R@Yz%ed4PwO*oY%Gb(=?##34eUE?-f1(6Y#3W9 z2CZ`gE){FF6WVnH-&0qeB>XYnz8+R(^@_t?Ih-4p)Ax8HcpQx=IqDJ-9tZ<9van4D=Q1ZOnKtT2g zP%XBXZ9dK+p?3^!K7;X2#6zBgV32Hg$Lz7dXOg5k91R7!#YJz<-lQZiJ_nL>X(d7; zC6;nJ5`lbtR*2}dt9EC04d_?{F8C5t82Vqt)&Uh)^t{`Ye}?Q-Xqd8tSw5Vi<8!uf z(Bb2PXRaqPo7%Y8z>leJ)8j>~Vg;)H+gU{bC@Er3M zy<1cjO=)uA85X*^#&7pa;k%ThUhw#J$8l7P+u{?Z{)gOsu@Fz$2{O07y{oyU%sWi`_TNw_osRg$K5VNsc!BMZA1?C7SaU+ zck5#bvbDxC5eC=0r|%7gXD1+Wm(ZyUZr|3|I(9`DihkW?$xMV$#Akx>IS_q%9qo_9dTedK-si&X^)blt^W2dy` z#2uIOpN927YXIhqWybP3{u3)k291Z+l$C@&UfUdS0BLJ@cYu7hvKr(!n>;QthADVY zpG%$HnNS%hvn!h6V&k9b)+g)KJ4KnKPNBBZRN>y^G= z$PW$dnr7GJ6gj}exm){dD*LNu5`UK#(_VlPU_hUr%6rzF?J*<)UHNE2H3SCSf)ABD z`b$ijOy6btDo&1#i%jr%-JPX=TBS?Rt`W5q=E3u^j>>VO?ekigqh~hqeO-?I&KtLC zu6p(|+W^ew!5W4Gj}r?>nEv~KCvP#n|M5bQOY6VV(|M-VN=Lj4GGY|n_Fes(pR;eh znTQyr>LB;2oxizA48kNC^zYMKV(aBj8+Vw^emTO@a)VE~VrO zB)58pwgs{NPvV$Q+-oeC&l4<%NUhEHw@U&9t$V+D?+>4z3Hz}AhrID4Zb-R?4glos zgA*}vp5^HIDT^=#B6_iy`ZV`T?ZH6vD0$sHc{=>VIW?7B__0>G=mXIq^dUTHmSV2P zMl6n|`Jbk<2e;#4@p(o0OKxkvtJYs|*ygX;x;-1-gQ{gTVUjvnnv6}>m@t*ngUESu zhoXZ>ywnpcMHSToTZ{`TG|k`Ll}ZbfOt`)U^0QgF3iyhc;^G5_1EB1^BGIT1K)e9d z%VN3n+??4lIR+jkUN3M@+|Xy~u;txoqvZ*HiFdOAA^KJ(5l|`yX_~%}NDmRFObx+B zg6P*}O1!&Q_qhI_gkIJ!C+?jbz59G{gQ9Qwh_^hVso4p$n`KTL-3sY78lb-@)06yw zEIArhv_9&vSHcIWOXqlX8E8heD|l`wsie;5=4bR=>&~q4 zNVR6ygISDPg(R6`5C)sbL%X zk&#p@r%_S&5evD|*UBzDC9%RgJSAa$VbVpHDLe(3RHoeR)O?jz4Tr*?_aL`eb$x46 z%4u(S7};}};M}M~sD3(Yg*AVb<`+)7%074azE(KC3BsoHNDjo4Txm*Tyc(>CfiSMU zebul!hxnh(HH1jeLI~zN)6SEKoA?b@{HgTaV?#kX#vN9<*gP?D_+s|=@v{3h%oWzp zimGin{(`r8PQMKnXzn!2)80uqqy#ycIh$MgVLwuk=gxjUQH;Px1pXY>%Y zdpYvWR0O=8I%Q}8c&SsDi9j`)tD!4W-z0!YWJ@nVC}92}iDSkM;=$8dZ9-KWb7ixO zCKakUKL^khXf(9tE?YO{IF1hnmH8-%)qKwP9)fYR!Z!0(2u0EF`p3L?oi?EPEuV4F zPAulD&A#UAnv=w^yC5(XT-hzl*`REj`?*xfD^3p32i#I0KUCMtg$Wg^3*;`98P~UE zvHn#|SDx;mw3oFWP$9zvug-lWez*R8<3?GIk9GNz{k!3~lPCB}$97>}Z^=cvH>a@p zP(c4wV^PZ4p&iCTL?^>q@b8`s7sq1Wn`ui<3$eTR02(4RJx-%so8ds|@DL~WM@OX_ z_Uop>4|+f<8V=8j@5>^xbC+0i4`}F0L_olT*Qr;E>Qb*3gZyPGm}~1Y%Y=pI*k0J`ib%*T zejqWXb;gcITi{nRbc#jQ+6NX#j1B-JtPAkM;+9D1PqiT7ikl9V84U-NMce7Oz zlAue=Z@#5M+w&V)_hpXL-?ErLzl>G>KWXNyBEDS3BXj3da?bd9r+kqOwJ+KE|5jj0 zwGcDL#1hz}wVa7ffJ|-nOoXZMH3yck>BTN8vMs9VRrcCq%?rSFQK!aFAHYoi*+&xX zyBItILs9{Qz|y~0N?&CBrh^bvCjcw`7MuT(g1ktEK*Qmm_*S_wy)Ns*AG-X|WvR2@ zp=dI<^XZXCJ6y-Qg&5Y!4jP?HZfViT(PUJh<)Ff*vjjh|1dN0uH!t>Q?)raJy#-X1 z+xz})A*CR#Qlio&Ass5E3?YJa2+}Zg=aCc;P-18h5K!rEIDkV6BLmVkGy+3P$A3S= z_pIOhuEoMLvw$=6JbQNB_jP?PlT#&g`%jk@otGrT)5#fkQ)Hme_5xTstPudK zvz&abvlfiTwsO}_gNHVhtyn<1zI_%<6gR4#mY@ z>?~}|;czpC)f&jZPbn(57^5;2E+5s$)`A@f06qQf-Mem5o!c@@H6Qpml+2(uG=f$Z)Qr z<53~-gWz5;sUM+y@;fKe-lvGnvqvR@PqoTfq7Ao7TaRDqqFA_dCk3!Rda0D^=#}jd z$Ll$NC?5OH&7CGqHEte-rrQnztdb%JK@(liTLTwc%BAzvYMS^p`$e|C{`T>oVXKyL7&aLHE#=He-@0%Y1>+cC2lHKow&+(1eD z(S#_tsL_j;-F6r0C>q4u_qXDF+Lh*AG;e5ek}NJy(1YYGE>DkcQN!$M=+<=Yi~~Lz z^!LG*>&M5uX=MDpLx`pxf$8^%p6#5qv$17nJ3iJ0f3Qdd8O60|nD(+X&~*0k^Pm;Y zB^}c60xXG;u^M!?CW!WN?ug!eepkfrLdEgU;=2E|1*z2mRVL_djh?(?eaISJDaCIr z(Y{Is>Tvq=QwklK(FZ?Uz+Xrn)$_PLa9pR5dS{?oxq=YJBls4=3pKoX-t`~^%oUO( z&-dwti-@C{LfgFKsKpv>)$5fJ62tW)C$}!}2REDqgZ+?OkH~Nqx@5m{Id_(rvC_jU$WH{6 z#es}0{A-)MX=&>RYGmJTbf!Zh-3h(IV9SzIfzSm1g)3LOwU`sCss}7qKF+PDy+Vri z0Ne<%1&Qqw_Z@dzu<$p~r+A>SCyXI(i0&R)sDfiku-_kChf zQCe(D_Y)^>*Ad@!XO%;lk~JcyES;x0V|DdiUd88*EA_4nk2mbn5%gGGc!Dn8adl|* z`QFBABKCN|khVDMf3Uq-zLb=_1y>zD28Vb$T&&m0Rq5_EmF1sB(H1vx=5jDEvxM-TmUHZ zn~0x=NMjoJD_Oma*-H5xE_z9Ax=~_l8il>tw@u{_yjOhVrORm2UV7O8DC^+}U$<)LzGtBHFJ#oc3a7 zEB_r9>2@Xw1G@B#i8+lD7u|FOzvIAYl`!I`XX{=&6fN8)_7w1zFtkyO*l&WX*D%H; zmOEX|yz`1muv&us8&ET`)g(!MKFhQBPr7$*V|9fX)A5c)$|JTY*)JkN@4f7-BQ~0D zg&#k2SeVboUxUvruN|h8sJy>su?PI^a*UI9#1Y0jT?zsqodx^`x!OccH8)qgG|4&& zdI*@qriLpitUx9_eP(M`fNrp57vcQ>te>D%8WJ;y_j~z8IbG(yl zoiL5AB*);h%5;6+GVcYF0H{1oXuh_!7wh?7zWBgZ9L8(5AMyTW?@Nr)`g_mCVdzrI zqiYrw9FoSJ3egpb;oh#*iLY=J5Qq%M@L!hws*~~ycg+cR3j+Ek!$L`$4Tmm9d@73T zyt%Xay5mDuLJRlnf7~*hcle>Pnb^i8`)YMHMv{msZ}DtrnPuYf4HQ;CK6Y~k`=cnI ztuKxjl#f+UTRA`pQ6v~puuK%U#Ab!bNmo0UjvStUr2~W!rsg++Ia1 z{Q#fyK2nDa?2Va=sn;(Lh++l!jMZ?ZsU8)l>0m-wojpZ;Z;nXMbFkm#Pk6{7pkhue zT_GjmIA?|QHhl(KIQYOi*(T$08w_XgApOoy&sqUi5gS(&82r?zAJO6a5kD!)NbR>iPhlP)mfD@6k3&ps+Nwt}bk&6QYJb8_ojHf0 zhr0sb1P^&YFz;?f2n;^VUni8JoFfP7ci^zrJ+byx>Hddi(JsT`&Me)b$9h)tAUaSb zj6rnJaQHpVhH0@4TV!gMgi?@EqlqXpgK#I71V2E%D`0?P=r}4ofndijdIQNk;e!zw z|3^I;8M*n04C{wBR0?YOxKMRDPmlr#{+FFXDS}j{{0=QyT4&NHVXUpoH443w*&Itr z-Yk<*kM}>=o%BrzB+*HtY*Xpoe(-|LO{OTY@UJv+{*)~5Nlpf|@kD@9{dP{hqjRdn z!`1EH0x`%JC5y_+b6f7j!fO?vd-zf@sqwpFt%Z*vOjj&fpa?HiA_qViK<4u^=hk~C zsgDRChPFbA@Z1qy-s#O6V4~M?Jo$Pu5K=W9XW(94eR-+YLa0EIk1%6V2vs|AU$Dap zu++LLCRi{l=^Z-R6wpWhm*lkP*Lf!xM~!EDl^glJZeF&Do!seYc2N}znGH@mfkr21 z=OXVVEm68T;G+)uMLp4(ZpSz>)HpnxEN)k?=J;|k(yi+5HxRP`jk1#@(2%XeB(OT9 zYNncf0k)7Yi*i+1`sj@VBXfvk5Z)G}R@nP9CSF?Fa6w&M>eJ2ouJpsMYfJb40ZY`c zeX9CzGa3H^8nk*UU*pkDaiTQkBumr@h=&3ZW*?m~JZ?{fMt#z$gi9}a;0-%BHN7qT zn;yr3iVZSy#^qdGnc+}vOSZCSx2Ti%v@&_ zkmxRGd7Z2Bo@d=E;p#xnzA%*E11ZA(|MCL3uCK-7z4J>Yvztmt4B^?rzX$uZIZHb$ z_ydJ|QG3?rmDSLJ^!7k`p3XY`Z%Zri_=6S3SY&-s*MQ0K$U-BgZCEt>**;vjGq*@B z(tN7wgU`L6G18)AhEAl%H!9= zz{o=AQH5dc1K07F#oxOxFY4yCs(G&H95%DubvCS!I^Is?M&uB*! zBLaj~s51bY)e5|RX#tf?8M<(yqt0(Pr+z!zb6i@5hvgm+d&?=%jBYYkNA)%`Wv$+OI znr}9GWuLAo-g-#kU%_&KJ9@%6BW1rxJeP$Yv^iKmF0u@n1C5pd0Wwc~+2M1yVxN0H zqLZhEt&;e{TodXS9$o2OIcL=J?rEzGt z?{`1lVX@`;o4ghH@mUPj33U6E`yG-GvrdYu3j$T#R$xPS4WLA+&M$9S$kn_Ok9qn? zb7)zCaG2uL%yZe6(x?n?8N+=QFx!6Zl2dpj&T3yJT6Pj^%_}k6TvQ=5-F4k!yp}Bo z*I#`;CE0^8EzidvyLhH;lWl|j4VYo^>P$QN6+ZBcwP;>x6<-|h^K+THj#T_trS`SR z%H?dB)J`n6u}?s{#3d1Hhxvrv&3C<~nTiAvLQYdos`xEvOuqZitem#fiGim#q6;V8 zThLo!-~$uIODhn8=sTMO^%@Lw6r&>hz)=G~Qf|m1Wc~KF#%P;f{)Rqb5h_uIad{AWR|fYPm~| z=Zef|xPSuPq8lL@LqDU!x-1M|Mts~Eg!TY|6>RmLUDWk$1AQeBhv%{E{`-^B16KR$ zGFQy}plwrd=nRky7_ab${@@h28`M}ga&7P=L|CM@&R2rHspa}2(H)6%5jdAVBR~zog$-`kfURX+;I?A0uHN3H*V=aDZ zj5vO&3IZJtpc4!xV-PBSg_GfY^n`t~Y@pK%(iWGtlazwcb5yv6UY^odYlp7~j{gLz zZL=}kHCiwiPkSr&Fi!m3OD=OZEN{$xc=ja`c2Zi0_Ey$8ef;-Z#b&$=y!Zvw#0%*- z-!fDe`aWXQZSJF9+n8%RMN#EXzk9>>3U!~O2xsv>D@EpnhyvB}?~Px|`gwVg4~^&(2(W#m;0`$Z7mi*!PV=yAf*9rTSKo%2+P$t{H!R0rln(6SB)CPt54i0L3VUcL6@qu> z=%FjNQSL>ZPRue0Vgo>#f{s6!mZsM!ke9(;UKOb801|1hn^DN7*WPPWg9D&@M4>R? zgX{<)S@CMI(i3DF+dnds4#E}AhXvL&`Re4Ed|r61f&H9KQ~kFaTe;~-L9i8{7duF} zY2+WRi#!s41ZufwMdn`TFZQxyLXQ)$g>g}S!*wnkFUHt$ACZll zfnO#g(>5PTNO?EUThb6>quqe!OrD0O%WQ8k!0l$?{m48?JF0KcUJf(ywl8W(fTWaaAJ6k@%{ z3&)pNr8t4b6r!LhZGEcLbnGOyPx1umgV3o7G$7hi=XDaoKSq0KK=n35Ls zoMfumsr=^*Y?$)OsGgOrB?qMI;sODR>Q*&*kZbCtQ{4+ro5K~}E4Wh9zW#OsQoqc@ zd1}d+otZRKH(r?KBm#`alur{&Ufr4n#*m> z9jgk!EOyKjb7Fa9deKzy+i9UT1^sf{$iG8(RG}H8lk#-^?vwHlfA7kJy^PHRE8U~U zEMpgma?9KF#8BOAf7&f!_TLS-zs6_fTZ&3*Cdu;D42o|vHLbu)xY~n!tUJbZR-x|r z-U!MGQR(GPti|gYd2Y#74U}(eA~!ulj;ld|u^G98Z_-Lh&<8+<*r`Ty=J_|-|l0F zdoDAb*%5M7s>?npi+__M+m52-h5qBa@Nt}cP=j=;PnLbo@9r%=bF$r9d^VWr;mmYm z<6gf^u0(5w@4J0U7>>6x>{LirMEqj!lV_@x-L~o&9u^aKEgg_>O)@-kKH?6 zW8?|TYaXoXb98JZ*6g2bC7mjvW_r58G4w&+u_gsr#H1;(xzotQS|6546_D zvE!qWc9&|W;-UNUa~#5Bda7IaSNBam;5ti5yD{8xh<&&@?HA}Hscz+TNUcbWay&`Q z;FJctqX}ufMai#{c8+*ncLBQFKD~~W<}teT<~oavOIq8fk*qM1(E7Y`m~>UXh{>Q4 zVR!9nhpg2jUp)_Y4GED2c6@ifopt)|Q!ZB+`>0sj2 zOqI57K@9b<7isRij|qDu`niZOjbwZXuu?%o;hsyyvi&$wbe^C9lzas=yFI76Y(ag) zzG(v{22!_VR0fN4)8uX%PFM3hE`-8-h`3J*a)L7|D}I4P#&Tcp@LXTOG4@7gU=_aZ z9?6F9M9)3^+K7y#+*G(Y}01eI^CeQV& zdQF6VDI46=9?wEaPwXmfeWLTlR|X)G5BMeU{Q?vC?w4b>p)y-V^Wql~-!bn~{wCXh z4qZfC1~Y?Iy+=6>C;RtMI~Z17+VPpoOU0>`sSZu+8KGxg2YlF4i?zf9*11@t$+xKI zhlkr=h03)KUIfx7Hc~3=y#j$M+eyz4G1Ik;bD0@oYw?wid7G>6%j?ZdF$&$N`FGY| zd=@OYIY~7H%n`k1p+vJN(yvbjgiz=ftzoMCh)5K75hWqCqXc1UTxkTjf1tRxCS&Q< zrFF(TK$p9cHjlD9oaC`v^EeGkja~ghFbGT?tM^YPTx3T|tT*O4~#&we2 z4Nd{iK*zjye0+wX0$alhtbm-*{8cj~BF_FvnX&Rg2Pn2e=S`YuH)X!tUOx8!b=lXp zU%7KIr~7*EEB2}e+Dlg@_TBwZo(ODAAdNs52h_8I#L4es%KekEFPM+{3O&LS`?8$5 za|3VcpFicRL%4%ZbH3Kd_KT{&a0r$`29(Gu?LR9VImWlidT@yV?|!Y|wJD}&s(}>A z9@bU=n=LR|Om9!&9hm+;mmV9e$Q7FD3Do*S@mljuR?AY)wZ|7jFP4bWgX4l8r-~9) z#yYS(K#k~x8(a+3BD({tAT8Hoon2&d$A7zQJc5^6y`0B=cj zZ2%ExPr6!y>DSi2Z={DOIH34R&l0`85Gw9x-?dAdi;LlD9DMEq zuD8yf={Ox`x{2`CtP+XWe}|4X6&3qJDpQZdJGm|b>*y=~3ROhamvDj$PHYY?cz1>Y z72f9$uygF&ytthq+VPLs|F`Y@7a~>zp&aW#k-aVl8M|RC+Hv)rW;?Q6ir1js%(aTA z%p&p|vfmn-7k^3O+iRPm>W!+heaQe5l0LiHZ&iZ*eLY$v# zfw%4fOg~!`4g8quj^?T@E9vSH4^&!oNbGb)3mep>~26!?)hbJ}FP^FDP$3ZcWb_c&n)^ z7gs#iMe-F4A_E7ae)}?4(=Gf}4F~C9=f_y|Ra4Mc zunfqLJ}@Yq(FmA%`OGQK-EiQr z)>)L0bloe_`%=f|`6cML{$(a|RwTx)ZT)Y~eccxqNsXMuj0&xL_Tnj=-~vGwB~?4ba%qKnc;r?tw5)gm5oS!a zVQ0IOs)M^@mpI+n=+vjp=-uUUzQwBaUxywEBQ=f9a-8+WbOaFG|5@~TFP0PEy{h!M z`@+$_8nMD}qv4X_`nE5~e9`%dAUx#pAJRGl`1$~#6%&y#ZTCkMkhSXChyZp0P*Tf9 z=yF+@glSE0)zi=4N*&d9=%n=9hn4(i9(+uSBwTkQed|SHoEMzq#jhuvG?m=sPNO?Wf_HG@cIau`&VmyH)*GPl3cXPPp~hxs zJ%|`y;l7nB`UJGGP?Bq+WxHX44Q|fq-q&S#tJac=rN+zh00RhY1G-W1moQ6;|3G^K z5RWu(;w{#xsvoXy>A!VY-=|r%kfy@jC`@iUI35&b??n2`*^dpP{IUf2t5n7npQJJp zNmIN7ZJ*`5X&8?Y8&Dpwgyf#CZ;Pa8dVQzDN>zVlZuaR%Jj_03s9^50#3-=H)(X>q z+KxqxQ%9a2*=ccU5Jn|-0}b$kJL%D+$@i4;nRyMELwg)3s=X;`t0jNw8|R41@*6LNR^cY*)R_^1@laDzp0@Ix5m8g$ zU{;yD(gS5$Lwj1@CaM=fWwnF-(6z#;!@jO?nvdMV*k8&4+oxtN<)o^dobIotK99vo zX}O$)OFVzbIv~_Q(4Oi^({%-p^Gik#MS?eVZ(oNumG6{zx?mL%YEYTn;?D29oV3th zRI*6O95mJ;qe_EI;=1tlCBKhOsjpH&m=c#xE{#ggqO-pp92TQf9Oj1i@T3j%QWrgr zshB{DuNx>@eVbv9qjs|#NwkrAQG9yW*+C0Btp^^e7xtLH%>RftkD#Cqv>TUFT6xzHrF2gr(;j!neHU! zMs*)aD0x8LMpfQY!Rwcx*TY7_JkROAs>J*-Pf08YgnvpZICw=-&bRK?r^T z)g_iA6vRA9S|XGm-sTKy9=V1NtXyodcjQU65E=@)oao%mv0E;)P`1=+B`j*?}9q6 zcx|8@lma?!9>46We%$q|IVFpKr8~CdYeSiMW5pu!O5h0QC%B;sjpr`}b_A0w-~2%k zsi^L-+|X2i2WA z2{~a&&3A+r9>~zdKF^U$XfV&ysN5ItGd<`S>)s8rV6bg`_xLF> zR_ATC%$18bBC=&oj9MGJqiM{cq6%bDFfl4BbEn9B3C|;Whmbt*J{A;Yw(j0Y>%SAY zjz^xum+U}4pPH`0A>dWG_|G@hGLj;6TcY63XEfW!xOu*1LM zcmk>uP!Lv3TNvOnJ09&g|Ie-AI>Rr$zhw^I!d)Pf_%B^J1Ukm#Fu5G5G-}oOr!?*T zR7I@g0X2cjzJB8mv4$Y0g&&LL+wTf8wWi+iQC&aQQvxo=t6;L+;s=+&L!g5ccRrI* zHab%2GGPO~FdNJ>W9KIcLj1=*&EkAo(@*~zRf*x@UiY7M#m4w z`B3UO5|!>hw2_aIF12>oG;O)&E=ys*Z1Q#AhPmR60i<$KC6_rV7&*h2OUA4XcMVa0?wQ4`1A`Zpo=bb)WL0 zu~usxO8w#6SLdz1%!@*7KDJUWcx_8Fq6ztNMo4!~1 zuQn4yLDF=i0@k8t0AlHFx)uVk5>VgNCBu8|U$Z*pjV!%p$jw~hB5_P4?r>*AcSqvl z;KRneQr$fHQL*n^f98qV7n%W#A?;)H`$T;{<8C)D@YO1AuHe3b42+BAIgd93&f1(N ztml61;^xg#sOoq>W8y2pzU!H9AVh4J>$z3@R)(7LkI4Sg>wGz3_a2FQdS8&@6BwM~ z*xLo?yAez2Y8(57)6UzSKHjpT-j2DFcM_-08{_3bA2-lDxA@$wcv_D2wDB?+RU}w& zy_=_<1*=?|mrM)B-M)Bt=a=bEo=k0J?+va$udxuTTqZRzw~5Jq4<*C659uh?pG!Ax zT+wTKb@%*<)elhZ))(=XrrmEnEY`-4-AyB=cAAJV{A1a%5Xk92`W^(0msO*GPPNL& z_{~Yhj*7cY2d|~|I%k9>f||!Po;yi~$nPSHyh(A5884ycU+hfctGt}VvEouyw5kBg zjJXDc(oc726b~Ik`Pl|mZpPfD$5qJnP6$5~%*xN6FUWt&$Y9!VS+}?oM z&D77xC*t2}CpZ5Pcty)#KMM1Gt8EyUj_e2wSHscWbB5k=|qoVY1j6lsMQiK%74^@N!xST|p0I7_^-VkoNV`m?2+37eTeT9n1 zuyr6n+OW)^_p_VU>d1GIHqhmwEV^TnPTk}l<_V}G!Yvpp%=PNTyc~PD8K_P>#{oCU z@>sEWN}j9sWbKK}*Sw0&y#zR?NcvU|9M7V%ud;B)W&CO(mSAbaPLv}>Yj6=N4hcwz zH~;>CNje{}Gh*3$i4*E2`>;60`QQ|Eu76`k>v+@;`^q--vmP(7T#Hy@7r2o)|!jyP~Lr0 z$uC&B3{_O0?;B}yy*n4H-qm!FaxYu|9x_a4r`G}%O+c#RdeLRVh@8BMz)M_+3)IG6 z9YG;j{9=%PwjRpaYBWeDqJQDUx8ZH%)UCT`UJAY`mk1-7G#sNCfVd<2mQSkw+Rx*?oNx;$PPZ-7S<&hb^GhYRo?h00w7U_hBN-Fol9 zI2<0In?gF;Iic(TRZH3H)(ynR6Qk~mM&UKiItU|IYd&!JOG&pS>*NaH>z!L7bT+JDD1VJ;nao9?k{5#!FUXy&Q=7Dd7T>|H-9IOT@pUHa%9w^R=BI=dKKD!mG%j zc1yUEzXg+I^Ve5o?oGaSR-x(^2es<5=?)X9RlNn&8G=GwDoCM-2@a}%t1in-Gl{{5 z{7N0m0uW%0KhAhEmtZ@+x^^J*^1O2PpsfvC#v4S?&du%_sqARk*W8X+D{HfJL7l7= z)kFMLNFRovVNx>(v62J;&jvj&;6v~UA`W#2B$^Pnzs5&+#I&2yc(l|@L3S2mkNj?v7})|x)}ls@!Z7&P)gu{PrT z?#qX*>jCeSpfn7;JhZ%OiR^8mhS54Epp%Bm&(VYBu4|vIvxz27cOg-_*F+P_EoSGQTFJEeS^;gX zLBCfbce0&~+}Ne(fshv)PJ)cARw;YoHMr74q=c5c{5+`tle8Xj*vU+t+qkS1wJ>10 zl#S93eHKbbPXUJ~WFvf8)+F5hEu}$*2*tHi#qJm9|5(V-k%|nwEm(l?u8L-r5k4<0Vjx zs8aa~W^F{3`27r5Cj=nRzz00MRj%e~;+^FAGYWDE1zGn$E&Z(gxz)sMZHaF z5e04p@sxXU{J*}ZWBO31mW}&PgNqFZw-*LI>lFY1DBwnPwU)ZY+`B!pczclmvi^Om z_}&=);I((!Kt~*eBm~y4c)~mLyTuZN7o78FOwbI=QVMSoF=;yJMMosf1^N+KN-fJ%5IR0P{(qAUCHx zoBF&rdjfhTZD>q5DSSdT>JZBVsypw2_jsj;x02Se|8l~#Dl9L+d4eWYQD?e9O3dSba48f{K5dnFS07&575vFjr=IcE0%Jo(LH1z)JCkah2y& z(Mfw93^!_%%KoH`@O7=_=SKq;FRqPJhT^Gy8?zFfq8IIsOafdfbStaE*pyCLmi@wB zA3A?Yc;O?hUsb2dt9dQp0SyI57s12z7*6rA^NyfPb<%8G9fQh z)S8)0(JV-J(HJ947+wA}!N2a~r3>zPE-(Q2I|mz_zhBDGtsUwfJ@8#ui9jb-asYj+ zAVAyENzP9@?cTa8D(9J~-gFZ_-YJcy0>wFRg}SW3gfT^pDf5bIa%RT&1$U~7tgAy? z@$hwY3UE~*T;l~yYM@R=kj79R=&HH1N`z#uIA2>~S}HK$6H_}^4od$u`kgpp;Dvjt zTB-{9h2i65#gZV6_&?r$l&&iLU%Hv5DQiz82vBgym`R<*G|ViWO|^L%-tVryZJQU{ z+ODS^{m&bL&exDpVM zJG|Knux#32xe8*GKyl4NNzyUgK>bF$EY&g(Mn~+n^ly?QS?;{ir;Hb+*jQ4#sz9lJ z8pi*giHVg%X#;L$4s6}pLBx7Rm|DI1XJvlN>PG9lj@X}HzmMQ%ucu04521l2LjZq* z3RXNfJ@dLdfUgR+=#!T1_-?-xF8Zpe0TTuQw*{`0|mrYaw5Zr6@vzTds(u9XYrpP%~bN#!q3qH4U(O4DgZFfXo*3rpw9eb(-glH#_~I#6Q=kVUuC+#&5pj18`M*nd1QWWm_a zq;`E4l~Yv)%8)dwZS(6Ake|kiMKOlGvqP(1kT?c9&P)q zxh;Y)g4N~G$z&a+*ny9@cyS@*_DO8KAi2hy??KF)0yl2qTPkNsl;C#yKNfA?#T!q3 zuXV0|WbNc4=k1KDG2_&m?DbwV#okF<8>@Zx-lOGXOx}B-@o!N7vGO5o2#9}CNYzOvQQTc?u3}XVwO0McZuHqqQq#ZgIs7GoNiGXSHLCRf{i3mz|TeXaH1+@g)2NU8VByPo=J ze#2ETCpZ9TN$C(h`-ZkOS|`lF-6rb)LQH9Fyz#qBL=R$o5LnKkx!!kg?7PnkPH!)? z6Nx9}TYexBFm+zaymB&=(Ub!cw;UgcNUrP$BbLz{LTo9GW_LLrBr3hY&0E$SL{HY~ zg+)56YFqIaM}-m~Qm^q|`tOOV+AQjfk*QSZV0M++f!7#>x;PyM@*~!^Luc@myBExbge19m@7k~{;pnME;J8CfOizZ>{?DeqlsnYZ znemuCYI9TTZD14c@T|4%#JrOCzw$V~0A*io+v33C2EErKiHn&utG_YgaCa}y08nB; z#Rn9cw!atJQYZNPyWkC-Hf|D3V@)gl(fgvSmH7}Z^%jF7xZHl!!jJ<4THaEu%t-j2 zi&!c>DDRn`V^Po<=EzZN=Kw9!n@lmrImfoS(uD&S6w{y9&rVQP@~nbxwv-SnjVsOF z_GNI`BS{xky~y5T26%O37PUdbFQ+ao8Mt64qEQ#X7|Zpi94lNi!|jgR4eN2*S#1?7 zZ57WqI9hHddhvC2-iNe4xL-Psfr(8#J31btpe&8k?ThI5SoW^!r93$*fD7aRMLhzh zB|`ViaxRw~*>QAN42ch)h~rB((#s>HNSOuP>9}v1$Huq!cg`NTz5M{Ly*!@6hulfc z(E{%JzfZhXlpI-v0AJ&v{Wa_6H4`T!nn;54+2u6yfhv2w(zrescM^1)51F8zn4YOLZFo=v^O9)O_l8Pd#E}-iI>| zA(XTyEfVpEojb)Vp_duW>0eSSyZw7XDB(H7NOzHQ+;I(&vuv96-kEdRyYX_|h6X~j zqOzApWtcM*pz5q280rkBF%&)E0J>Sh*8Fds+;!no!*}}`jj805Xk?w7d0TTyuwo8C z9pnEeGEjq7u3LSF>=6a`BT;Nq5t?+Oa=_i-vg@qLwUT+Qhduq5=XM2Q+BMd-Zo-df zrJ&X}SvJAYM;HKmhI|^2@Lg+jP18OSVuuNDjoAmLxUVhHi){vx(EhGq##r{^)dTVl z0Q-`57-S}a2)a={%cy#wYu?v;t!vrB`LKdUePz#zSW_GG!r9jKwWFy$kRXlC=hmf) ziS>-$%BqnLx|iGfwyCV+UR%ahabL)!HCvCkRsMc_p0IOfuI`~(0W1s=l}bPY0KA3@Yz#$WE=Ib z&Qh8?d&L*u>(w0;#cKm}ppa00Ih|L`kAPl(9ddGm@?fR~my7D6iT-|cB2=vht0#QF z@_6XQdXWNEIg9GRQ>mUK<0S!VZE4$!B9p}C$G>fTcm1qROXAJ%-(s-#nr+*uCCFS! zTAIC#NOQ;)#F&iq{Hn z{3ka#lDWJwf&~P|m!FsIEN`8SQ<C*8IZ?C-a*2UQfz|6DFKtXhmG zUDiQf^xjRw^9)Q_iCeD<)5}5nZt#^?Tv@h|;_)k2^}ldF)ru+|6`zT#REY~7Am`nq zfU51m;Zpk0jp%!j1=H5a-iAY&mODQ2Q9~ z+|&%(73PiTPw9vojK4}>DH2ynmy@&!oYukU|BHk^c6-q!)DWJ~X=N2C-aMnIbkMIX z?Zhm9@#l{mvz2SeDO|sn{sS60RG{XkdvbV8yB+6JWA&HxzrMoB(hheX-l-IqQ!Gik@v_#u|kqAzp#bp1V{xY&3W<^3L++bbpbPC(U zM6BwU0sfZrKQ(c`4$ZJ5RBlhRk6^a+1{bzFQU4J7^*+^NV`TgV7y(_n%k*yf4?6T( zj4_QHFuMEV$J_mlulAbg%fZu=n1}-cLj}NqsdZi&tJ#QqLxFe>tQ_==r6b<9^Q7Lq zI*v6KJ|Egdy?itq3>keqvym?MAe~B$MOkmPoD#36`y)`MZLgSdK^0Pu+||!1j`)&r zW=UoB$~Rq-zfgoCa|U48Hp0tPMwcFr`#g7>vZi2ZeR8pPnDT3=WPOf3-f{!S9i^ZE zb*q6;J@0_cMgM{%_%Unq4mw_*w-g5ee7b#4R~|Tw)U2X!RH*;P@NA5kwe6m%uL)_@ z*>tG8k6{UEJoQk19Vr;f!2hf<#^v5~RS}j_JQ0FPA|Qd@0I^&1Lj190V_|Ha+ z&ZLK$u36`odY|9wPE=A`ewjp@Q=4ka_Kor;WmFQ|9Rcxf3E`KybTSX)MaxMD!#;X; ztKG@^LLP(h-#Be9^2PpHS@N9z>uaN9<3Bvsh?4TI+m~D)CwCkx&tJb1dJ%K)*^ykO z6XPQ3_y|ahoWnsnO^U+!a{S38`sl|sU>E~GWy6-UCd);1Z>JRnZ02=HjmtNbI*4KDFh;U{GS?$OzH5->3F}DnT^|)^DI+W^c z%}7=0koozg!!@s^?3Dznnl-;BhOzp)ROS`V=J*!ugB1|8Q!0vR_;VKw^jTWviUIQ; zpgE?*yG+DRzU_JAm=Q!OIfEHiSff%gWVy?faq8cH3EF$ddbqfuW67J9gMTuIG+ zOnCvI9T(YJraDs4!=)uLs^v)H4gH3c2H|K9Gs&A4#^}*ki34U zb^VCMS9)epaMUx8{R2DnZ0M`3ViR#924(T=D+(<-2|dMz&as7R9KE##z_AjPTQ7Nv z)N-kInLObwqQir!8Y#K=T+bUKd?ZyDT&O0`Dt5O%_d@8s@_Y5XclN%~`Iq9v_uG2Y zl`8-A?>(Gw{-0@eOil@b)D%O6;|x`6Y4Y%OQtOqq6U>#+c8vl2X41GEl^|_vt#2suMzn#R!WL>J0 z8{k1imK%o#fl7Hu4ro*6#4jp-*mP!=hvbEy=WE;pNw|m&)*E>k4

    *pifWw_QJot z#t-t{{|0J5El>Z#fq@Jh#wQB{NJV8c&P+O<#;{CAkTl>@f^rY~#Cy@kt4}BY3(Pw> zA?`)@lj5hVT4^a17JR=by5m2~Q`XnIN==+i zw68J2xP8y1YW)GiVED+~Na{p*)$WerP1YQdrE&dlXaQE<%>XR^z{H2kn}CdyIKb(bAuG4hK536^KwZaodSi8-rZid4 zxscvcJU+Y_<4`s`tot)vQNWvsv^)?#%*ggl zboQ4eUvp9hoXb54{kBnIbhZcHAqy%5zUoGvld6e^ z?6S)r%#f3Y)ld_3Gyw;eU$`$Qhky+`*A}f{m0kIGEq=#+)z=qZn#qZQ%gZ`j{PJHar@Y7 z%*kQs+V>LNF=?Qc6vTi6II1s1usNAL8WKh_W>M~kvehX>pAu#68#=z^>)CweS7Z6l zU#1tU2ByBxDWUru^t@WfL?Pm|kpwbe>-+ef?|z&!^;mYXIZPtx3kN}X~=Y~`K$W>m^urvsPaGD@2*8Ch?GhxjWD2e4Xhxdq)K-QLw66cN+}2^DJ|eI zBF)e#AT10zba$t8+}|1e-+P~DA9i;HsdIkk8}IvhDds_Me^e>t`K73A{Bv5_=l)#5 z5aLEHT>>T44SG`m#;9W2=S2}-&|+3S3qvD^tYm+3F;PN&23w9#eZlIq6vdAy$*-BH zlgYkIusIMJv8Cm_rgdcUeq24VsmFnf*4}>2>g}P-$6qfI*D_{@SFHoD45= z9CF(73Fwk6E=~@N>jHQ3rBuvtsj|u5uVfn2@J#>xlw$!50%za#N}EyN%1HwY?`5J| z|2Zr)WRVT8`+UQFEZOinYpt2`Lo=Fa32$=Us^KM?GDO$f7VJ*17FNl1QwH+qe0)CQ zV|XiBIc}SZZ7Q?q^gkpkqGIAL;Nb(z>_5%n!8HyWcL$o*6*y9 zqjPBS;i>?8mKaYci8hnMudBD#HJ&C?9qI++y)o1lA^rbi-VK9 zW4BGYbiK#;B)Ic!L+W;o_7W>DdJy~U8HCJsAb7)gF_G^(FD4snkkm^PZWa*H`zN)5 z>{$Ct#wh}MT3EG8DS4Q)CS|I&jyY6y+_NenrtEa%4`45?yIH6)j9H@krGKasxi;xH zov2Kx&xo`bd&lxb5^2H5WR~|nx0NjRrd92W*LlRcCDMCDXB>qZyj~w073^1<8#7;F zzA>Fy1&B#3(64l-=)D{R-v=1J{^%LHCkv_4FFfs0i5W4`7%R4<*FZnkhV}hzM_-|i&jOWknJ5flUV5js4~Sb} z*$KMwMrzHFw#nsq+y_;MKxjXsia`g+@-H3{`3C__MibR>cW?dN2&G+V-V!@ED(C~Q2pU!tf>rezxI)sJ3A zlDOhF>z1N-idHK;aGb(pM?%EPfFGO+0#hm1Xj8oSn)Fo^zVAM$SFs08(sgVnwYGax z&@W_6GQ^DJAW;sf6u=<$jUHl20Kp10P03U#B?$qDGf$aykN5w)AbT2HHT(hj+#)M_ zk1Gt175+mP{_`(6rDO(!RhH-QxAy8)s!6YqzF8^}VwUR$j&scJhWWqG!)*0z#kMR4 z)*DSYc>0RuhYoW}qZ5}+)zlM~?~A9^$(Iyv4xag}oaaEGSM4JKA@3*d{->jMsY--8 zD*;A0e#7_lS)6&UlwzrKosP(>r3>h$34`k~r z`FulJf4tyC=C7X#ni84AJ>zkzcU?+*Uc`fcxE*J%H=dUtbbR(%F6p@4`>E^OvuF@0 z5!e%PX!|&+nfiO>6&H}b2UwOMpuTC^(^Oq*`nPD2(T9xUnj)dCsCiu}_r>)U;rJoV zgSgOuj7nnCD5?)4Usw^LJ`Sid1Kp|g>9~pC(`B?Im6aNwLgz>w2|O$_iUXAdN6~kL zGJ$oE5gdg+qumNz3Bq6&NsL#^pZ}gDp%_j<0u_OIqs4p7{_^A08Igotc7HQ*;h}~; zxInN8(x`a{_LLG)VE-S$LG8AP3Wgm*t?^^Gk!3R-ukhH;l17k5i5HXDy;Arut$lr? z`L-JEdWJiUFy`JnB|U5MFIDPxI$jze?MxUZ;yLA`DtFT>-{A1kvX*EKDkCZ8JyxU@ zR~3~3N>nbo55rwb?UzYYOsw?f@HU#*>bt=p)w*`@!I#~I%<=eG#8TOc=?#mevblcO zT4Apo18JpyBNW*NqazB#QyO}-_TT@G(~Mw&uYRb7x>yA+4Jw{28KH_0HF4@WJ=Zoy zw*sP=Jp*+W9}42LcFQ(pdF7h=um(0UwY+~T-IOh+luXuCtv>JNtKx^2A$-l59fjY%{$ zN6{s{dp(H+*P~3iVXJz^;skQq27C)JVTVtz4VkiCZ2#N=7J!U3LCl9)3U!CR-b7?^ zDPqGX5h(9$j~j zIXmuygsWX|>LFGaam?UXfJE#HZt&j8+sij*tek&Stg30lUA&r6QmG$&fWx^%?#5J+ZvnX6e1dUUg%Lbp|oABh`&e4N`2v{XxDXk~8cx32UMS zrMhb6nO@>wP^wbmqcJNQmEiSJjf^q^D%$b4jWU~OpXX`O7obp*TBj3x?8znk4G9VW zb`j+Fyc?ftA}IHx734QYnj{{?^NwSRP9A$19lR8?;*AMSFd_d78hryb|3Xf7t7erW zpIF!z{BxD=tmNBlb<$>ezvtfLMZ-}#*Jb__H{6tr!xSN1;>398i`OF)T3m$0ii*Uf zK_)Q1RDx@=3qIDbTeKPqf*ENep3yDVZE}doi*2g6dkB0$LN$g z)I{<{Oxh;C5M2SCj!EKmyK->oHx1Mj6BFBCY`VS9P$gawr(@f%vH%cH0oVqJvQmum zD6i_Mc}L?hXu!;zIDslF#Y}BAR?}q?%}FlVaz)-uojaa8w`yDjYno*eS&rM6hq!Z7 zZkoX^9&Ft;Dy!eW`4*`=uy@$qNP-DB& zXxqVJROjs$ghnMKy(}7Eb_o{{1s@&)M&VRp#eB5gjSHj?K?yso1BS}uRe4EM&*td` zqksYuLWq?#IRq7AN*64)#+^d?J7V=qf)zmf6F+Z1|88A~-_ZRinTi-_E2lMlhm$F_ zgbnLSt}8?L$bA*}VfLM(Dogar|Axg?XJS;zS{>mjV1o)O003g_beb}dbuA?fd6IBa zirb{P@}j|Q&2FK7It48-_YrWt3O4OqD#yAL0g$v8s;gWS$OTEqaL9mksZO%>YkynOuv**@t{+9naGLY{edSWcK z*xYk=&O*Mc4E;6$gPs1) z5fa$tPx`%Xa|LU@GJH{@l>avs5zQ0G6IPa660e@B8Mo9sMHMJyfnIf%!KDk-th=N4{(BKzg z@;dJg9maQ(dLliy-b_Bb96WGzjwA3b|8eW_8I~k6j(|dz5&Sj@87hCq=tlB2sTsO- zt7tnnu;4nm6=bPOl>jDl*A!~fIeeXgl2OyvL6j8jkVIF}3b>Rg-rlCJ84Usw;|sbT=7aap7UlzG z{M3E%-Cu5^L(|6(5So`4Zq6kcX&v{yIJ@`CM|4G}J-PcGnrn^|%41P@A_b;1AW9RG zP`_B*Bex;!Hy}^|=hvC#YcC%*q!p{adi#pfWI5twGBi~4>3qnj6A>4a3P`l3L?hMn z>+CkiJR8Z06iFT=zkrndAZBE`ym9WE`Dh|HU>ZZ{!2J(M_tU~N@f|KOhquS7+Ls}4 z-toUv-z`^^S_5WEWr4-!wZ;DkJ!)=4SU|Qt9oVMBhA7AAi{wBU@lW{uHDYM$w9U zw{>%g`OQUs-f6Rwt9^EZSw>7XtVLU;Wh^HumW* zvd_MkPrOA%8ig?&S5Hkj@de6mR!9CF6GrcJqAEA>rZM`qh4_oOO@ie00!b%1^_l;s zCp#iZWR;$mIts(wa2qVj6j$FK4}HdD$9TsVC_PiQvq|cHg?B6#CQ$K&1U=tR`m6#r zj`ZNj^H`yQiNAe`2}Ac#K+&4fdtTmR?&Nm0W&d2Wd-8Xm{Q|2x*;Pm^VCD|(=o6>z zgTm4rpWisdM{M@+nhfzYDzOcK7C6JgxAU@^{a8H)`i-ueIcRZy35xf-2bNs8~HY&VYomSM> zDXLmX?GT~0sI5fUT2eWZCbhTVhr@~yj_1g_VOeu@s<`d@U0s)j;4YoDMNjx0#jFO& zH|%k85AJ^xbVWGr*J|r*=z-j6pzc3S$lknKF;Q>+abx+6brfFPc}g{^cj)2zTf8~k zhCceux=;E{5Ms7Bg;R+ixAd}g&zgK6qpsx94!_$o79Ci*0IZdhW0I?=O|O<8fzECy zk-G$>W7TPHT%L4@ji;?EXJPy zg27G_G-g-qRWaEr8#j2tE}8j#b{d`jxaReIq#0x%2VkAmwv-&rwu(``a$^pwo#dqF z@3E2-BqtB>Vo(`UwPH6`poQGJmHyakx08lfyfDOfs4FHMJeMdCE%YL|V3hq&=E^8K zg3j)L?H}YgJi5zF$58tdQbJ~covgrN-*Dg4j%4Bdll!U^Ke`R;?U7$OeRBdS<>j3C zZ-SK4K8w%ru7sp~40r`XcFN^$&P$67Y@~)_7Edprnp8`3m6X35*$uts$+psPxgaO(m<(V_t*6V^C(<-u;`@=@MVsW=rk5qJ$P-78 z{$oTUr50`=*JKrgng=Grr2!z@(LYF9>5^=Ey_}LgBuys~0eXjP*>fjllg-yg2ammF^EDLF&!s);ARAb*x}CV^LNvX z6Pqm!FktZmvq|Fz@#?R!yJI}r09ynqmgvGGRO|xRx&k@7;Dka( z;?lFOFiq1Wo?@*8-&cTZq~s7)n7LlzehQDsnO06{fdsEb_rn(~~y(664JLjR-3Gc{Pjk_vv zP-BAWjRi9!>eD+gK9W;er1oVO=_iKxz^YiD9o(7E&es~84%?mELPY`fBMF*zN!EE; zG`uudXT~fq?RiF2x|K$MbbnfK>(;qLXpaA^iBM^Sb@zCZb%#7&M8R>IpzuVfe8O0v zb>j6Mp)nmovO5pkTY>A1b_yT~>q+HV2ZThw!b=_Wmqv3=csu2v80BTitReLni9-2AF}wdD^K5gb*E;Jr;Up@dipz0vHnF3GlHVp*z9*1<=h7CZq!r zg*O_CbVB#$kn!w)&6x0>BgF2}6uAZ&lzTA)mFxCHBHB^#@SnPCAj?`scuwvEkQCOr`E7D%{!lbmW-Mk~`te7}>NnN? z8N$sLbsW9Q$HU-{Uh*gj$rD4NV)D)VzY0rVr;V-WYlJ{Y8v0etDFq+;iJxx>0PSeY zPJrCcpM=uUrC>VA3;|YC0fWbt11?tUKCX*jsw@#n*wH7BcIvNQ&%o{{3+<0#R-TZH z0v!us4nNSf+;cu#xWwwb-C5&$YH)GIof!^}2oCd?l`amk3Ex663d0;U5H#psKALEH zbR=S=_-jT3y-mo>O(_*~VA`wm4r>yo+jkRBoV(aAUE5gg&6*7hn7lbWQSdI~)QIgb z%!2P;g*4Fcq@ZQJY{jUwG#ivwo?N2G(1lo!l(=fM@swWs+j638>FM5IN`nrYd$f)e z&~B2~0^t?byRD-e8dY=k0|$`w*z%3%Qu_-ujaYugbH-T)gpD-!{Og>sUlxpYLKTGE zd-SPQp&4nuv)8+Hqa}0lX3~r4AXie@;R;9((y=RCQ-sdguy8pu!Tg=xsmDRc1vFe< zRuWqp%$2Yk4tMz~TnI46pNRH~uU~U6t$a7N>Zt=TN?>Cxo$lR363>v>r zcDA3tj&T;gBQ*VnlrA-L&@_CQ5B^_AE^mCK$igmM?^dc zfS&sVvNP=7q<1%yNns(xd2|L=xhK6u1yy}h+nU#@@|I3ezUU7S&637DOZg^Z|9c^b zGEsTKs`|UU#>e{`-v_dj^!DbP1lxmo9ED9hV;VBcnFiqgL&9ro)z7(w5n50{M`njM z=EDcyp=tpTDGPD8BF_}Bdssv&hM6dU9vz(ONeHZ#+Xt`Jay|gz0=^N10(S7^Va%tx zqREGxOsLLN$$=y|s!^pABTzV-D7nfgKb~Ej^NB@AKmZjzwhr0^`pk*0s1h&uN<$jd z{JrkELddS@OYHI%7-`ovh5f*Ph!1UkZFrQTk>~>85!!nPvhZ(3{P1E&IpU)=Gx%SA zHnsT(^%;GVAaKqo%P07}Ec63bK>qW9PuY!kkz=t}*_QN5@qwsKct#ZwFjGQc=fQ&d8%5R?0O?Haw2`^B|;ImHEY z=owK#{>xNnSYtS(bhy0iq|a4d_X-R-R9#FfBS5X1I z@!E`GzLr|$VCCl;$^jF8P#}HfacHliMfZEA)rUq^*5hKT+K$8gVhZ2zKd2a2pCb2B z6NLm{n{s(*V!T@vs$VKlpIv+mW1d!pX5gDe`2mrC%e9{+os$6R4bY=KSc_b8{dTYH z^sr6sYd3B{OZj~DOwwA1>-$7)dBB31m;l0^b^r8r0_hT_+Ij~cJVtVuK58nFOKT(f zjUEo|K|wjtvmHA&G0P?7P}`^l>6O6mZoYFA_O+*nnzpkWWbGJ(=h4AOtf#|nFgpDE zXk3DGtSzpGIi+auC?5(L5P$a5=p3g$dS##Ffp$Cr^a}0TS=skl#t(alRD@^9L#qj{ zEyrM_YR`Da4Z9?hl{-Kx4|3j$s<|tjo+0)0hqUEK#x^4#aEk1|^F8sr(jX1_0JJ>^ z!dG8B?#l?RR!o3^Y9#UqBNH*zn}VlD5*O8=_E8;qjslicIKz?c;SK|d0BR1FF!-s+ zaDCjB8AdyhL*Kwe_wwj;ak0ODJlxEov_k=im{!M3nF3`QA?eNwZRbg@ggG;G*@Tq) zPxM6P2RZo^*V-$nxk_OlDz|b9$%2G9|M=)EG*aalxV7cr4bePG|1I^vO1meQQDGU z0!d`1p6H8K9%0%5Fmw&9rk`FfD=>w$;8)mgUxLODU1!X_2tSLc(rwq1h? z${rSP@f zHSt$88#(%S2%Dvov^kEW-HtfiS#?$$9-0El*qKEdqiIBla(Ou+_s+mF8A>n;+f3|p z?La@8J-m_JARi+0*>LZsm@KuAOLyIz##eoJW+{`o<&OT0Tq7C% zS+0X;osIhFPhV?Goi%*S3va+V#lk{lcjzz>zk?|&iTpLay!_yY!#TZIfEOJYC78tP z$7M%qp7OIUYt^9Or~tbng3KbX^&DIoetb6{)!wu!hdMkR0JRvuuObMA4_p5(gp@5O~P{dcZ`=+p4c-R8BPH_%uC5DCYXhZj@F{53z=R1WW~ z9elH%6TCJeo3syVY|+3C0H$@6N4`H1M7+ zQZ>WfO|GPUuli;G#U{><9>@nk58#X^3R&cDZTY|p5HLnwWAjeJ>i)IWc=NJ`A#b_l z!RwV81;3}i9eCxZ{rS7JGD0yut05hGDxtT0X4UflP$po(->L7W$~j_LyGJADbsL{K zOy1oe7Kqwep@Rr-5Covrp;Cs$Apa%kNH;oJlh%v+rx)KQ$hmEYm@#z4NGlzgB&ZiT zpX5SxH;W}A!OtXapY5o9x66%Mz^4cDr|OMqv5@El&t~VZs7nAhrT`v4S;)B1-YH42 z&gO4G@}Yeyo?se3k~E9?zA7}y80vy zRjwe^o92~kDq+zvKxzz;E_g=c++S~)CmzGN-9p_4y1o>BOE`wHD`LBtkZdW!J~0J= zX?bMdOp3a)AnRJdzIyzGrF}3ZyojE<^=w^&&ddX}<+r9D|Njj6H;AWB5)aBfbA;;~ zHa1F{EDKnqG#}pp`b4q!5yYWSz5&Vq8jFk{YJRdlAjgv0^xC)7=O{fE~W4wia|j zX`|JH1Rw|w8n^4rWjn0;gtTjnYt5ATE@7IsUNnc(rY# zA^E+nyIckV%8L2g5{p|UYVMFxo__Y7VUSZ!j56EVYs%ycW-?dh2eX50{Sxi4&3YyS z;TA#3w8!MuJ4{)6tG8vSl`tLQs|iCoBd7XrOsAzXvp6 z=Tst6=d4^gG!JN{WUdA_JE>R`+^~%o35g*AYkA6`S2)kW=T&s{1{INS^NMu*rWcD8h1CoSgGhYF_ zj>HI{d;!-}l4rD{i{F4*l9e1ew6>R%AE9?;Mg&alMuunWx_fzif}1Cv1AXIVj*iWn z^qnj|qJ<=!zjj;T)-2B~I$40*RpQGo(z5$S>DMbPE3c>}EJF_~1A?e~55?F9x7EZk z8K4UTPRE$Wy3`V{Xo*^l`$b(`Apt>B0}ec|qXz#|%*ZSGn>ba&gG67y{b4G6{{zo( zns69q+FC63wq4;Ty5{Gx2E+bRuNe{zLoUC>nUf7PQU=WfSE8HG_ptJX)CMjj+uL3s z-vqOaJD`&S&QyF99>yv0`nS#LEkAuUFnHfr21L32iuaZinzl*j2nA#e$$A_H02;P7 z5;U(FKt@KRJt`kn_clKwWvJ6lMIms5F(NoSw2r(z@Y)X3v+|M_Ra|r+r z9kGfugWE5)SDcWRTx8JWd6e&Dq?~4yuVxI)7x7_#_SnUs!1-j4(7)%CL2|-P+L-H| zHmakocMg#bA|C-&&oxkA0ZnXBinE(y4H{Xtw4VsU9l@5IqgY~sJBK40k4G-4ZrPG% z&vIu&bDWQ@Da95lWbCltW;Rpzt>TJrI0~h`{A7V4YuasX%(e+#{q?!Te}RB|)v)FC zd`2iDYIDwHG*0^ZvYgXD%))H{N;Ql%Y}5~Y4(+{z)nrsn1kr%mr?IkPykurlCW-2I zxqK( zAB7Ry7VcM5O;>R2O;P>R7yl8iHJalVu4kblACu?AblR=iU3>pF53LQO8-Msq^wNP| zD+R;@A9MAoyQO14Q;chak%N6V$U=&t(rb~Ew%(>ZV8}X$&<--50 zw4I+0@N!cO$u;__FCnoD=vY=(Q_7%y~*!V|m>Umoo%3>cc? z_R7%7@CJn$>UTBS+udjYb+c+BL;vq+{SP8=RxQUwFGH&l$*?RcjUV-J!Qs&N?Aj8) z_QKmc1D`$Vo(ml?VGK^U34y?TV5WVXmM~WEKH$m_1+iV1tWPc+xBgIg0K<($&RsFE=;!|35^BMvAJl7J z=u%SSxdoyY%LiId&t}{;pAtc0qNqf@MI~wD)XtQL?43^*I~=!bu>~x>2dj}dUZuR_ zqR`&QrT4*Vw__CsG&EVf!%a)pozG~1x&co{mQcGcpY3fqUyk54uM|yGWefK)b$bcX zK|ov4NEgCKKR#VL-IZ8-kVIc<;m~PyP|{v@3#23SkprB)P?c%O#8y%g1A_5YA}BR0 z5XC+v2T2n4)4lV__{Y(!v?e8t47SBrCp!S7A8lDPc_~;)sNkf3`XaJ5gIfI&hN*{ta*0`1iggh zbnijK>V+i}yx)ymFl{bE7YSF^(d&_JSQzGsazYPx<;aDgo9T1n@=>AUiyF!73Z1sL z%HAB%9w;uYf=0_NVsVpIxvLivC7{u#ea*T5nWBIBzLAs1kJZp~vDC?~J&8o_U^aX= z?CfNqM61W-nij)d{Iwt=a|n``t^wmafL6bWn5luu2V$mugm==_Nf~Ii#Z!0mTrsP3 z8=iSC>l)Bpzj=vipmde46WJ%a&)MMsNrjKjNJ{c!JGiL!D1hWedQo7QJ+6yZn(k(Y zfn_si)KfwmVlnGEjgJbA=Tug2?5oh5HP^&Q# z16kMAw=+!VY@`fL#p;73Pfj*1N-m{Bw$(RtQ4H%D;`Tx)Bayi`J=>PeBORA~NAZ(* zhUn;C4c7H7H?hw6G0?8hfx+um2(NCxs47&=O=~Q;*sDwQFI_k&4X{z;|dyw+KQ|HiI#lnLXR=Z z@0JV^UVotEMxHoiKO+)zqxUfzHs6{Ze886WE%Dq>^G)Gec^Q+7SNG=MOt*k6P>wS) zbP;xtk>+e+ISpNOFtK4X!kpWUvju|_hy!Sva=nDw=|n4Xx+sx75|fcynf(ye5uUBt z3Rc@79ee95je>3EvA&y%aUKd;-LXuf}W=Nmuxth+}yU?+vW zO}qNi7D~#fg@tK>+ipAP+QOZ&x}E0Xi2NSfdVgS9J``D3ayg-O3wWyZlHSwIuTs09 zY%5Rx^+j1$e`&PA!sdzNeytF8U-Y(z)U^>+43qrO(dlYS#Km)GZ&p_ zvliCuwf9_$r*}J&isedslDh*Pg+@JZPItyM#PmmnfyWGV4dB2 z$F?|X{V*3f@*jjIggCSZi{vFHQwIEmI8N_w=6IjCibuWFq8{?~$w*dm1Is1{0L$@2 zmZ)EdWGtwt>#@^P7bV1Pq-v{H3cb z7k3n7PGPyzzZoSVaR&R&KSa}e&V|Q!Mhpc z_tDNoP2Wb>chsCG%&1nJ#2qSJ29rZ}C6qf%N>2Sr#PYcnok-QJF~}wf^~JLG4;lGo zM{AxO(I%+Ij*VXNLkfN=uD(sqP}Ru;1Tw#p!RYp|Ja%yFoY`X-B$*snn>L^Kc8=H->@?NN`D{R3e*eFfe4mPi`_>hhD;W?VYj-|3K|mY^au_lf zETXz)}q z=94l|ZJST{=o+^g~iTZ$emm!f9N7~MC$@)SkiEPt3&wF3R=q-0<+}mYv zx`Gq?H?92R2Aq^VUs{~?>W$xbJYV9jHFB}vJTCEy43}uJ#BUQ*i)IN2{)^w4kq$;z zZoA|Opk!7kgIfGP$uumx8#}xcH&ErzR_cV2e7d$4^tmT3CqZ4wVyIq)2z7cI!U3c4 ze#-&-Rkh#QM(1`X*+%))PXy*s9>f7kX5b^9I{a~Sr)V<0*~X45!Ryy6Pwl-15)n8o zXZc{tqV+90xVnIePSR?FJD2rerS=D><8kgcEH^ekM3)eJ%0V9G(mF;rEuzF}9qqJV zH{VNdkX>)PuVFz_4hZ5OMxw4SY$mww;>olebX~^M36VWW!FAB)5tMIAnYA5uMCUbObodh zB$g=kwb=%1Y#KdBQ}>AgJniRcQuLBk8eSg+x&C|(fkN%En|_~4grYaL4h%`kgPknd z0ip<*@@p?+nv~6;>B%=?E4#YPsD5ScsOqpaEz!{xCo$;=V9Eh$u@FNP6`j%;y$Oc6 zP|?CJa%Lsh%B#M0i_*wb-BxJ2=L%0Ow@Jh7t;($!$=xs2%h`}<4RnwJR6h8(fCw$XFGNaToxNa*{ykQ9QpC?9fFkOeRV-KV0^$ zF(bWcTD&8}_4Mt2jh0f1a+}qstq*jp?c+n8f<=X#O+!Y7*#>$eU2S5z=6Np?Vc!72b~yakX1E;aduxjD;7z)Au&2^EN9UawfQi^AIOR&$5hP0|NQ7_ zjg;j7ip=E&5zumb2(3dbLR;Yq+IzZ@BKsagtZrpxe~sJ>XY|uHP-?vP)8lbiuYVry z@IegFh1Q;jO}slU`lcw)*-`l)RAyorKh+Y-uT0E3h74eJ9W=Rz7UekGBTgoXqD+(L zdJsX>F(b=~^^Q^vj%}CPITYIoNnvdKTxbxY;{J;orJM;Ml#VYulFiDkyM?iiHC;|- z!^pv@ZEdg)OXVoq>>3*$eZ66XZl*OuFh*rmg180lN+uW=88?UMkE^9O#Iry5A*I;7 z)2nYYZAs_yF@cP~UxC06w38ebm=S#~{z88kwyeSFp{wU$K0NV48+qw9NT}<+QIZ-Z zaq@(Q2;V+g9`!1L7ZOk1wwxoo`ap`p;%2p8&R@UxdBE9wc4n%@lMo>3+1$@oW!v1} z%Me~dZfa4BT`lvJVd(BOYb*(iAN!5UNq-RbLp_nB>TS5W?1;Eo`^nVO@o-?ow8GR| zz5u(U1iFTHJFhC?Km_t0pxb{Pu+AE8fRh%TDqX~-a!QV>cueBi;UGJ;k_Sej+vdTT zyH2QI4^Kxe=(x(yRhvH_%F`db64Ayx1Qk zA0$+z{VNctCaNWcI{;wi2YN^H8+_h2C3QX|o?c(QobY7~dav$Ce< z8aIvC(soc^1Han3G`P(MlZ}(6+elaDN0g*!3pcC(N)1NLMEj2c6C`DzQ2`mKfFQY= z{6lrs!sDjt+0sc6FLv-vz*grSXfvHp`W#op?(BJ$NyI+c5gIS4iELd+8B%F6m6UEP z2*%iXjV3JtOQq(bruj4cJENxz2apXPE?a7I&Pv?e>?p$*7CeCmqXKPCQ^lh5M> zBBlFPYX@K3EasEz%EVT9$vB{_hV?i-kzXW_g0>=36`p`+F3__^>Fs<=S|sAm6AJ~y zE0CHEQlYd+6D-(5BRc1k6`suLo_>&6v>DkNavB*r?r24lAohH^rxds-t#Nm9#C(;T zoV|9;t(J2xR-U%{iF6gfM;%j*k207fN~mrO1;nn&D9a1Ry(%&nc3Ra})h_Xg)eyF7?MYfK{^=Z4?j-y? zY#nLIv4E}<8u^S4fQ6M@iiLFBy}h$`jyT5J04;gZ?o&k)k8Pb@{wY~TXSjtyYLDtw z5|0}o^DO4N!G!B!;TNkZi}OtWt-INljWDYrkJ+lF5%p1g*l`QTVFk^g$LzOEt2+US z5FBp%2cWF{9Tcu@LQ^l%gmErxtSd22CgE29wIe}i(h#r}kc5!$=eC^l zIZEfDG}><_^PPbdW$e2XPNnxCeM+gqmh?i9)Wx+ty9k$@GQz;@qQjz#E{cnP z`SRRjg+CgKP!dk9QFi^bvaN}V4j@ykC?g!dGxVlW(fzkSNgp1H525iz%bTE56u&Mq zRIm{Xn>4Ue0qHaY!#!a-`!*9#3&V9ttt#wc9lWI21Qp;Y(13A3A4dUDYV?cd1a$EE zgE%-uSd&)7S`LOazHol=NZ=P_uIOD*c5k6?eimNVp<}ys>v4&FoGJF}2n~*jdwD0? z6gxSfoGq(6#8&YUzGLE}lk)yvvPj+fG;kY9*_&at)}wAWwI0NcWIRF!=8SSYVt#8+ z?&f*@{k_5dEvH1Uv(7X|9r3O`njJsvas15eUFySjrOUJ=Kd`uqN3lqmJXZyGGAL7zgvt+KwE_2K&sn2c zYa4$)^7@=Z|5U6-mo|s;yy@F!3MS*AG@oz`E^$IAw(ymoJY@4>0RfZ(R#w@gz*T<< z6|m9I6{ktT6Rk-v+}PH%Ih%VbXV&25_gsDIalNN$?JJcpap)hje$Ibj{ZX$lXYu%XT{6Sa@$*Ad>Ooa`hZq@ge2dc_XFqLXwzT@L!ps8S-NYy*(<68c z&;~+9v3Zc)CFs#_9Siv&Y;=jUM?!Apgb|uQa?zn(A6U|!fI&b>NcvRrOWFT<)5b8% zdzGGi`}DfLCt1KFFTbaFC%nB zx`TVd=#f!N&toPhre3wzTw)G;7vXuK10rBR-O zSZk!(hKKc}ee5|0i?a_-2e;0d`MW^zRdhxMU^`zcl_({~IJhtWWAzU{a;3tl9L=1% zWN#a4EMSvfj#7RmQ->6s2notFX|8~b$Ctn*`F~3;fm2n3mD*Y5SA2EyWkC~H`GCJk z=gs~o6J2czA>FSEFNPS?KVM%jIv_>jV(&vI$@_(Mtbtm4>y{LnPKsF7#zCVE-ea1g zO&^fyBOnh#No=W?m&|J zR{Gsnn=wy{`r0-$MER$DI(pupHnD#H+MvE40Qz$azb2v{H44dW#YzraSzc(m4|9a5 zLo(Z&$DV;mL`eP_6r!gio*gJddgkx~#g)V`gkvo}j5q^#DSqr84agsoCis*ykVaIx z0?Y80=LE4_XD&0VO$l&$ra>rj9(fnBHG$BTqZ=+aGIqxLgie ztLjU7b;NgJIFN*;Wh)NsmbCsBwju~p&M)=>3&T~dhAI$`i8oV&1$}1aAQ_xl)eld~v99vKL+*1J?@dqt6SinB2 zK8czBavaEc^_2w#>H_jBsx|&Tk_z;S{-+mzY}DtGtP0OlHnbg|Kh!$EFBbXb52BF| zso`|;YaBnhpL+Ba!{HGf76nbncG7LjDrm^nHg|s5vRGl=H%x+WM38W1mk~e^`G(Ky zqhAN_mD|x&UZNuam=$^=y>+i!Qh8hd1yNFOU(=cs8tVIga#C}@agGd3h}gj_J>gX& zclfN!t;)_UX!A+rou%WsFh{mA?W&I2ZCoqFJ;LNE7s+Q#vDi_teTP1abZP*@dg_Ti zDGKyQpi8Ow`a17sy$xOfjst3X6is=oFWI1v7Ep(vg_|-@y5E;jMvvDy5~taBq<9Mw z2C^E+Mel-mLZz|lH*2MH7fV>JSYqo$q7;`I>OZ!*+{NPzE9>*%%EjOcHfL9B#rEVn z36<87t&3DMA$^TwOm0XTaITp58dTt#biDUz=x4h z{p6y<)(TU-vHbaU0^|?{+0xU(T7r%a89}F^j;A8)b+^;cg{n~h7D(xIJ9QwDs+`v9tF0qJqevKlBA-ISEr39DRowaKg1}8`&JZX^j3!#zkM|7 zlYAo=I^a{g+Fc9YKBBv$S@#WZDm<-4F0?y^7s*hMY_!p*7$56FhfOMy--(~|ErM2( z^Pkiudcfu}uPKHR9}h9ztWw=GuZ=9;@0cHWFjgROw(dkTx^1d4G8t1leYgK!IonPX zmETB!A}s%e6ASWfr5s3XI}m+^&j;&ET&(TM6C)qiJt|wOof9EGOc<@$qJP*oQ9n7K zvFW-k;G5Ncjdqk`;g_0y*~DGbS3^2*KxniQqgWTQE<}yKFlYS-jG@w>w!5NG>8A$y zQCZL=xoG#G+%=N#PH&4+TN9ry=Vf*gEysqulWziMF)@zSysWv7XC%7m?_x?zG76#N z8jMbi_0m3Q>A0=r%cS_0OH!VBRP_5mf7II(PIaxpFKa!qo|l!J*7O57@Xs_aahgnH zQZPv3i+7PeqAH${$tBSp>)~a6erLyb&tk-`BtW)oy_kyrah@BOc-paD;bzN7?7Cb8 zyr?9XIY;A_#SjPbv@tSoi$4hJ(LU;HL~KUKuvA98j-}9hUcXd=MqQ{dGg3Zq=@}A-ZFc>W zz)*qgtbtFs%eFK|;mwqp|T< zE#cK}cVI7ZIT*v9))N01f2UPk9Hb)zKP{UNpIzl1-pAo~gbyYU{u>h0J4d^GuBKe* zdjd?0}*tmY!kz1j@ct!WQ+ zoWheoO$hMlnHtwEvI zp|3Za)paieqb(VIQ=f8}nL^T>`)w8A)&zrnHUqs^+YdvhZ)%5-Xfm$mx8LWQsaGz6 zf7Ki`%5aP!n6NPQ{+Q6qd?^)^gY%=*QSCLuRf78w8Exp*Fqx2vWQxCtg z@R3RQb#SttMf;7d)YH5#7tg@;I|kLKqAzW>IQC(6wQk)|CLQpfF_RjMy^(u=B*oU4@L4HF zh*L?3AawHuu%_*fQRsxWU)wF{y@9u;CC6#l7YLr){`h;>e{p(weCaB-XX~1*PHe}C zU$|R3u%n=^AU=dujK`3kY(BfpLq@OF9FHrIklf6iUK5A9^ZP0iTkDMCclVaC7e+@e zxTpv4CP#zWFuBel-T7)G_*;Q4i}9EGj{oxTwacKtbL+_h7}e|ZdVgt^_*ci z-M(;t_smV2c`iF)h3JhkOS&hn;12>M>Xqe*LB_TC3&%}Q#TCK%g?o*swEp2lPiZYz za=ROvqx9WES@*dtH!9D#EbT5HP-V8%S=GJaxMDD4fHqIgg0_5F)u(R1rsJ?$Ye{Wl zW)#C#d}X-Ka-*FcYa>_|-xw7xYW^dW_=n7aphC!wSte+3LSR}{uiNlRx;CJF;g01CGdY}It!?%+O`WDAShB&DrM0n(ybt% zG$P&I-3;+c4U$UdAmA`6-39z0vAnR+H*bQSd}?$E9F$zO(Uj*h4Dl=7-O0i-$$BQ+ig6jr$+{ z&|8rnnicRW)nCc-=xca%kZ7+qSLW5(k3#{Z_Tzw}{rymmZB$BP`$25Dg%>(rOwJ|P zax=nj?YgpekC}ZZ#qC)Yd!6u=?-ZSCE%{+b&QuE!{;sfEG?g|&N>Vxt)9p1k$gJ4Y z-SA9@S96~0F>W;A5&mZ9JkzMBEByFrhAR^I zY3RXC94P}0uVH3&`#S5_nAE}*bcRyULtMd!8>8ag52i5uI^7X!tYl}b-| z{SSDQqo&J>V?vfYE2r8qIg(C;&MK3vC)Lf3@BI2{kw7Fb^Nh&-5; zvhQ}+5#Z=* z<3|a}4u5~n;R6%s&}J>;IUT2EqG7ZU$r4ERq^FtkMzRb9;P~WCh-y!*KUy72NOARN zV6ixT*H<9?P$6PNrSF307&+>~Oxn-yTxNXbZ%CHXl)fZiH}#7j(gB{Eh~ATF|6Tdg zEZ<{~Cgu7cJ-4bDmu*uPcm6mTt32yBHVo-tmkuTu`UI5^Z`?4Z5yq4hzDkePGfW`- z%k7@~o8*SZX+G7O_HLQ8TmEKJF;k@qW!cs%sxKS*01%TvyBw&xeEGS+r=`=S@i+D! znJ3L>B?}W$h|-5|1*r^-w=z@eSm$UFU+Jah8YkLFa^L!I*cxCfU5TfWyET*|!v$>A z#!2(QI3fzD*7yiBO8nj1;Xjj+QRj;quB)6Co-k6TD>NWcS}(JTe`-^3FQB!HNy~dz z8WlVMnIwly?V@$5mYmBTn+KoburnU}t+{x-a`ShVm!{j#A74>V`RpRak-VKVNiQXr zWU}eN0G;)3oEka~UfLCA2XpD-V*qeM@~f1k3tDDMkyY=Irr_(?zRI}9iX-78tSZIt zkik=bg^Qo+RVH#T`m%im5zBKrG$rsECGJxABwi@XZPVxjb&;0KpvzFLny!neHAR?K z4tHSx>=Lzl^Ra#HjP$`bAP$H85^i$a8Xuj009AXJ4-2DQ@NjyuFz~AiP>SU0n|sM2 zCv~bxOPbeR8M^{iB+rJ^MY+vu8CXWm;Im&J*0awu;<*kte;XlZLg|}%!+6HYahkKw zl8g(k|L{Wl8r;#BoE4SZ9ob+53>n-)Jh)1o*R0k+WMwk+qE3dKXG|GI5w?u&W*&+3 zn|0Bo`z}d){7eq(OZ;nQ5r62fAHk+_rK-=)2c??$9=jb{6@Iq1YL&H#SB?UqGWX@d zh~2C~y3{bTj7p=rmz9^O0JQX4H4+7BBRhU{U1%V^5rxL^o_#2`1m65euan%GVN$N0 zTGbIvzhh+(FjeS{heCPnBnpYFrgDTprd>(5OdNsD?mniok-qrKd;O)21O`2zHmHA6jA65-vvIhM$O(OX9u>p`lOTQmYMzHv&h zEz~c^@N8%#zxFiFq}-GbfcEkV4jT0mMp;_t)!*dc28wwTx-O@0p^{hatIpz)B8ndWA2Cw> z>plF&mB~s?JH@6{V+mi54H4)&9MfK3$`ZJ$mP^>+X|Ie^U>tbFZs+>o*}!<$D~{~RuQm_=;U#k(0@SB$&f&u*>^dh^S`Exw( z`JA2jtWV zZ0TmzarV{ni&O~crVRM`Gi?q3pYXnRmenPczKK{91J@fg3elt`!iB9RJ9UR<(7c^CY&hMD}8Tq0Ign&NB!J{eaCmG|kL z;2CxLr$H+-eP(8CBwoo^uSrUon*=Q2~@^_?asDEKEM{+&ZMCZIT zkQm^DD6dkJHWIAXp^)qAG{w+AQ<#Sxa$xqS1OKuX-?ffmP&rc5xc3yG8o{tWb(*hs`J6A3M6GtT{BO-{WA((qFUmp zzEVMH4S$Z9#Sndl-H(Kj@e=luu`GL-CXVq35Hn&M z$yh}79UEs@4?gW=9CS+Zc{ATyt{`~ftCu(@*$G&kV^gZH_Hz&mbhiKc@--64MLs6Gn0>HLvl$9M%yTgX{*S}+GAfkGo1U)+vHs9e_~kt{!IA?y zcBAYEXC9Z8aH$z?7O+1upIHUcpJfO6Q%i-wC{5$)BDq^AKQJH{q-|dzk(3)8;7)z@ z!LA&pwVocSEl0wpNQmi&HD;;aCyX^X>j_X}b)@3umAbUs*I{br5ms>A?j7`YhV1!cI zS%kWs&*8|ZJBMT0?^31zizI20jM--v_3T(^vY4;59V=BR>?kO``LOQ%t2Iu*p^RsQ zs1cT}I`&iENm)CS&6Q0ntJo>0Vchtj5B0!?mJsAo;S;i!qBDCzTj=`Y>U6FNYpmF) znSKG=Wq{0E7`jOx7?n>q*xm6-dDP?XHWj>21M-P9kU(0AWjQ_HF}yzV9b%OB_Ak`p z0MtOOjTx?0B*z$xV$uumNAZ{l;MM1PAIu?W?fWbnp8IPO#5BaHhEtPxz!t> zb8yu61w)EN_Vc9Eq++L87eW1%*LE5jcAiFRFdu2HHcGC;ya9p--e>E%#ai(dmaFlp zjJO>P_{=EIK!SyOf^Z8a$bUmZU4!EM)XPir??tY+1Jm_&3-_*7(u^E|@W0P2p*mzzT<1<J z0h}gn8?w@f7pfGZ*@X}67Fk`Q1UHZUDd9mFlA-k7R^^l0r94Ds?uA4xHSg^H1kING z!LYf-vGuB|E0XHG@tER}Sd#lAMXc7G5zM$y|HPe%{Lr^dg!6g=q{hEqRTKuZFJpAk z(uE$D-{uSH?jQXqPDYEi@jK?+i0~Fc-?M`n@TYH&Ib)0C zxlitP@xO8V62y_FK7oxNLZ0?DCG zweB_^yRFLO2!%bY+;w#>eXh2W5LPW|DwKxSwkeA`>^qhm)Ca;xNkF^mZ99!4q1%+N z$j1wigBq?zyI+pIOUe0vcI58R?W02|Z+jiy5{0)|o3${`VWD6GcM!cZ)$FuR!eyz~ zKD>M9ub(>Y935Xql=6HUxA-^JB5zD@X!||--^UN{7#@Fgc=pUuD$p+InPK$(8HL4n zQ6J}tpBnmyI)4!j6xA`LETSij3B9ZtI;ZhR^{}6Iinikc(t%U9UFW;1l-;kLY8n~-d?(drj@$2e>f1P> zfma{jp7m#)H`BL7Tfk0+AslqfM#20@(xCKz966WyvmlJnP=ucDQVbT^Y)RhAFL5 zGBdJkofB0OHy8vzI;bxxpZz#1nzZ_gn6%4t-D)gyvV-x!j9*md`eCLY4c>H#XR@AY zCiJIwtg^&N--|99Q+sl<8d~!Zu+yJBNREyDb0Y@(oE4-6G=r}OMXVg&bl#6G)VJar9nzpvE{YxCYQjcvOPPj3hh`1HzdwI#^!EG?cVqMOQ2ydAz!av zL68`OllBNw_4K)B<&5i{)PMV3`p9EyJM6B|G17U!X~3R$@qs2UAo^G@JxUs%uel%y?-oeW8wN>Czp+s zr-J-)^vhF`MUZ6{fFSLfe4%5E2uL}*J^S4l#)*0nA=ky zYAYmi-~Q82Pce6t#<>8Zffmmu( zlnUbxx_RN`*m$moY;epdp90s~qW8L-5SJ7MZ7Ulk#uxIfPT;4nDio620{(s+8$4e> zvnxH>M9ci|e4dF%%jr3Fk0q-YaC4bYW(3!yF{KvPr0rGvS=rM6+e=@^J?}toj16iC z1ET1qi{GS&EWVXVOvrpz;?Ri{bQpVF-rnGtF@GP@fZl26AuZFvXAxxiwE~3W^&%ae zXy4Crce>Fj5ghUzjJQSn76AV3p!F#IKUUg5=i@v^Va%S$tR~K;8FNrEHt_l76jaqY z|KeSm^xn8f5S7%8TROAVnN(ab&hrymvVUPX*%uw>$KA}cDl@un_B%=$`K{qg-4Dg& zff*d)ZBv#uSH3ki4aAD6ahPFXNq|*os|Uj`hWr;0W)5mv>im0ZRfWVc`)kjlNhjAz zwad`)l;2a&tgvh$rwd%hBLfRTDyVsKAnRspe=2c2W8N2nw4L@pBq_7y=9N}o{QSE5 zPN%!jaQ;2X>}Ux@I%lRQk{v_{fHk+wv6NxEYNC?2I$J>_(OJW^1QVV5>8kZAXL-8) z*XFD3?4ubSC_)^p!V@2*0njF`GC8~5Dc7%ec8d&CcdI>jUAPzbMSt*qMpzzjrlb^4 z926Fogsi2#8aD?UF&wys7^(GOms%+j=tRbWD zWsx=Cg0Zh5;MK90{5fZ7{^(S8Dif=KDf#3B#V7hYkY}Z|BC@~!EMOM{=hNVU zW6Z;Kj(@EXHQLcNMECgjpMlqad!KC*B+ zUY^>AxR5q*P=*6q?N-sXUhd&M=Z-T6kNdMpiB6A*yzEr6@kRrl-a-&(6jZJDq^trqOMNwQoGcGp1^li~1 z&L^DUJF&K7{|@U0>i$dBPHk-3Lbkic$fweD;B4SLB;*AGIaf9j_G)6Z zLvHlf4~i~VUQ{v|+dpj|f5a-hAue$9p=XU17C;n%rl!4k^FXfhea1}zWx11OH$-iDQN`n-o?yW>#gXgKsVf$rfX3xqqXe& zA>5Sac)cZwdM_TuRrmF6W#JxIbr_F&hRWo(?p0alds&ti8WNdcBX_m};<1D(#oNfO zgHQXuRQS{-mhfd}tc$R)D&lm{i-L%in!R5%Waj60$UrKiBZ8PBHoRL9ZBvXnxgi7M zny!!~XZjHYA!Hb`Es?Uly!#w z!aBO5U?#9E!QKuC(lm8sfjIg$%} z{zBb5Q8l-DH|5Vp=tDL*i;bBV*X=YQ0#;b&h+wcO7802}v5M~?$ zd6(X=INd(HOLhU;wjCDM9jY4vbsd5UK6TX$8K{07pM^}|fwOxCqJoR*KY zoZa$FVeClGmgqIt0tuSwCS=;*Pz0!9XrB)eZ#WGWk!bz%TJ(5tc<*znni0-SslT@;Rz8bN!J zMH6&8oY%!O3;wOe`9veqxozl0At%y#5@0+`eBKbbTAS~!pPQORgL7V5>~W-FxQhJ4^^jvseNWTsdM?6rQyyB$`wQKakSoJw;4xr?Y%tMSQ7xcWrT9U?PC4L1S|&~ zmE=ZAyZfnn%im{jth@S2IO?cS>^ea5wjB3*71_4CN=!pZJc-UZ%DR4iJ)_mxoF+I6 z^;T(|s>*YeBC5)whNTL?OEvY+P+)AGN!?zz?MzYk!r&99M+4>u_Uz`jJ^jbuTdYc3 zYcIzW^8+|+3q%c0WMnm!k;>zv(F zyEvc08uOCzMXs~B+*j+>tV zs3pBe`(#XNozG-UK;T6TR%~}&Rf~rCankKzkX87L(fcTasN&Z>Ry8++_tL1M(=qeR zwWvz&%%UaAaP}PDmc0;>0sVz~k@tkac=0_Bk2&QaNweverk_$sX}PbX4A`c(SZ}b5 zt=AkQDT7rh4Ee!WMov@LoM!GUDWK_8`T8}sy|nP=BK^PE7>76NeEenzCyLXBcZ2Mr z=GGLdXOnR;Pz$B%lfhEE9b6UHy2FcJ+@Wl)I+I9-D|+0WhEu=vh32Kaky|w2Ne6M@ z3Q=+;m{R47R8^N(Q|Xw4Jzl2yg;SPaYERiH-J@$#W2!IzLv~4V43kmeMOem;ZM9mc zmPrsuIl5s3{B_+{AZ7Yts%r<^X%`}XE7cXJZn^>sF6r`)F7J6L8Ma@Fe1X98nLnRh zOkY?n+sV1R@>7UnoN|>Qe~3tsENyL3QN2sjwYHt~r<7;rFS`p7-x4CbGqRVza94K8 z>0E*Qq_OH!EyUsTC=anmV37j>h@u8<$J=nc8qzx(#fWb#8hu&)`5)#7&K<%mZ^kan zNZ=%Uv-Id->viSnlFIp&mWIA!B4zyF*;rJpobF|xW$vtIrEXC=@8#iw%YQsTydeih zd<)iA@tNnf2KK_osG(N^znOSZf&f0{w9UtziKblY)^~t1+(61A&rxT0oTRR;K9<|{ zzo${z(OQNs=9hDB@07a3l%7BrNoe+mj~3ldycsBPF}Pp;;r=2b$UQXj^05kfBdtkU zKD#+o!M+n~)TPZ8q+(mbCuJMp_^ubcTR z@sx-iOL2IB@pv~AKC=sF=7v6eM+`b}UoXF!?u66y*XWiO~661oDPPv*15XnZ#zBO9#LnA5kcF_5$qYTp(Y9zs@x|fuB zW?=6gh^J2mLWd6*$}heqY9?fql?}-HOf>z_3!&^7TRlW^x^C%-Wa<>s-YR$haz446aKzvM-0>h?}xUZSj@IWMZkdvos;0; zt!>7`#83*9&0M0#gJ4l1Nz5yq46lwH6q`)^w)$?be-1OM*LOL4QJY;TRPdF;E0VXITM~~HDJe#Xif{-lKB=GnByM0`om4ou@$Ifo|`~6tf;Vd3E5>6pvavMpg z28;^U3d1$a2^V9}!5wi>7F;ALSEOuM?(nV5V$WYLPq>epybwjiWI^9G`Won8tIdZE zie@t3s|>V4LfqSF9cMv2lZW}LIYiptqnMJhTK{M_yI6xCn={j$}R_ zo8XYs{*>_y*v8yTN+=W`!*4~K6h0G_QSlFiG2#QD11%E>I+g6oV{~^@uy4M>_pz1z zaG2*H!}o5F`vQ~gy%1ZW;i07!3`xU#O36y`e~HtxO~tn<)jI-Wa(>t^opNt7fs+x) zkHnJ3{3P3gGgIyF;cT3a<6#aa`nKeK#WO@ z?4mS9kmgl43$m!WR?;vX`)n{r#uOqPBtqZi)((b8&3D-38>RyD8$f=%|1zheN9OAA zxGflwoCzh9?CesCnBJ~;he_LY-vNIad2(g$JDK<0Dds%Sii`raN0cA4gV=Z_a6w;n zZgZ#;LO*;ML~dh~$9K}m_h5p$d(Z#w=7`mo*4+I2Sd*PwCVo~jRp~5|z45rjOVw;3 zxrXYfK@Q`eGuy^Vtpu1r0cRqLCd%V=oS;>2DI$8a38p0(muS}Ff= z7}XXSV{cz8=2vl2NZc*ovZJC-9n&7tJ6>@K{b1fb2P{tKm;J5msUwp^n={2z2mNl| z{}R)yIqd?4Mv}t4bByltdbqFGN&lwEpgx!$UEI#nDPGL}{5M~VnU|T2a<8=O&S=6$ zm0rbBGQ&_Gw^zFtS@Vw_!_Y-l0q^iWB}$QIGv0B)>1h)XS9~5nR7~OZvHEwc;Ec}O zrl;_4qot%HJKjH8wC9G$H1wDpFF8Q~OKE0J?*o<%W9{F>OIA;KW4K+jQ-V5~BG@MT zTu}X#){Q9uB^p~o&p#H38xmgHXY81BYV1^RJK2F)JdsM;t27RsDRf;ob8$;*Bz#W1 zM6A(0WZot_oMSRYcz(XcD3=D*rG0d)i^6oY z7#*J+2(qO1P6wJEHB5E!ku!9UF4F)l@5;DqobW$zL@aJn-f44@1<9^Owj+Mx51`xs zsnXQUo84l))4Qg+(szVjBWZl0-5^Lume2QIt+$M$P*0mlxZF*% zZZxhUBl|X2f`{rqU|@YsS5o`0-uTny$2e$lxmCRN>(lzr;=KmLv1{-N8a>vN-OhtI zD=dqp2=Q|gXZMQXA|>Iq?|LD1`%q9BB6Rh8Cz?7dIm7VasQhz6Qr+F8&U_WC;cxN&4JkxG4>ZJK=<$R zg(aeQ`*#+L{Ci7lWB1@|t>W21TrBEsn1?191VQ!*?IEl<5Kr@4-i4cI^JT4*bo_kd z^LvLAvwpD@=HJuQ678cbwGiUB9GWp`uuKBJdmq#I6~H_YB8*JBhk9u`rk~ zp9J){mCP+gGr3;=MFgWG$$X5-fAyUYQ){NNr>rNBxL=eTvoW;<;8FlhZLvKsb6mv8 zPuv!#fgJh*5DBaVN=z@Ca^;X+n#nc6y4hqQ3{yMd9d@PyIZB55c{@EAQ1X!&`LYJF`l>=H!gcLf*UsfT>UjU z)kQe%L+n&oTV&Pz5KoBLT_|4!2xuLGXk6R6IYN|I{gu`*EHyH`yF+;^hPIzSmpc{k z_DBQ+@i*E4XKC!Srnk;9`$j%C1CAt9Dn2I5OYDKQ$|2@OpJ&qJ8%X63(=@e3DR!*= zcA@1ofPA><>0BoFg7-k7o8(Z<9#cF~FBgDCCtIR4Q(tMj zW<9g!i?VLysB@KvY4Vbf40-@;dly6Z>jBSnuCMY~swJGW}yA{&PIloHyDLkrQUt2tO^>RsfDse?Hy_#RX% zn8UoF3c3`iUe0|66}Oe@Ay4|$${J?_1&UoK{;nC2b!}e7v`x0ZA?8w17zbl1`Z+I4iuH%=9mJ-3G64huz=R{w8PugSU)N7+yU>vCREz;E z&PRW4?ltw12<}fBi3(7C!il&n2vgTElLIbzX-R0H6Y=^7WPrHuKP)qy(Dr53z@h?d zyrZ*ueLk)>5B*`|bxV(H1`CJ!FGCeMd;xM6^Fw<&<`T9u`g^2_gW5Bu&Z=hMM`^v< zy6io6(-y0j?;y45q~q#rx4d`990KMc+A@gJTrHmu;o<-AzvwCVA+$yd4Q#!)&7bs& zlEZ2ng3dNwn#(RMM7K{h&2NMOf9A->z#mPO!h^fpdf;*b;a%hochUOUtO@=X_tLJM;7c5 zHNdbi9mZX>A5F^b{aZ!-xb}@bscYUvX?r_=1qsbr9|Sv8 zo`0GAQLJFs4KlY0+NK@azxK?7i-4j4SN)6wZrFa8t+q`?9V$!!JBR#oBrTAa+UM=4 zs`}Jc>!lz%_(pvJwI<JA+xV3&R-H|{O&m9t#FkC7ZvaJfvi8TpWx3E^xtoBrCu<7{)epz~> zY3hk@Z1}~a3%L5p@W#P+W~VB@nsK zp})fUSY3t>fh1wmU%_=TpL}_l>JY(`=_esls&|*K{NMeoWDFkNKHpe8nCDRBhRUMY z#Y1$Pd83t#B*%0iXzwAt+xq5~j1$>C-pm?G#F40L+6_?H;PuF~=o-K!*`ECFC)eWF z%ju4u!_Hpe@i>2x=%r4^$Re^|ey)!3j>?G_-a-IxbXX8den`Q-sL)NdQms4*I$hHz z#K}@ow9*|2qlWOhiWKcrV21pv<90^lS~HWSG6^;8ZgTOc zWq=b~e(I(P0P*qZKw9WI9p!Q&EiEP}R$Rw)^G#bqbl_3O38kL2>bkc|AHZC3cpeVOsxvx5JWmUpTwKkG%DK;8OrD*x9it=8Ag< zNzNFM4*{|w9F`9p>_jH$s@pO`o6WT7vx`7*<%F%3>M^AwsMkDw238FOrt>&Wp%^K2 zBSqKf97apesMxilY%7<@#T4;3bxi>RTZ%BcuQ`reO5?fx?l>2cMooV#Axc?kC>?qr zaRoB0O!l&$bEO>{+}o;WDbs%fbQ>zkh9|*Q%mlQmn~o1yQm!T#(sauFnone6hpAe@ z4FVhtb32RR^fx*c;gi9kcBhD;MFs+B;ktNNl;b%um5IM7hdcq8eF^EOPMVot z{w|okuuDfurkGmZ_OeF}#N)~TGUTevp6qN6ow7&ePHr!yk#Thk?PL7@&8IS((D3o_U`lm-qy)R%ZP>UZ*1!zn9B2cK`P? zbWPIXr5h~UAkDKU6rZQzBXJGYX%ClOnkZ@DmKBl9Y>!sq95DYu2bKkA{y|KVXlSl z8g~7P2Y8mxpjuHV2QfUlHL@Zu)Mya*Tq<*0=Lw!j)#M3wKvCI5R16c6FenD=6YtrD z!|L;BFLZV}0So7?0>Di*o-%HZxHom#?BYDPYRl>+%dJ)YoBK&)$>C^p7Ib6y2gzxv zL>1N7*?8Uyk5A_flsr12UoDGsn#j3%yWl#L#iMV}pxw)cPT+GIxZknyq$PW7^{Yzz zaxW(u!EZ4Hi3Uc;jWrB@eiyE^J(CcJ4aC}743H_EVmRiwk9l9Dj02} z8qALFs)$CgQ5(`Yfv`*r5b8)9@TM$$sB^^jLp-GtC&NHyU?@XFkG7_{1vZjFB!+wK zuYsTAX14F66=|$dmYp*MX$~)ld_z1F>{RlXKtxV19eqX7cL|ES{Y|K!kCH-2fL-f~ z#P(wkU!RIs{1=35&-YvQy301ZA9z%YnVAF5BE}C*s%mIDAX7c8<2`qWqeCRIR9t^R|zO#mYwuGIbDCR_Dz59(w0v zrOlzW@DU$GTR2Qc`Z)#1)?*ymWUm2v!l%NTiFYEDOOQXI`i;K$5*6wd z*rFfsdwAqjNb>q{RPmrVxmX1E%+prTw*1~F#l3rwqQKolL$P@4u`T0Em6 zLAq-G_pkf4_bd?RgCo_=u|ubfRhNue%_hSYbQo^z%h@z4VPVbL!$c3BiU=H_bonai zEN8(-rtU9nz%!3k(eqYwv@BV|wff9pSa>TfFI@D%Ei8Yy9wEPot5Ebub36PbA z*V&a(o0&s8#ZSl%*eb6EcMJEj@7>!{)BJL$I{G{~g>{;0dmoc4rb$^?JR~%0KI}M4 zHL**aWpvaJ;Fp?ky}B%jNnr)m6r_*2$o|M;P*6MPF7IIeo%g}wyDk1#WaUKgkjJ*& zuF`WeRkh|7sefC~fq~=jbLV*xz70z{-Eh=S8cm$U)K(D6$~GosEh)!Z=qFTMGsg*f zSrTQ6doPHBq}V(ye^cs%yQpNnEZN#Qu>AwCFbej0RE`-Fn`%Ev2gW;E{y2EZfK(Cl z(>eQ?f>dzeLM^{}y`&_uRF$z2U-TkogVVl(Wj+b#NY?`sB;NuyK8hmkQB6Q~|csx9xy9<|c%9+2l1&t55@xi4B7aJ6*xM_ax@!5=C zMLJJ+cPG<#&j4X4p|JO_^_SmkDm`SEgk)Q~Y)TE>r_3^qkN>Bm?#0PbLv(q!1pg1+ zF_U#VV#r;2Fk9L>iz8BOO{flgvwXHa$*eCI=(P91#8!sJk91ss?l^KO+dt8jx9Bce zTHANmZ2!kL`)2RE7&a{N%(aC7y@S1FP3|w_Eue!c(5F!vw?DlTi3(sTxYHaxOQGad zs@=%RTC-!E)#ne159E;9m)su`LKYDH4V0Vt4Js~6k5}(yLZxQDR^>5%jx^@8CTYxc z_u)MKC&7uA>RLIZkakdNwQ}s9W?#7n!)?%1WdIVG#))k4-3(W|cArf{AYp*k_0puy z1+SwI5Ly_|K94=9Ur3GYBiDIyDKtLExccNf=f!JtMp?IS#b@!yD%pnuhfOVUwWSF? zHM{tXvgXMTKPD<6wiL`INrt)o*mtFD{z(cD55M%2>e09##1zKTa#Nj6!hce1b%$hl zdWyA>KI~zdU?^cj#P3W&Y%3`a39wHgwdS$Z^hm4|0Vga#C%epP*9y8u_@tOqdN&G9 z7c14g4-3g|wB6~8rG1-#-%>9SDb2XvWJFVQA_E&&gNBnDayn}Up=u)oOdt7@*+uOt zVO=C0ED~0gldFpWDlLJHhqT(Fau+vvTj?5RVEbIV!iHkmyYoVJO2=8N3SQ7(`;LjEKP%TkWQ~ zl#txnH&Mnz%7RrtmKmvdoHq-j)y=22-cgOVES_6+7pc@LChpd5*|~X+H?;G#ZSQ7# zW^lscTY7HM>TO#XK8@?s!{pU7U)ffTvP$jTCVXLHeX4kpdVmM@XHttCq^?&xgo25y z4o|OJOW+VLr~5^r39~nt5;MsqAX4<2Hh(DE@tgX+hNha0{faU6Dre#HtL|)Y_^b?| z9e?0nexmhMx-5G#kf!f%5Z!?Z&07eL5s1(C zaji674}Ax0fxNoLN)$~(L2yB$o)L1iU&l<)6f(9Zb11W9WfR8Qo@`R6aJb&6{3vKg zH_k@xuoGjcnu*tFXIivfqAEJ}5#o^a1EM0DbR(hm-;|s|nvEjXxJi%>W9cJbQX9=% zv7M(>w!2Qk*3GwT`}VTs}WJ{dE?t1uCFo^J&A$+mlS+b=OnbjLa z*nV5SLpNT($@3D(b3qPS>%bi{RjH<+>YOTU-?zeRZVR818~Q;ExU0w?FjttEd06F~ zgvZ93NZ-vMW2bSl;#&Di;*TktU@tQZ)F2r8pRsTV95J)3Jl5 zC?I-(M$s$P`Xx~UuEetC5@cb+$sf~0n38{)F6E<>X_Zy=d z6@0ugOZ7pLQ?6RO@-5W|IKf56`si#-o&8EYYvmFT=>;+5yHALu3zi(uz;aj}oEI6R z8g`tk({PbT(S2X(f&_;+I}a($_(28B@Bhl2B$Uutomk9R(|)PiyPP(g%+cfJIM-O(4;bU#Du2-8dB`Bp)(OsYiDiC6Gi0oc~aMyGl3KuLg~M zCiaTEmAHkfKd)LEnfDs#{t>s%Vbw1EC&bX}1X-rm-c~fLh?Tj;+VL|m{ZAJnKYs>Wx zaQ(IGh%(ChL#IHN?X=oNTl(R0t;;G8S)wQozG3fERSlh)GNx9HEB)@?e~I9i%xfm9 z_B*Oa&V%>%Duy`Tm@{+5fXyH7({$FTY*s2Suip+jmLDMx>}JrS9QFzVEO~!Ip!agr z1&gZsj`r1D`$YTbE4iSTHlb^Wu=m7cK}-~-cC?>=;6gNfcVm2sWYgV8V5t2~L2t5a z0LnLZ{HS^rGxqy_O{ps>&ve%mpCUypcsxWOKKhp3S0gUAlAVMJcmx${cCFEuipd_h zI+~W7$)I~>(2=|ok#+yvE>WAaEzzkUgf{p&7%I44Oq&r#4vm-8uyEOq7mdjLm(-vtpMOe%Jo2yJx zQ_bEA*wk6NMITwFq!|7IdT!IRoYq#kYfP;P!qPuNYTD+Yx)4{5xv7n+htDyVh`|CQ zmd&2m;rPcOe(CM2qB8m*k72FoebfGJ`|-=UzzjFsTM84aoG`Jcr*p3?wbGzD&C5(| zk<6D1^~9N+hQR>7%ZV_y{(LUBmT*NzRlF#W@~Ry74yAKCXnN$5$Z)=FT$PZ=c{>fX zXigvVW;xMg0WKUOM4*I>z(u|CXl`a!lv=Ltw-=|G|7N|0t-^YB#N}|3J!xpf47%zqce-BtSkrUd4^i@^*uSS*vXs;ktxA4yhhqVa2Q4hQH~XEoPMKGAy>reUVL`NJLt2t4k;=|_hK52j-2 z7f~^v=W;46cm86L20gnTD{K|>WdHcMNBB2`m^dL;i61kuU`lfXdJTxJRQK-f|i!}B)qc8Zigxu8)M0Chw-WU zs_~EmM^5e6*rYc-q>G5rSBD7fp7_r3`U#HmV>w58>yr-+LOf&l7f-U*gm#ajb-_mk zJ6bZ3LpoTJARU+XNXD+mP-R!jW6f58^va?@Q?w6znzM;`r5cR)Ju3VU=keugT*RzB zYGmKA z<6%<*AxJultf0#_aptJ>$uC(_qWJXA9wYrIb))o$d@iNo`Z4IaFj2?|fngUev~o+d zp(>gh-Bmd{^|3Pj)4D7}7du3i$PWPlxkq&WACSv3SwHz7_!6R873{*zZCjpMi%fYC zzgXej^iQXA5?+*sz2+PB`ea=MnZ@86d@kYpEBB{#^v9VY)gsU-R*nD3Xbf4!!Y$k1 zkm+?!u38+vF#Gh*90Md#YtiFAZTEb1Z<o{ap_PJhC}i=me8Ry|wjuS_@~&M*9qrd;y^FkCi`d zV|=>8QIq{39WwHr{cuBF5}OJNyXQMqRe2hT5b;|HWR?3cT~VrX&N_|91*jGaA(&Ab z62GoHc;(_@8wRR(>S0?q^VC!qo7cX7`qqMYqvv59^W!^)Y=s;Ipm*?{B*X&fThOTah$`PGM7HCsX4>KcafVOfc&d<16vL$G`~Uctnyf3 z^D366)7WRUEioq05N`}26@sz!kOTGl-~n;QQyDKokMCVog!P1@LO?DQQTq@2FW+B9Iq)$!cpccL>pBKwUE9DdNNdmQ!kLm+#Kh=-mGl<+6J>K zEy*yp)UaaHBOVGm1f}rfS$KjqVNaof8@G)uLS?Zm@LTcx#_p<;`AQ-S_;@YoI7Wpp z)ZC!ILi9iSQTz#V&ZaHoiWv~JxO5$YRTlGNVJ7uDzHEfl8d+l|mn zja=I`rr|j!F_jS*xBdg^{`2+1mYqdtcBh6~I`XldN9 zPM(QRkc5q05dAlAY_B-~gx2NbRVb0iB~R1V{VCUJOe7|BrlQf+Gml(WEZ29>XTT68 zw${ae@+6E*_UFj^&qvKm*_Da-$yx(vVBYv$P^Kdw)je|SrTl|L^NKCIQm@Ou{Y5!{ z_hdGE-;|!Y?)uLQ)S+&cFy?MeQo+E2h$ljgsNAV_5f+b3)v}WP+dke*XYB>F^_N|+ z^)!sDfTq^@VXVlT;^fp|^%3_11(4}er!RmapahSNefJTX=6=1qE` zvV@$+jTI3ZkMpk6JM8q#vYPdpIAWWbAZ;{rimjJQ+st=RYHeklWvA5zjzXs++UK1p~8YcsU|@H4wz>0d!2lX$jNs%Kd<8x$2#ObO$_L=bK?<6 zE_;(_xGjzDoXY?I|DR7A8I_q;$zFx*LlMfBy_22I$vQ?t z%FH@s93d202gfF4W$#Tkacqw5|GtmU_xk^?>s;r`x`g|@@7H)fA5U#i`w{@wN+xkp z6uiQ1(PjyCem`hn^wfVYy81@8ah#vQ>)o!#v!?J8I|lqs%H?DFDxC8+i??fGE(|);gEG>sCr4+~*dKyb4jBD_^Lxu7xTKk)Yiv~7iA4xB@D!2} z;f(hin6qnIZa;>$%>uD3;&kaM-di-yR(c|5eN9W*E?Ul^7F1tr`N}`y+`Gd4WQnQ9 z9;%*Ssb1X)lH6gZd*X~Sg)L6=dRj*CT2_BA8&GWVLC^D)TH5Smp+D<%%V+xJ%y-~ zy>(1d1qYkxte54i)K^e#Tm}H>%+{}V}e4wbR|0+U09~ov^BmXKUw7M$7fvr>gCDS;FO1kIIZ*6Z3YTb zXxEwrScAddewVwr`4qr^Sp#r9M4Ucc9<(aerg8#mOQ21Si8^suCHhKqiXo9a;I+@W zj-`yTcn{s-I2Q+}S+fTQLE>tbDwxsEPTDhFj4krDs{soP&TvMG{>h%+!Ho`MQcm6N z&>^GOtuKaGbF~ag%$phQT3__rlrSqC6xeWeXq3J>x>V=wW{U2IH!&ELG%`(2O#HnF zZMFJ(C)Fno4e6jg_HVbk-X3!jOYc9dK}>PlNq(vTAC!QxF4Wz!J4$bp$gF-GZ%d;y z^|`9fZWm~e9wICD-TvEi!@4s5Zdd_0|F0iiV4inUid>r4oV!gN$?XZX5-oZ~EEyk%9UI z0Eo#%t@-LIRGX81h6ABVZ)}iM+-^8g0Lj@=_WV{|z+#obWFP$SEzwi2XB!P;ihbqX zaL98!A|O|E^Pc#RfNOXIy`{QOsU|Ar2$;q|l*sI68_u#-^xEWDZFe!_wS|(By-NqN zMXU0f6}x$Zs5`G8HRox>;#yn&ga+;ns)}a5HkVZawn<=`TdOF7(R}7c)W0_Bsm|+? zTx{j8VrAmpK!wsRP7=M`p=osX|({rZ?>7pZs#-O(bdcgsEx{as>(k||EP zRN#e^Y!@dzNt;Xrj<>plMY#Dxalh|_~AY@V&dDj;$NMy@EGP6g)Nq%KY%kEXfY?Yjy;-VDT zbCSLf>b?HdpN{lmWH&XyKQU+Jo|*pqfSFu;WK$-gEI0wTtgx&ac>>Zw`I!KG zWuyRYXO-E;A|Qk#KTi~`UcK7p?Z2tLmkCHKt%l&buYMpIfK-g@koYP^qo(66Mij6a zK_8sEEmF=+U2mQ>Hs~Q?RlNJKqpP}|wm1Gb7t}GY2|Ko$QKJDP6D~OD)qS5K=3QCZ+LwF zzwQyVQjUseZi+5#AF6_GzNk|OU3UEIq($;uYqC0$Q|ZjmrdchDy^-d2#$EV*adOWQ zoa=iQYJqIF8X~1sP`_GJgkfJqBv95bNZoKq2M&z6(9?j6l z9Tt+^U)bPuz8^6+@|EiA>Fuzqjsul0f^?MVz$s_~YxYEZ=aQK27h$OyNJPJ`ZEiZF zM6=bPmOtvL?DUkkk-d)uH|nwa)deQjaPwODQieM{O={kORDOtEt&sbdNn1XctmFy&*Z+?gI$vq3m~x&Li@Y|vVRbf6Yd$otvd`nghzqgXN29dM<1C# z-@2U>TI`Q_O>Ax8*~N>DH0z_%lZ_fk-T(%-ks{L}=R~F|%Z(SDwk5({Jcz)qRV#$F zN!SE8lUkCg9uTSfuyi@LxD^&Krx4)!*WV$oID}!|D~+)7R!QL$_h3Uvi@I-|an6bk zj`~^crlX}h(PLwC3=ATrOE&k;v4o=q6-sL+Swp$`AEC(8pS>f=IoNv&hjn)lrV`?- z;SS&CthN+Z_S5TK-jBvB_`(seo7nzuMt2CCc#CJRO^do+@*_B+^~VZJdCe_jC_Zc1 zS!TUC=1~uJ34JEVmd9Fjv?}Vmx0d6YEO|4@@0sJ3w5jo_`rg*xn87sgy6(}3e1b6# z(tox#$&_s{kpXd_20|t0-wY)rgMMlNjNvesK9_`((Yk@@)Du0i+46d~aQeo6sp*>4 z0c}u_uNcdLM*#MM#X7vy#pFr8^lEYVxs>M~r1Wz$abL2OvOY9a)$=@J7}R+PTT`*Q za!av#1f@ivEPhbC^EH^1w5xAxFWpZ6e(ftpP8?h3@4!FTZRfqduo~~S$}4-QMGQ*1 z=s(3opE+z}F$cgG(U=*5(!R6n3`-i$Scvh|)DMhJ{7HUR3Y$OV> z_7A}ds_>!Joam&iI)Q57F`K}m_4KqjH#Cz{UFs6mQ(7^er>whMY;6BrjNat})$(-C zN%i&$kmI&AV`FDlm(;Pv;ds&JIYIP4j_8AY>IsZ_&s^O%7x)mf2hU2+$QK;;ubbPTu{up z(qyU4(D{(!TIb{Y!c7``_Ch&VSdt|WQIKAfy>Z&7brfs+i!v}u4 zFZ5yKBk>d<9nqy#D+{wOQ6Jzp!}BXd#CEOJ(Nmw5o!E4pt^{i_QV#sAP60ya{_Mok zK2t6Iv1Is%70v(;QLlMZn+%F@SEcwnPdyx}7Z`t(%wE)892_gu$%7Oo>6T$sb|?}Y zT*&(2Jm6^H#XyC1wr^*7oorQ|*qF!H%F_x4ao?T$y-XJMzVcR0z2Y4}uQG!lc{$u*G=iOXkCMjBNa=@DiGo-NyUyo{ok z5ImQfecn4`YzY>-(w2?n|bw zV548@fs^`TBmQ zh_=Vbm$6kgG$efvB3mxvabj~i0)r>PiOX{v?)+0xv58fCUHYRC))#1-X0y|DQifLC zxGW<;84US(&yIw0#Imwdx>LZ%X~6hY-m~8l6PqxUVo=ER{J@EI45K)>|8NYcb{@EZ zz&_URS`?~%MH~;skN~^ZmC9Q}prUxI>$E^{Yl49O_}ia9+qT*du@7G|t-sq@8~>zk zB#^7t!meV?B2?cnCu>!fwe=GgYvIfUYCH!|@7AnXimYx^Rt*d?5frlbh4Q($lgFQO zdXCJ-ESurbjG0wsy$NaG4;GVugd0pI?rbLFhSlb*IB|}IJO%g=gSF+9n4xv4J6kHh z!RjV-YWp_Kz$+ST(4lP)vxBXjT%^A=qj;K>9~*sNq3}aifeVo{iuQO@C8VE(9+?OR zto>R&?1V|t6G4sKQ)xF}nVKoN7vOa#&C+?!a&Vbj|3S7y;JMWL|2$8)gz($eZR8hg zJ6^-)JEt{|QLVA(FC}zOiqpV^M$#;G4m{dg$Sn!99SNPf?J%Z)Qc_!hOV4LVaZ=wD zc7iUl(OKaJ()eJ0Ps}iS_^&PBv0@179g}A!=z7ylYl@aWKU#K!r2{7#xZNS_U$zF{ zr+PkH8+`BQfcD5c=IUJpC)a|Dkd0JEMy(-^WrBOeG`KqIDNQdHX_f04A>Q0D> zn@Mu6X3X}@JbN)VtMq}7G0`8fP^mc@Orz%B;y)lf&j#gItbLcfzD5&1(WmEm{x;37 zntk#5r4`KYDS8FIZma;K$P*Q*Io8`Nj~5xq5g|&=op113^&yd3 z&{0*f*e_=5D{Q0%PrMFwjm;6kDyLagZLkqJ`UqaT<-=Jl`D=lV=amhgd=XmSTFP7W z5*R7n9sA`{)94_DTKYr@xXWXO=0w9os+>qjx&(<83#Y3yrouZ|C>)wCU?HWZMepBW z+XK&BZS_h!so-b94nH5FD>kFJPYe9GsRI@){JISuTqACixrIac_lWI#=V5isfG)q- zpkQNrAawG1M1D3Hp}84rEpD85av>EZ0>g#rd%8-yHp?Ip|NhnTTk z+vE$EG43nRkw8jZZC760>*zM`SIOCtf!bgyV3!0a-KDis*-Dq#c`8Eby5%(=o|9&b z;nJGP)Se-s8!kW@H(Jk97iCIt95uF7?-%cq_U4ntzAhq5RB ztkYqo2pS|S+z2ZsMslMh6Y>7tt+d`C%C6?rV*RV&mB{0G_d1_FAC0|wVl9FXx2f_^7uLniV&C!!aY=1F zQ(k`MwpX;XIx~#=16amjtW?sdHoipZR!nKFE0`)Aoank2K0_$EP~9&UoC9Xm)#+t* z(jSvK!fdp1hI6~D@(?#K1m*dQDE*KufvPM=#SYhFYW3d@*X2%;rtb z{2qgZPO59YVKwWkVc*M?m{z{W<)Uo!iSYA!sZ!TtGSQO`C^rlrV=-5LHCR1ktBj&k zm5n5I_aRsKcZE`nrRtWljS2@%b$#TDtu*^EmSjv7|Jn-ZjGMHfmDkNpv6Fs&K6Rr% zNie5A6^rO;TdCe|SsGZOSRqJ3)#&F(Ni8zEp^+g^nv=QbpkS-3QgR{mk`vPV%M9l& z#O4=syJZHS7EJ()leX{gh55f-qF_9Yb&LE-bm<`OQSjpjTe0`ZxSWJ7_T7^JITQ2B zkdtuw=-W(9#62=I!$tiLX1o3_(I}2i8Y3`tu!s(Jd9JC&P%o1^^Xx5C-O4j+qIYv! zE~^GF1hSXeSbhdGkpWwD(|SY+amH~df&-IEUNhL!d7EXov+z?sq&|7Myg&Hbb@0Ky zxt>B1UbLXvnsVGc-X|G++e`0|?KQk7BoIMjy*A;p$whBaW-Yhi>=ai{AKxakCv6Z`e9Dg96iIS+ZSv5zWEEk0JveYhdrOXo zV`bi~Gf3fCa>@z_V!wJ5H_ab+RR!lh%=|E&!njt!7@-BLaND%1o(JRi;Pvkqf99V@ zhFXaP1v456ps(E9GE>(ReYuzprZl=WWMEA&MQbJBW?3s110Ay9q0qTzMWfVpD`X)X~Qz85Fd?Z?5emB~tF%L2TIV zB+EFse^uGRJf;>DD*{Scx*?7W(@wY%+PQgdjYhW#%lvg_?}!2kYUn(YW~o(;<$81Z z`^`W|Vm>b?8{@mOuSTGngakhQ&b9pvciM%z6AsrB{#Bs{l*sdR!A5^krCW%F`zMQ4 zGk2Q(IH%?hAE0)RBo!&sEL41-w8@-ZKWi8a;_ND!EPaw=ky-o{+8Q*GA1G?!_TW>E z0_f2a*I%qwb2UWr>R`eT@X&3n_b$d{0wSl5b;hH?E`L@8(%an~ctC>z)pIW0V=t-{ z1V95-N!&I?8hp&e#)F3=9672m32htHGg(hsLI7X-G0|sK1(iqWHYVO(2SM{)uzO`679> zJI&(z2+xhAhmAJG$^FK*q!X5xVI)T$SRL<;Fz$Jw*vW~5jHK`g}qpvzc zF$EANK`x)O)6lT!H~>vbs`iiDpctJk5poAJ5pwlSz{2WXpbkY(VMU7JqnG!-ghR|q zN8#A*C&@o7EfK1$lhzryFX6m9YGJDnbgRtJ+{CeX)}u@6e~}vyN0=njpq6QZe@(mU zX{Kc(vmffhl(XH!bs`RgssK>|Hr~KzYIJ2QaW|d-g<-2Cy!J!ZA_X~H6 zNMsqxkj!dO2^FL`l7sedu(_k?vXw%;_gX`^kCb$g|DQv9C5#wr=|*x_bW&I7ANC z$}P?HRTe|0itI0(Z!j%y)t1;_IFRGaP5jTY_L(&0$w-4g|Yb@ToJ3yfo?_D zFG&@RZy{$b%Vn&tfFzpk=ljX9g{5^{&hUrenKh(hcrI}dwR-u&6pZAgF1T^pq_M#U zpQqC;<>E}?qnvjRc#(_oapwkj|D#xvsmQ1Aim$~x_PnyhRow{W42Q+>!ju)_k zJD~7u-N+j)jS}P-FyI+oB9W-B+jMXt<(-pN;Vw|tn=U@2bZ#JGz$oiIzvUKBCS^7h zI~f?<6a$`&g?~%gYuMCEa?KHjf65Uylkak_lvj+8;H)N!HBeublF1^kR9(K_91$Rr z=VlW5Kn3K{FR4WEdQMM-Wt`KNKxFp&pRd@7|tHw9wIc~=SCjQvxQ@H)hi2;W+m8XRVC-LE!bCglg?FKh2B@sf4UomD6trSpA)6HvXuY)ZkKQD2XmWR{MU`WNJ9&w{z!! zrhK2#xbZ1Im1pB%&IPG|faq&Er1;vex-b$;)Ez!mNHOk%J0+xANjHub)zCA*eiQ}T z@(l=~H}4&Je;8MvucH%!I{Yigq8;qwPN$2_7;I9wzx&^ z^53B20l2{VT(0uq!?H>b@ripOHxJy>d80mDXgOYE)cT>q@d%>~hI(NDf33Yu7dFB< zT)%0$_adP+cH?pDLDZiIB#S%4Y0jy_;_6Pv-{yAzx_aGpXC7`b8tkRdO_bWwD*A*Z z0lj1cj;=HQMJLr0Gy%7ur3v@sp?+n{7s%LB0C#V_msp)9UCRJMb|5&60xJ%y`H{5Z z3O@s;)RKG=H0bi*-KmVbdaYI4v^-j8D~C^k@D-Zi$>SRq{5m#jI#iIV<1chnPI9&y@l)J|xO!gk}$&BIeRItub zGhodmojLbD?L>F5Hn;Cf(?7oe)OM9q}$(n2v@GpRo&; zoylm`^jvjGa~m8n(%M@ysC*V#vvz)QFdB?>I_|Ga1EwB_!b`>S<=jMp*^Li;rI!Oj z$RQH|Kba3-w!6j7);ztiI0&0V0R48L1HJYRoYAQ}HVFo<(-&ajUu=C#VXaph!zt&y zNE%UUkDiVs>AM1b?x~-fh@gMLitL3}CR0+h;p-8`92K~%ILe75|H;PK z&wplxs(*(GiKi)y3eCmD?W|ZLo`b98nzDirm(kCiAUPiQkJFK)@%pjI@T|__y*W^7 z5R!bZztx4A~LTWdjDlG-!U@qd{0ODGKUP{}VC<YX{S=S3iSw2(WQoyCFVOZ6?Ow z=-qLb%$&bgr!ka^wm2F40N?)d$>cKd;o2D|m)Za4v^QyfnCOh^%-`SmpYoHu){&+S zmd00^l~ru@`|6;u1T3?3f?u9h1k|IzE(U*FqH+DmJy5<5AGqvga)K4fELw)Gc9%dk z?I(6097)Jz>zX#bEWo7$*=($9%bk!(r=d6%p$vjfb`?+&I|w&p%-@|l#F*+gsp|=6 z_qhi(3otU2JKzbbUb5p?P5ECBa=0{j4Rrevx8=R(4`u^0P&UHTYsXbiz3?_ z$zjM6ht8_I(LO9xib7@UGra~9(dv^*Yn5LF<`KMfAuew2duz&oAcLqZ`#xwxDh2V9iS>-%W9I=s} z$gR>c*=TfnjN>z)o{jpgbBoD5mf$QMhyZ6-)ocd4sX<*y$G zbSm2$U%CfX0T0T{O)!_!=JGlzKg8fieHHD2!(rtr?5W!oStE@R8)=_i^<|)DeukSma$1@xI#0}jkn#xIim+7My({?;ujNFthtEklU5kXQ zNl1rdAmo}FSL9ivIk|lCiNLErgo7>4bzom>c}M4_mU$T0-@+|T7OHXp8w(zaG@c!u zS#{B-XloI*EBd+cyp9HTIf(hB!E%I~DJ1lV_#?E}3l*YE&-LX%Yl^4Xa|=*iukX6- zkAH!~5>wEuv8(GFzd%gsDT&I~yrceQ^BkjXhg*x)Pz7yZD` z@^Za-RCUR#vtAHNb;t!ZpP`0Bp3;pi26oo~Q1l%tvp4tiOpV^%wQYWqL4;T?)3&So zbSChURT(&(L8(nPk9AEMsOjjGl{Hl^-Tg6xmvpxh_u18vP1UqDz#*gt_{?-5OM7>> zdRtM97LT;zFh6O!NTK`S>yVepVj0?HVw&IVY3sLX*Kkhp*SQnDiYx<#;Y^#tXgFNR28mLu2J z7b?SPSY&uKFiB*h!mP2q9V>r(4QauIP5zd^ICmr~baSKoWSuQi))G@_it9;H+ zfP!MgQFl1W)t-PHE4(4Ydf9HOsO>d{51YhaCvzJu={{ZDnDpi*=pR9Z5FKDu(5Dp6 zHRU5XAqC2Nxt-r!v<#cUrmK93=K}EGT^X%4EkE6w{N7T^1IYUL&g6n ztTNif=ItkkgLzK1cC@;M@G+)4AJ;O0+_F|ag@Lvx1gIkYCFo#^xZ6<0vL zb7CZ?N&On9 zZ`VX64_&C6{(O3*KnoWwVw@$Qhs~kLr#WnvgjW<%=VL_HHbXh8%)-QKD|)?~=Pd-E zr1}Ni#2;O%a9Wzv?B-fJ2Y%(ui{ z?6pgH|H#bye9eV-%wkq`Y~j|8G}pk)Ty}l0z+ZkjHYdvwWNU>&qMDqOPvuz{KW-Q2 z2FAH!>Uk>Nayje$qF&X6UR6CoJ6g{E11jb8gD#(6&MKaZZnY0ot{FR6H!H?AUHO9l zqy)rjtI?>umcz-Y4|U)4$r^uZv0143Yt(c|xL)8FPcKRS zsrbH0MkF(7pu=60e??c``D~5>5B&eW)w~lDVfmx+T%Ea^`)t%e;@-nDd zDP?RqdoIr~HyPn>pMU1;{;PIkgL7hN9Zg=1Ek$jO^B*sJnI!&SV`~o6s3%#6M|`)h;&p!r3&zmWqi(0#1gc(W z{s8)jubdJ>xb~})+I5s+W4nc=;tl$|rS_DdR{jp;-F4fQBiO{Ro$7-f_%u?hW%Oir zV}!iXL92aX6QjgH(T>_iGVfZwfhb4?;Njw!3j?u%i~3@8pve|ubG9yI(|QsdR{+-= zcoh4y(*H^H1a>VAYU+x2;sP_$caB*sKzbPDx^j$gvRGbPsy*z6CjOwMyxL!E5%e|6Du))q69Lz<6eS8* zAzpIP$2A?h9p0%tNNX|eEPFVN{EEn z&ft}?eYJxHEZ4#ClE277Fs#F>&0HQvb1fjh>v+xvI6Hev51A!5UUJ-x0Cd# z2|Wz#5<5YOv#sXBMP}j4JSd=lKITd>*vTd)p|QwefqID47-FLgZWq13#1UT*uLmY} zPK#EBKgCid!M!c>vG03h-hPX&$Mh>XE|TXHyt= z*(-J9O*6I`jpuc!MSp9x861|JsO${C{v%kL06ctZ`A&G)>ejW9>&82r3hUIiC%@6s zlSNtn4XvzWM;cC8S&>*wUAm#URsxkyUxz`24W)#bH=46=M#pM_zP_Q@-NVX5PIcu$ zYfAtXL1me^H+_#kySIMmc8nYIkov@4$LzuO^@dKXFXiYPwV)YKETKJfo;N zEh%YM==5paB58aW$Ps>*BG-lXON&@NvX2m&Dspy~y(v6WEJHZg9`S$;Jl$HJ@T&kH zDiscK;FAC6<_Hho<<|%W8>+6XMr`n0>;pa+a_2fQguIO9zl0uKATmspF z4fNB+-C4mh}f~(6W;u=Zf$jWA?5ZUACp+Z>0_66*ts{AZf^f`92KB=AH!7u z+{m(AZd+%cX>l$d$5gjWGkM^lidtXBSU3%Z#fFm zd^eJ8KF=#)DnqFJIkOTb(4^s0Hm2`F_CciKf^7Crok4dt;CJb9Xney^%A&9Z+-=D&ALxX`W>F9yZfZ&Bv2 zTKDjeo+S2$G4$#Z<+3oJ{`o_B{lA!xk%h4zEX=wtd5@Sh@mto(14_h^Ov;l#@i!qc z-GwKo3zRwS5!)Y)66qWi=fxt>>BHaVJy$+|!55}h^8moa5Xzo`pVzs+Sl$B&V5_rN z!Nw8v>kFlfU(PBX#y+C4XhRE(j2U$h(3am9EA@1HgI2FEsH!9j{a!Xpv3FCOyrl4* zdLyJQQ9M+Ln4=9b?JcAH2SNiT)U-%sqnQ!r7v zWoH5UKp%_mh2azTDrX~o#@qAohc8J6v%{mJPWUuI7LF}lK;&yQjbfhA@2RdAc|Swg zN0=>jq**c|Z)!mWCiS(zh2Upac^?8S?vUS>Cz<3mtfaB$Fsv-c4xNan&MKUmU4=WT zc5MyI`Wq1LhM@{%7{+xQDRm;n}y)9v1gw)vuggGtn)Rj3zHD@ zvbPWussY52;12t{%Y06wxy$_Pxs`b2-!37O>l>W3~W=Trq558y}npl zPr>xz#k9_a-PGz}sE?0M`Tt1$-BT|D7}F2rSsc+`)xoFrcK)VJ*LF0P)*01(fNaby zdnEtYp38Ihzx6Y5YD4$tW9ZAlp!s;B8H*m$%0IqFqIAT%JD=UF^mp7kBxIvfDERVG zK`N%~14_@5XwPomYb$cB>EPl+RAiyZpGp5 z+*QUZ)A>W|vP0t})@W}!NhzO#n%|am>KkrzSNsFf%hSWjp+w zYx8ASfthoJA}h0+_4>?E0_8ei|N6qC3v+jz(OE82VP!VgUUOsAOLho?T>h;}X|P^% zku6!Ai<^^~VWmpCtLu0rVyqOta6xz(?oGw>_F~<`0$}_&RLbO?OZ@O2koO3~t*Gr8 zwELwPe01xrz6qzN{wXl?Ix+XQYK1p`_WsTFHdz_|FV|T}ZLMvfo<_CE1ix^e|L%7{ z&n5}PuQ@>Ni@zCtncG=)Wj21RQ5BG}y&R{{a>v_Duk>E!g1%>=O?JTTs=20z#MJfv z5p?0T0<{yM4<|>p?A}pN~ci! zc;I*C|E&3rb0so`+It3oSbJ3Nj% zSIO$Udn((T=5^-&oZOEU19JqR&0ybgnZ>I8sj~N0vFd`&X2WuYIll?^B8)^qe46dJ z8G>D;U90-Z-89WY&G(!jO;_&D?;~8nixGHVkrqdeur@XLIc=+tST3mTRUL6JctMSp zGVZv0x;@?A^?3F7eGruis<6$e6NN05oS!yMsNSgR@f8Q^zMib1(&=~r$N{@h<*B$o zr`^2wN17^cwVs|87{R8Ga$M)YN5S}!&s(6;S)#|q5W(+#1B|gin<}&7P@1Gd-p=s9 z4EcFEDoe&5mp(B~9#2X5a%$*gYkU`XM2EM-X%=Od?Gl^?iV=pAf3F~mr( zK@KOb*?RlDio}NJ3@7iq$7)!bKTe(8(Cu=Snf)iV&<5D=LDnXlo3BOq@|2+dT{#{B zS7P}FP^}j-;bd3wz^lXHv zCO0u9iA1#|+(GGX$5sS&G=}racVftR_kZ5L!W=f1m2g3Ie=4&eD6 zs78kQEVEWl9?V{TEp;`{su|``K84HrrD{%PPC5BCH%2zk*Qh+*LhMz3t#fRL@1j zr=o$L5J{P|cA9+zGMG`bK4307R_@T-yAs4ZtM=vhPj=ga*`SPAMkI-^^KtQx_eERn zn0c`jo$pq3yS`I5jaz?3b8TiouC&mm+K$3O)t*G}+($9Jp-oV<2yw`u>gIrq%{Q|r zJ-0dNW=r008L4+I9_FTs#*ViBRGj1EMs}w z7Ox(gGHwUsY0`#r)nz|KPmMI9yIs1DoAd1gW8qGg2OpXag4~7)Ic>e+k>NT%S%&5v zL7`lo`%s1^KiQ*fJ3DLtQj2vJTqqbY0Bprf&bZJts`Y3s5f20apY!p$W7o^?vmnb1 zD#|8Yj%~&65KieE`8}Rv=k}_Ne02SHb_X}#Mo^bSyP?&4ZzAmSz1~G)d@+_fj&I712&?wwY#Bbk|%v=1-(-V>&A4R8n zammKxcl2v)ocwKVi7KB^Hqm3P^3!rtqvB4@D>3e)ypMTpx|5it50Jj?(@p!%FL>Kkg5BnXgZR% zj0k%Uk3V09xfe@5g#Q%$9y^tzgS^=i;BSTXHi{yWWw1G=j zovuiGe|_Oi{I~Y3$#NkW9<1LI(^;GK&B4BWUJ!~&=B7wOVccF5e`s*a)bVx!K!1?y zggjZi#Cg^whRZQH@bw&cg9+_KiS;3SAn`9Lm3Ad%+Y%hx2<3V3w;r%^7fBf=#xr4J4 zEB?n@kWUY0FSXyS071Qa_W)VDw1|i`H2deE0r^`QC9j<)TBEcQ;x+F3%2p7PmCpyx z&4~?@?Gk_Y`A$Z8C=~;geKEUCQ0uET@KKND7Wn|9P1dKnqcsdiV$QkSt2r@fl-*2x zgG0>XTp~A_{dgB8o%^Y=_S5Gdl>;1?XZ}X$!@EfJ|I$miCn&oj+Sl&R@C5$gfIBbylEUU%&5~%w`;gLF5Edf%m#f{v*JlYqsP{(tS`Yl!BOw^QN~pyB$W)_~+OPa!`%8Ard_LE5m`A~>Ga6I~J1y8BpO|lJd-H+- zNqjVuvQQp})ah?G<$1mrPVc>dbmEWJn7O%e#J6!CPQw$k&B=iPqd|lF6z3C3o#}mG zxDB4gLv4Y$oJ7#ec#E46T(7(?w_xt?Da>T!NY>RZ-RtVDYgra7Uo4u$P((s2?Y>?L z+kQqbT#%$AmX_FS*r-GkTH5k4@A8yTs8vlU4}LT_pHjuUR%w2>@J|>Ge0IL-{_S=T z7lZs^WJblm#i?9i`vY8^&bh#mA1wH{t0JO+LTzhlZ;YP|8oG5(t5sUt7V)at(rA{zV+!jZRVYc*p6=|RQFC6Sk$E5zF(AA_gP)k6wEBh zpdpOOjC=g0n2$>GHw@t}Pc-N|)vs)_0_U7O(&PJ;H((wuVQ+yFJkE>ysEOV5r=Dij zDkAVLJn@p)CLinK?0n1xcwDz~OnhxBDZeU)$-L} zON*y4q0`8$tNmAEg02l$<3n)@%a^cMF3UeT${2OZQ`RMlvOPwVcwFK1gm~V7LXL8& zbIja!t&v@W`ne=v|7&e*`qMX)H6h@x1ih2{z&j|x8Ib_kvD3Ok`Zo{~KI^Y&xCJbD z=j&E81T6B+qGs{`;GOr2YN!d(cS)XMRU!|HI;ki-ZWt6q1m>qKb{G}B`>}-HHsWy( zklH54$En|WDqd)n`rYBxy+DS1_6aHe?7>dw0QuQ}G=P!`JZeA2r`0x2-(9zL-iw-g zH_tJ3voGYv^w&sNLF({iYZ!5q^eZvi&@8TkqPk$CYNc=+RW=h-Lrw=ZkPDT>=b`Q3 z&#GWttx30r(sa_LW2oUei!g-VWVoiB0Wv+^Sa*NMtaTDG&wEI6I#jnaWJcU3MxT_Q zYpoZ3J<{FdPyK)GEv9xu>gz0K!?9Q{vln!0HJW$TOH~jLnHk!8FlD94Db<{{GdV^> zbM4@F`ctI}gUeDu4HJE}AZlo?t`#f1a9kwOsBj7M=NmmK=I7op@gSR;(-lFG?6bFR zMr3Oe5~pnPQa!2259m$C5nnE21dbM;UJ*RM%5Cc}jmbC5!8FRnXnPJ1T{GA`E2Cpe z**b{hp>{svC(>ti|Hg34!WG5+)H$p1J1Yi@R|TPOw=|UB?%f9l)|p>3iuQ$UhGS0V zAKQHVOt%P})bUF|nBX;>NyQBSS+=Y-+aPR2m9CE8XmC+jPc#ud{fq}m4mB~jRv`~T z_p@!P-rhqc9Z|p{Q06&wm1FSvdX?g8Hkg|OOHU7H;_Bl^h`ND97sas$j+fpY4VDy< zcb^=Uxh5hpIu&KFIXkaB=Z1#pFN6A=gJ3ouUbP7vH=*`{zmvp1-X!p|Z}lRWqo3=V zMDyJxIZ-FEB_qU_piqk-xiED*T~t+RoS`}>+VI9fm-UkA8%;Qkm+q>Mvx?!9t(bqW zGwRqxq_adMnT<@iZ;&D#uBF89-sV&&PQH@a{UzHb@!t$K(3{GK|KV;-2`ZrzntftMKO>S&GodJG!*P%7KkyYK2i<8mWzpx4x015Sr|JY8DjUbz zap=+sv3TWL^B29XKS_`p1hpsm^!(>62TRUGy%)^o6&1|#__=E;r+X=%fmSvD;W37pYjyPfotasr#fX=6n~cNpgi~eBYkuatYuviI6cVZxdYmG@Zk1*Xdnfv^ zEBDSvgnHhMxp~Kw$c)b#Z=F!csaJPK*X(K4N6_V3xfDraznV1mr`d7_4^xV(TW!`~ zM3fZOwTy!7T^B_HQov|+RGIffaCAIm2IAPX1g)=sSmo7$c-1GZ-}l`;*!DW0+9OHa zsypuz3T)2d1H~> zuVo0Hj>x^hm;dHt_1iQ^xSF|a^Wy@aFLNQ4YK)+g7{j$>I^#nT%+eL@At^UxRv(nn zVd%<0&#SuxQa_gbq$5o4JaZM>)#+4+)T@5oJ^#q{!CnjdR0Khe3bq|TNlKNwt(=59 zb@zcS$NP<6g3t70n};+*XKU?I3CdG74GZ6w5>{3pt3YA~a!()W#H{)qnZ&FZy#Ba> zc2rL!!1y>zI6#X|>S`sAP)axwrcseC%&@Oc)T!Q+mPc8gCXu7>SVw0oGUO#t4v*zl z=pCuH)lC!b;1(YA8QDv>ajv7=`Kunb9W!HaM9}%+@Z*ugjq0ewwbBPFmw_jW-AG&3 ziYx#jmh{=*rKa%ccd!cWKyM zUBB5yaprT($;R-%%w6F1A9b>%$)j-9fpT}YRk<1qD%0`Xnr^~@-~QYpn!fC&m7bB# z6r>Xl6nBtWPGmx?@SA8uxIGxlr~}Z)%M=Ddl}7p@gI^vXQ3-rT#g9);-hd&(V++w_Q4+x{?A+{_{aFENm^wY00P56mMLd2^Ai4t03-cn^y(3qOa{-|J_Gg`uOOsBK~Baqe;@VEZ~deESQp*R3oThd6ytp#GRcC! zT?&X3hpRyH4Zn;cX_c|`em-C*9T~A_I{UU7tDQ^7R6e^k8`2nPxm?hrL~OPE$H^@+ zu$fNb{i6p8a*HEquC;R48!iHy+li&agp28xaW1-)b~M{Wi_06CqW^N!59T`nTo?EY zw#0O~OwIA6e>~z|V|8P&X{H-lSt|v8o=kA+68K*H&l)*0uMJiMk_S&m*Gt{ivMnu`gfAZ&T=KCM*|j|E1z?L60nRLs0E zpdX6QJ1%%%&LXqS`yuVNT`Kzh51ABzI~cN@HrW~sn`95@Er9A4+#Q$ej&!D#PP>rl zM(;s_L_9EUkkE2*5js$=3jh-vxS?KZjt{RfFy>OX?D+mTH$w=7@u}#E$fDO0Bu6)N zrXB6;!A)Uxj1Uzs?tbA8vZEFh4=(HovZ3i8%CT`VNgv%1DLtz?q8>&$I56sih zW%+GR$j0{>btKA4;Ci==rvkN2<{ zIwBIB;^oD7hOI6V4S9Hf07+~@)^;Kr-Jdyr2RcqGA12BI+m z2PNQ3fRq@2d0qs_P}$>WHP2%Qw;C?`>dlV>2KTuoOFi_Q*0MkXMIg0z1dI@S75U6j zvcM7L(v2HH^CQ>N{Le6FYyy)u*PzLS8wdB(n)UX*jmO`Y+lCz*PhPFrQ5L-KkHo*eW0er!q5tKa{NSDW`n z#Rh%ut#=jEZF}A*qC%wn`R~buAXlqyvuj%Kh4Y@NSl-}z#HmYOCZLXOkO67rJgch~ zc?oKu{DnM`@6q1}9NfcO#$x@@V30!k!VZBw%@`Wl+HY7pxRxvD{UtQc1j^kY{ofR~GxN-+@(e(CK>lwCs z+8P!s4NEHzES=u(__??eey;t6hNYEuPY{SZG|R$WJ!qdmv+{;QE`kZ#c9cH6_m=yn2ag8 zjP;b3ul&|}|E6Q-6;B<#v($S3X3u_X*9x=6HSH|Tf4epK{CDq2Fc1yj#X9ulr**R4 zzk%RTn&J#Zb&9uJ^|u&^EVK~BJHxIq?7-!~?03((bJ;q{kuo9V;c!*ve?e^V3r2&C79E9xG#WpVX+rtzTFn#Pk+ zG%OJ_SfTlTh+>mBQBAUBUdX9pzR(VJ&Y(&Fxxp7=X zvDpn&!DYwM5&}j!y8u@w-2xP6Qmrm5|Eo0L?Z0^fkReyHLhjJ8d+_x3iJX}#ohZxN zHCKrp1wnI6Lk;UXnvRCi)u1to;#!7C*WvnMn}c?#q8dc#)idN4dYQ#Bp#cAqIr<9# G0RR8T6Zhl* literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd new file mode 100644 index 0000000000000000000000000000000000000000..41ec2ec30c9047182c0d2ace28b5c789be9d5ca4 GIT binary patch literal 749775 zcmX_n18^qK^LK39#*>SUi*55_<8rZa(G%OYbFppPwr%sD@9(X8YiDP=dwQm7cXn%f zKHZH00s>M25Bgn$fc*Zx*uGnJQwK*&TN@GIgmGR5E4Hkzx_+vL34)|TE*FDv;0J48S^5`uIa-t|9aYr49wxg;qi_&4uWn}TJ3?p=`NT;Pydc5uI}Qy9CB%2yR?6={`czU)%Gvw z+|5I3_l6B=D7p=)+tFi1J(Xxc5857Cl|1-jGk#AX-xA`k% zbJ~-U_qqFnacf7^Wnr?jPS?Xi_H7s8B)Ri8Or;`)XzK69y(nJsSH-rr{?p6X;*j_8 zp8RdOSxKsWgfS;Z1o>@wUp2A#p_Ur^q5f4{b~x{EYa}_!@yKPvNl5ak)3guJci&}G zaHKeN@htZHH#nKO-^`bxOe{?i=In3wC=APL{p)0g`&z~-d*0NCi?D4G89aMhata!V zy@vY9=e2nnN}`~8BZrE9Y5_Isf7EKN{?bM|lv|-pXE?(bW$JTwRg14Yu%~ddo|&bT z6J^V9MLQkzS3ny?%}?+_JJ2Kq|=ys@qcs*Z1bLkosuRi|9fG-n+X5l9ZSk= zxa6H^2!wTwCI7GBN(?fXwT!mzDH$yGyPJOe-rpMpyB0_9gL2&2;%*$ZW~pun)0^tX zItzQ&8XcB1onpoqUr+q?kc#-b+Gae(fH6ccnEH*xJ&HoBPdpD7HkO?!N%{Ve6LhZt?MghA z|LhH*RB`kYNh5ICIePl~k||-qrfT399(T&FAolt>W7|;NS>+Q1R~jEQRzX|r4rCPY z;ZUl+zS}bG8Vzso7#;WOQlu z1MA6LLc^PD_sci0hxpl{)HWF+!^cE8d`uo}1}_tz{I@lkwPu*SJhinwur{s6Y2lk} zSupMb{3?i#>@O!+Phn0yDG4~{{TLhBXuR{1p6IkX>UUcw^=dj8K#XL7bhglY3R4|a+1T7cCkIf}?TF_r8TP8`2uNx$6D1am$z>74r=fxVzaoIiP zFZu^AbktZN>rWt)$%`f0iS87eAMSc<0BU~)4&H|e%#JMM<`|IsM-;rTQ`!k63%>eY?CssVg8`Z21$^_)hKF9RG=u7?f+w1Q?Reybt&u{jDH4=^*!8BiD&xYyT+Q_vM0iYmKzRH)!wveiIf_sNBLg(Az4_p8fnh{czM>C~K)%ap`ns56hXjpu|L(c2t^?>tr1hha5ZR;A{*yYuaSR>XQyDLB#R5v`-oOiVbTLmf%3x$ zYUbyw-UGmDo|RQ1Ya|`^P>b}M-#;_(%+7z<{nU}A=x0Svz-*>gS;TxpVv#;9YUQf* zN6<=nRg0dZKctwn{1@#kQVdJ1!6$1&`As`Ln%7vPx3*hsS2g{_NM7H%()YkW9(*I zx1PXilj={!3J?Y9bJaIWdam}ZpMa0uQoj}uXD*+v9edem+hi{u5m#SnO~oKi0>?N@ z;jQuI9w-_>A8qH^TI*32JRaBljz3HLUK@CVbR)>Nl2Nwvqi|5Nmutx*bRmYy59P3M zCA|$oUQxUF>EBS)MlG#4JJQ_Uk3AV~&bj+Z8vUyQovkU3$L2`lt!uUD=AW z1JeGe1*@&{{^k(rt28~oj&X0?%Th4_d#wIQ^5VpR5%Do~{ihwBePX1bgJ8#4eu8t} z#~t5ceu7oi#58>)0#jn7KYDY)0j1}CxpLWROYPOj(8YD{JMB-$$&S!gH1oSTMN#)| z0co&&I0_SdkBY3hLqXuLclWbUqbB$Ff)|D6XGD%D`|z{Nm@7qVJys77xvdMFI$k?*R0z{m2Na=l*xVxyM2!L`hQRM)W)$Y_NVT5-I;Cp*^xaL(Gh;O&)UT* z-R>+4E5ezAjV3Rld&f9K3%To9(3M9K;`{9)VZ^lq6)U93`Wail>IKd?Mp}6QG5HF; zD;D;c>LE5!=d+QGpc_gc#wdjcTCQb8@p0S&i<;I13TZ{)7xn5@#R%RIk{lImW*&~T zOyh7In%BC#)^zlVXmq-nN%GF@GM7w(%UT{PDt*U-LSVu>yMk$!%}wbvsZ~%2v(Qq_ zWogJs4_3q)sS#umB|Q8M;zxaM6rd~Ld>{Oe2mIUgz6huT9|9f)# zfpW~0gMtP)jF^J{R22o}!Z{(5c~Y7_`WoogvO^KT5eeE~tf1rZ)Lak3G2cbp7L=uw zm!N#2i~WORSok%OpD%5sj5BmqJ@qyrek&b_727T=Uss4iAT8rp2rzR=f9mQ?!YuWpjT11l{p%L_|gg=iPRt51yvX|BM^y zHC}~f!Wo6rAz-PH`lX@hfH0U=LJ?Y*IEQWtd-Ghye`3D*NR*5_WMD~4N)$efXe14r zTn$_&$ua@{$4QAVGm>(KkmKg|VFv|xOgmvC7{%d+R{PI|;!<1x2_@zx?O+uzkpVxf zhHf$@Is($MqM2$Cll%y+U5YWyq3}soVh9i#CSo2nWrC4%%GZGk=pU*>fEvl3Lc^i( z6ddXyvBMgmhCsG}e*(KiBg-WV=+hk~p4lO({Zmp#3nrVfl#VXU)jXQG-=*?G_ERoF zN4S|HbbAuUQ7i?fTHo-A%GBvz6M)W5n8?9{VjU)v&_bWcQk0dv3B$w>7@7ejTj(dS zh*gJQM?jr#hl!;dp8z`4xN)=glREkY4RHm zwfkf>qRc?rB8q2mB`F}~5-mrui4h5SRNq2HmPg}xv8#xfS;(Bk~ahgAV2{c%*=of>q-KLyOACQQv?7g=~_JaI=wx!60%CU&?aJnasTet?FvM)RyCv zvg$d2?hK&)f%@2wK?GO_exC#PA6j=J78oHZ5W}YC(5WGIJ5GMyRO07PIL1vM_dg}} ze8|IShRFjReO>ucJboT;(qVyVm>=+*ULyECf|IQDsP}=Q4Z&C^=+$>~!ZQmmPDF;G zW-zK2?ITd(>~Rmm^`*T#>_1X43kuJy7a|ZNdlcZ{H$H`mpsmnaU`gIT=rS7kdRY_S zr68FKQ;Ry%{N$8{K9U{>v07eh!<)N-?%XE!n$=b4K=X|}Ar8VKSXqE+$g6s?x;SBg zVVD&_GX$$$r0=Kpo|2b*4Mqj~MHjo&S*F^~Lps7qE;~JD7~NiEB#ve)o)VJ`!XZ1I zQQ(6^+T9G-4xuA#@{dvOdkoKI?ZpcBF}7n?w``s`#ajG$Q5@zg%QH{(--tY>%>1z~ zqqBOh^{YH32+Gn?6yMz^=N%{%(WD57C0(j<2#VMl2}4a#aANWR#fBJdOdt&mOM1wV z&@0Alx&5-UEO=Edomxe_dF$<1~G-Vz01rFbCjgmh(zewRjz|+Yb zg|QOGJ?6@*BH{ax<%t95(v1S1I;~7E}uyeexSs+tF zs5xj5ne?<&1MV+bQd|N;I5H29r6l8IhOB=XjK_oeJc&yG$Pv|~GNYAUCt2rY1+!8= z=Zl-;t^Ef1>VE#dzpg9CjH~zBlefiDY?XU}-LX=pKiIEp-UqaxR8AP^?Q0ZfP($!# zwH@j(sGX4Bp9mY^%gADc4%ljJFzA16N_Zl(-)38chH)_@CD)N9(T2?%#=SOs?vb=0q9k)PPvi>By(3R>QA0A)kX{$R%zzIHb?z<#eHxuw-U(c75Yeu$Mi4Ni+=FMbH{hzbrrr?(1Igt!BAh(^Uew9w=Nw1wHuSmVBFcdgy=U-;ruTiCN2oiYxwa=Y4F_OE z2mMV?L`SX(7&urcauTcAIpRYe7pz4TviKv^SfmxTZ{20ZWA3I?^>#!DcOOJ8z?d0~ zhqH3wiO-bH?>Ax``9Zs6I2~Y4n2b-&7m_rL9;S6Bd2)HpSGc@)vpz{9Lm6yw5JssC z4hrMY8UlPLff_gWnvI>^49&%)E1)d2N7gO*j(9W9m@fLKnf+Z>_zR2FZ=4N0Jb_eq zGn|jub0389n2kukCfVKhLQ*iBwA^vc8>I09)&6h-5-_+7bVhPj-9y0xjC8wR>J(f- zFI*7Xf zW8KoK=37X#($wjDZgOBqCV|+UKq$C0(Y9mBp&=JHc zp1B#%&MC5h_qe49|120Iei!do?<_NXTUDa?$ zZhLHe9%82%*ZVMyQ44l_yBsF~fVATl7r3MHgPicaFo;pRtOB@qA_g<`#N7*PwZZ)h z50)=G!dC9J95=%0Q=@|M1eVWumtGTJNbh^E#=8sLy5{`a*5Fx}aCk?!G#=>^5ix_y zXe>#aEDSb=1*jX-J!y=t_}Ud2px;SQ5~xz7k&|j$N|zWaGf=V~ zzVr+Wks$JJ48w76_U9|k-IDNYcj3L1oYN^$WjRuc&+9Ru&r-6KB$SvG(){C8(;CseIW;1-$00wXU4$to%7PBo(oTqL}8p z&$y;u!X>XiEZGRl^k+ZD0ej@i^EACh6CniOW;~isw(d{i&5Tm!JZX)r3-2r^6mJ{l z)8ZHuVay8SkMNeT2~IgoA7HdLO1jl&3Pfx`47-vfF45>Dx9z?C>5%*hhrm6?g<6@h z(G_G7pHvzkoL1y(RQfXGMp&`d4F~ni%ZREr>GRW~lGgGPA#0>#krz`~55DliyAGi!#ZaG)Y7}G%PMb^?Ux`5I2U$4C_vfERkr5)Jl%H3E*5G zCX>%z^~~VEzcSd5j@;wA(3=nm8eo{=T=k~5;1B=Nc?A`Z^`F)510PRs#JKr6F&j~g zPoKaRv#G;G5=^kbgE0bV_cBoSSTkaL-AD)S@aOlsar{rc4lDEr;*B=Xin5J2i9d6Z zH$E(`18XPQnaLgr5AM1-N>CO6P7M~KbgNKGGGZ6hWo!^rrUDKhImY7sdHzJss&14~ zXGcn6fps^{9z^V_^lD;_phmg_Y7*rBf;8uyzI_2|mu}3ds5Rvob>RAmn|<~NUVJKa z&G{_Cf~=Oo*NId#<)2MjkjXAXJ~DJMPfCSuKLx*-su1vFKd^L~anRK4l2Vj^cRh>B zAP*iQP-+o#lSFRF^n&pty!>Q0eMAECs2<#LZ}NEGj@rb3e+BmK61E>J*_}RcY+0|1 zN;gnS>lmXje8F5gA9?CJh7&160 zLo&c^h}>^P_A&uUkXB z6cUmfB~h{MZOg9>D8Vg|^*gqb-9^$5o0-ra3w6PU(^_bX*sy(VFl+H`ZEQrp%@g3qX*RzW}rmKp5?O+ zn)IMnff4vC{P3N{Wm87Jz2&rTiKd6Tnk-uxA5;<(KkN2OTA0LgftY>0d9>czzS)=- zvGlw(fz-EV3Q$xTbx)mFYkHp%RZrKzW9!2m7FI|;Wo2wbGq@R^@W)NVZXb$r9w$_W ziaLv{+$&i3(NeKjJ^0M@9r7JmZM*?-nlHEvcrgvg^dsQRrVIy713tqLl={O-lx-M%5d%uNfW1BYHVnclifh{hYVJm+);Ud)L&yFNH<73x7b=D& zGkaJe+3G*pcdKPI+4HT1DH=r44bxlg%7>)Abw(Z(STjlM zxw|MQFqDeyvv<{beP=6EEt+c4n_75*zf9iTpGS>UEtZ0+D&C;x*5Ezk?(?)AdX~&* zpMa2u#6cCIS2>)DtR^#T!?lb;O|v5J=HH}*6$u1GRSrA1S0Jd@5)uUy=H7XTWpxFa z>Nb^NRUSI<8jN5K;8cb-xm}U6eQ{&QHHT_fv0KjB=W15T+K&v~C`8=xd}5$SkjZSY zDE|EDx0%2n~f)!yjTnBbRHRoObxE8?%{KH+FTTITgJ%o zTCJr??^!eBC#P?%xEwB*zDR+a9&eWd0H4FgA1a(}cVy(i@{D7ARIcNiM1T{dg9;L} z91rq|DWbfE-+dR4)inh~ zPo6j(WKCb#@gl#CPFv`~+@Gd zI~as`W(K9e{ki6yc88A7Mb>-n+cm=7{P|!mL*Jn@K_*5U8YF6w7^5wRL~$jjwI z9Y4R7HXzm1_Knir&;5UtPP?vox;NT^Y3q$f;Nk2uRbmISTh(7YRy%}=?U~jt6u z%K@ZzaVdXvge3Y}2_TF`n~#jZi>Kz~*sp)AH*vZ5%TlWgo-2!>0U&r?pVK{ z(hw-k3FX_-!<`)sU@Qc{(w8Nz5EbQ6K3I!$vubz$g(oMWbFSQ5H>=;uXVo9i7hJgZ z&Fjh0{h`?8Zd$oTyO$=xdPYHk0TEh54%=Xzgy$`zFQ?&5Oigw$B4^rpq zV|2;u@i$R=Y_IGgX#Ee95H6J3WVrbr`a$)!OJy%XGEoOG9VilO)M@~X6g-pgFl|B7 zb;L!%3YaCRcuHD15}=Q&5>h{{9)w)bOZ{Yyi_6RGfd9TEx~^y zRWs+xG51Afh6l_k=(}M^t4f^^nbImuv^kT#=A3I6q$KLNm8^jdh8E7ZYO%#Edl_7uyx$y*ET7#v}zPO-zWo2<-wv23YtFQ2Okc zM--lsyHaRSp)$}K?CPRwHoL+xSm)|dKxT-#9yufRGy zW5&EBtRBgmG5vlVvB7h9MnOF^qMBoOuf zb~pvKH5twl2BA)cC5Xp31-qhp+9T2g?MI;;v&zbypAt#4Z>t{#jUDI>C@H4OsDdzo zJb3R7Y$Umr!QClqs#HVTp?T>DypMvA#<`i1 z@%1CE$@HG{9wsR~x=6zCEiHZ*EOU2t>(0fl+id=q225h9xc+W2h%1j0bR7CK?`|;Q z&E2=Sg1J!)Vap`4M&OF^BXsHoExJeYg(tj6Q%sWaPJ8Km&2DJ85A5%WKv_>Oi^=g4 zi>}3hB!!-Az@T&^)sd40=-%$C#oyYp<^{6*xtEZLkBL>1k3Y@w}{v#B12J7&ClzpfFHOL4{ zsjk_dV5A;EpgP)eDvgTOsl0#9INup<0k&{byz#+WQx*Ir|U1 zI1zcijtx9k_M`)R)w3JcFSnhr-8&(6+YYIaPiZ$29VIV8KDfZWPVzYTC4Hhaz3*Uz z{6pNS_a=zqr(;2*^TEP{ZHvBR{h}4ZTH~zLHK#|~dMrGc2;$6cx2=Q3_;i^uDhOCE z!%2FAn=1%Gr;&sHojqt0^u6-cCqd?;28Ag(Ur!w$lu2w-5mgMRFH0M~aA0d43&`>e z&N7eo-r%CrF{Rh@a7y0>WY9DL*FcPpp|PM_RY((>aTD?*ke@FWG=0R;kt({j58TrrWJgdpfD{qlz`oBhyW!J+3T#~$Bo$0U`Y{C$G7h`4KjTj* z85f`oE}W3@M~sP^)DtUl8oobgERrNQBZeC?3rI;|o8%ZWZQ|tb+RxW+7^Pd|h^rgqMqzu~?{_k*}@35VQ^PqJr>8xUt>Mdp% z%TH-J$t@?j5xL9FjCD1vhLMf`dDLeG9}0u9t1jrekOMqB&sy>Se&xD;-Y|ZoQy)|& z-1~Du_C}()=0O-~Ur!~ae0S7KFCW8^2w zJT%}=7K`AFO16`Q<6g8Y@dLUJ2x^(7L5NO}l>B*Dv3zGK>+{4T&=7lKPsO8>(<c>$a7baC&n>?d-^H%P6m19CVUXFR|U*bm~ zxi(2p5kDKO)du8l@(w0!O%CScT-D9nh#)x%4{SOe2 zhq()Fmvu3KJX=KAr{~zs{vvA46R@E*xN}v;oHnN1)I>98vQ<8!3!h2Df9K$d_}bmJ zJg)@4{%Rn_bn9Kf=6^wMJyCx>Y|sq-m5d|XfA%-_5iLVI;%5gbzyQuTo=YivO5=~3 zM?@KmxsgEv5|tf?m~UY2Z1YzU!-j+!NuQ+tm{3PVeRPV*SW}9ksdhSDUy(Al`y)uU^U zK%d&B>m#R2pJ1tB&JsNPPj3}}do-b+6;8r}tjgk3#1EH?N$83*K>q}e-r7IZVL--q zh=pfTyt<1>lEJ8avFM+gHR|ILGplrjO0N%FE0A@0W7jA2L2Rt@9UhH-)N11|Rl`zym1(cVTh}-qB=NkCWS~f=Z&vF8 zBL4x=O4R$MBypJreRfg*c=WwWI7Mw@KrKg*V`)&RP_NL!wR7duhQj1?y8}G&Bq&nWz+w zYRppN5H*#6wks zi1WK~fU!Z0N2&inZ)ynMet$iybgk)m7UNI8{5Q9r6ZhFm6f+GIRO&1mge$IYl}82M z1eOyWLTK~APRe=CIN|meKu6}$VZPVP?#^AwmK*33@)BZ#IyAL30t9{`V8nfY{g%V*4v+J7oXo_D4}2-i8-u5p zlmhZSUZ?r;q8&u_a@@YGq%p^nqxeUWOwU%v{TNv7=f#Lkf3zV<)-0|)7H;A2kH$M> z(jmr9s-VQe=v2%d1OF+BI!V4$pJ{@`LAHHbj>I0r`PvR>S*|^O+pl7R=(yC4`dlbY z-Fxg%M4&H-`aB4L^^Hx^u6^rBwG54AE^FbFV%J_*cII4;@|g+4$VI-qS%eLy&ZU4G z@3*PHPg`$#u8h(r$mlQXFcfOYg7K6M#m>oTx8lnheC+8tmlVV0hW4jGlTm8nd*59Q zLN@A|m~3=3awcKS7r|MOS8}jbSgCz{!!3g!VJ$-Yu3*ph!e}qzl7F_jmC$b3Dv7q$ z!mB7|@>0;HUW%A^KH*sZk+;~&64+9Ph_+N8Ajf^ z?svAHX{qI~Eg8hvEuPSsM90TPVv@>e{HleJAA0FRZr);$V0q1ia4_(snSd*TC9rG+ zbfB;^PM|8ayC=$={CFZWPPmFSdb6alib_Uec_%Cpgp_(HHn}@)(izNY49fC>FuzSw zu(0FP8H{NYbM1T*f}zNRb>et{cgdvq_015DySwjEc$7tCN0b0ie3~mo&?>D6mqf(-yS13CIbeAiaG1EI@0D2)EDyG-gyr&>>1D z;uKU!?B$XWRx`KI!e1-|>1+mKOYwtD`Y(Z)plx{b?Y!!*kfBJV6j9RY`)h^V+ET+I z<}@SdjUI>zVck4PF`G9?nHZ~NKhzBJG20^GouI!^KWI&hm*}I=9L0GV&dsb+FU1Wb zu^bTeq!=m=0U{@)=!*UbKM+?SeYwp}Ag1eMh)|KYHHPhD#18*zjl{v>np7M&j~Q#m z41r>eBr^8FX0O5G9N}xvpvtoT7IP)b^nVZ&AZ+2wLh8vG^Sy=1X8B2DOv_W|x1D*S zzRY``rvu%W(hSg$ouXQ3Jvovsi+;Q8y64Nj%uuzin`N(Ge#(&j!G59$RYV33Bb1fe zd6fDakl>P?fEQJnJD}V*!wzI=+zzj%YfXhZnfcZy;d4Z!e~^f-%sqHKR$T>b5U&$4 zhy|dOB<0QMs@4Z_S?1|jN6Q0{^K}4vUUBhM@qQdYWA#zya4H?Ym&C(p0@w8~3c5Pl z8M~0j;n?N92(H^LCzsCjrp4)JQqc~Ay_M#Z2gfNjC=nY$-ZBf zZ=@!t>InkU^7%`D{->*_zq;G@cI!ET$$XaewYqeLTa(uw?i58 z9cWwOC71YViP(+Qv}rZ5v#z?7Tqdjsaui~jRkKy5ODeDP=E-!o6UtLSL8%T6qSu4Y zqj|AG*FeEQOi5D1R)yZ|oFb!?fIqs9D$$)bO=eQKEtKMH8XJ_ismJgEM5A+`mS!RKUprD5oRYQd zYfLL#m~*e#0hm?vCV;Y@$kq?@^Y{rR=Pd7r!3&?xd@J;Ygw9)4bDWBANGY0FjeP6#tGQ2=D2QA3VP^gD5z5Y%VRghCnTw_8KIT9LP5kG|8h*s_x}Ii(lIqW_ zD)F}$e;7*Q8y?Pf#*hx#}H$|uGPX5oJ}1d zcfT$#e}Nbi!Rt3KrbM`ke~%tv05u1Hff!`z7<9?C&Nu}rt^qJSm4X61;@TcfDubJ_M*6<^D5)$IJ0fP1dzj9pAb8F&>JKTw{%8&g3f%^Xd{(8Ol@vp1fx%DB6k}@>)Q<7=E*J0tK5F81kUvPsCC;(N! z{O~wzs3mT$@lSJ)-P<$soDql>>(!Bn{(5V13G2pV3_ZFD#)8RXU%6`$<(%CCl(|~m zVBk`@q8l|iZ>c3J^0mTOEr?YRpMozc<)@=%W-c1}Z6ITHG|ZmBrsw@v2n$dxfpljt z_v`YqC!~=@=ct;w(E<#gtT6zDmvJ;qo;NS9%2qz}%>LAC;K=8WvvVPn=axx&rKAEtbCsJ0Qv$$OseKtq z2nTN{49tp3fH!D%0L3)TS056+{PrK3877!0aXbRFCZ=ij&6up|(9CM>OTBFqBKbyt zf^P?wL)Q<|PsL(*pyvr{OCoVgZ_n>5gvqeNvyJ9n#hA#eaJ)$sh30Il`WxFP4D2Vs z<4CP!P(wPNfW_9d3NRGepu~C5pnp}d;^Zi~ds2=xUjnrTcS!X99FbqI$k8d_ zi6J~dQQsFM%HIWD%-$ ziAgKfzOtt><0vPKs4FR))CDt#y*q6poYk23kL9s~2wrPvJN5G(M0{h~$7r#e(W`Pc z_2Zkf{!=XDd$WSqn6^#F8Sd9B^?6gSEV!K-ms>-7uy^FuUxwN(#Wc4T^HOt@@p`g} z6~;S3I>f(fMK+?3@PR1YB;zZ1kG~}*u~ryoLr;vl!IbWkQxqv8&Rs>B67PU*W}?u^ z8BDcX0}Lg&Z(>zA!ffbg*^NS&y7MWgM#S^Oe?=e$SdY!(e9?V;l-UPuM12F?xJ@}hz3WaREu zU<8WmNvd=b&I;>H)d|ecazSAhH``{6y-|}Y0;`62kw3!o3K`7yGKA~YJ7FFOV!{f= zZhVA4mg5E!K1&Nw&0~k)3w&hkwiJX@OarhexJ_=rD(!IIKX_fH)IXYO@P{+R`D!q> zOJZwdxmT?|hxxjDjP<*Ag4lMR!F$P=H$lPL%l`hC;)DbPG(=^z$RO^64MPQkD041R#DWGR3#$Eq%Xfw^}N~zWPa#%UU`PB7wye9C_)W%N%$}|_uMgZmNK?`Oj8Wq)F z;goDvf|px{0@Fw?CQ&X+P2u+&Na%#6X@{YYNuU;y8_#b7tPU?|0R{Wi!KO!xYi1X& zHz#kxHWS^0_{D=3SI^$N12Pe6>K$#BNOF%C?(QuisR$AvN#iT5n)HW4-IA3kNF1)j zPtj1d6}~C>fi(a8DJ6l|W;-s76+WRK1l^QRSsgWoL3#xva~6t1wZHDfsl zZ|*n`$PEeUiVpjoq%rxbn7987TP2^Uck9{z&GfT7(%@Jmua9tS%0cPiQW9iJ!W6B+ zHpsn0wuWCSKLS^kY`w&uJB*xXgRSN1tR6&>;dbF12hD-$`Eo43`pLt&x!<1@u=onV~RA)%DMjV*_opaYYmBJ zJe4mWYWpG7Dvj-oT>|Yr&nFmjLd1(S3RR7$rJ{3ebX4#!?lRzN)VX+vcO!Tn*KPmf z*x)(38CxEj8ZAeja5})4@8h6LP%ax*%y=a?~eLz8cA$SYOxq3D%QTRERKSp^m${oqjjk`djbT^ zJgjm^2Nnmp$C7jgs*qk>iM?ebcOuFULb8DRWqupLnQ)0~+CuvE@-g2{-9v!y~T;pA44g&sPln2w!LjmhcWXLh^3-|Ik^w zFaZ0eKG(NzTQ5%=Wf*kLT8Uu@!5t31=4e(Z%FHR`10G2I2$9ocy7kbN<>;`XxOh9b zmb`Fq5@JmQY(%(=b1`$5$43yVdFH^g?j) zNNu7>_@OZR<70BBhR3G?rM_qy)4n?_axJ80DS&|(2_)3j?l4{KLkhwa^f} zzKmV%$-bE`XbMoqNHZ9lXB(PLs<#-78iCeOjZ%GcFpQ6v)xj{P(#??lWJn1VhtACb zxaBihsDJ84xuqtlrSIJmO29{nlU_`$qp78jLEXCSvY0d}A_&!y8K01*$#YUjx|MdM zX%iqvuU)QM;jCa(^6J%IaI5)j{^b|LEL2fhj6@|@Yfk*-B>;1q=!31BAPgYBFKipwwDYd8;|0UBTY-cI`TfuXDrhXw83M`vQ5pIf z1C<<~cx9!j@^tbm!`8`wZKHC+pOa*m0KohBu;#He=%GK+{JZWP2W5Zgh$y^qqk(y) zpu**k%LmDD^i);Xg^L`5Uc>jV8h9St0?zp~?EW(tNcWV7t)+Gg*WY z-iz|YgUGD*6F5CjD7z>u0?G>ZRRNP)-`r4&A!a0l8voEHpKr1H(i7CqU1j$ZjpJ-O8q;n~Juf*ynrbwymS#-xdX zK`g5dsz)}$1Mf3&Nbx9zQ2bFEuo_fbF2P7UP(r68R8_}SWoBScM(}rXt9<7v>#)Vk zfD9QiS>dQw+z&{|ji!DWQ?Xf^WWYwaxeOTmOG8XpDI7e~?gn3n*n<&=tmi~Vx!P$M zr3z)~x88uhm-E;dcE0@i(O4&Tw~pnM1{w`3)2w>BvPqp0mRRj#9u}wzE2P@9+3u#r zEDfAk$T9g3}~29_nibIU6n!?>gCs`U3pTu0nPV2GRO1>XZ5#Iei{ zd&m+}nFbaJNntz^vdQ5IGj9|xAjO?p$wkGy9eQl*^K9C!j0{~CVuJSBAga!^Iq1j@ z4_idS0@+3(%@4!EaZT^nbLYWvyHo1Kx=ME)AY%V5fp6N-APYj6Cqxfn1xm>0yEqce zt`OK(92ytIj`4VF9TBWHmjn6uKhjbiPrHdC=~o$-_td|i4uDvwKMVWG4qIJe8vS3? zTJ+x4d)oKvNg-C@=d#rV1z)9g3987#Azmq}EP~w3e28L>v-9>#yNP$-II10OOo<`d z`nC?9qoRT~zUDt-u(uOQ!iH*`&q!Bt4VCPWo`8*F>LO5ze0w?i0-(h_Zx-nLD7;Rn z`&_9q2D7>v*dE`JxIPA1SA4k7*>_Ou?;z;mqyy$Vz49ii*iB%;V|X1CY!PuN zp6&Z)Ckmp&o-ip;#+1}{fp>&N-zND~`RDJwVf%K}^~kQ#)8D5)2oF`F{!G`BmJHT3 zj!DfcE^+KA#v1~o&=0TtC#8i6)R+@WoB1O zCJk0jz?$!&8HLT(Oz>Eecc6aqF}@2K##syi0rB3pWzOm)&dWS-K=7CK%GZe!f~aZ| zE069ck%U;zh(-?}xuhl-?Q0zW6kUM(T)bWi=}t4ub~w(cp{1QTDjrpuxk&q|>zI6I z%&mjHe3gXdOtjpY0Bz0B4Q}T8@PeSZbxvKlUy=S-e&j8{=N!H3hVvs0-BL+AKdnM3 z@~Fr3f21d@`@dd;->QyHfltn8C!VbAWkQLpkN#3{@C;Z=($5bo^kRO*5F0s@1(MUh z_&&n4Wd0A>KqtQ|re{~_y6NY{#QVSc@4V;bQ00uT+w^pu7M|FS+Hg_!Y^Oa{oNKVF zRBqSp#iUqL1Z_r?4I=;{3@W6amT8adD%BYmGgCPVXGz;zZLd%|-Bw zAwAvBv9I<5!a*el1vEHecu8=3@P3e(m3q< zmr`nM=>^x5UhseX#83bApL8$0xbr7LN~w3xLCUR$+FepiVvusHp?1tjAu$>Mxd8R8 zxSzIt&)gPK1i@Q+rndBgXJH(m!a)duOzK7C2s(xihmZg($nKHiDrr{`yb?k`!PQ@f zu;Y*Y?0X*lGdDFPxy?)sO?87WnV|774B*FBhNrs}SZ0J8siQO(Yn|+bbSI5ayKHa< zdoNgLCnQtD2oEg^AoJ4g9vRRCws1l+HH;AX(S#SHM~#wc1tFOlMmY2}lIvj@bbX^C z0iTgfjYNnlG3@E@$Ro%8dnY7@XM}yT9}ybmByw%7>4a=*BuZ*;Oq4)pOl~BH1dI}u zXQ%)UrEwF|yhzTbSJo(zsD$3AVcaX6$qCuvSk8Dru3ot`I~bO~r?sOK$n znx157uh&I$R8AWx(|$K_=Cf2~FUzyy?7RTn z;&EXXg&}{eZY6QrnZ##0lmO+dDtjF@RAted710z1Rq5g9%J3D_ORz@1xD(Tt@`rfm zSN^Hb`P^Uayi)|(#DY4E0<%P#C1b{;>K%3TL)%hq2ADC(RuL{@nzy9p=m;#cqF@ar zdjU1hOvDMJ1%>KLRBsedw??Vyf-qW8BYOFX(odP zX=1grz>2*$#t!}OdA!E?&eT{*$6R*vaTi%xVN6c_BwFK`vq*m-O;Re)7OlB{r%_;s z!PG|d`bvfO^`$?-udeJ+S~vY1JN%~q^cx<2mP4EbD`o7^Acxw8WWHl8vBN}&a{CT9X);bG4ZZ5mgLnUIZ0PKA2pv zU#QDPOGK&B9DVFXmOHdZIN<|MA9VuHQ8zyp&`tWXPSH)*19sBspOnB@H~pNzc-z1F zSO3C)>-w|AzfE9hH2k)A005R=?GU07H9>;i&XO1ra*iTc9uxv!sVbGP1|uwe@4l^hqU^h%I!iY zM{5ImI2mPpI&h6>ft?0Eq>?f|9z>kTp8-1v{TIZ>2v@}BX1XHo?S*TvD{WJ6lqdCk z;&je4%f6Q-TW8A1fTuitLgGBy__=cTlepD_DTmWkj?NQZ4zaR~^>vXou&bElG-pQw zRUa8ObNay9%Y-ap{eiQih(}iNg-ZuTM*=A&?l~wW1BGkxD7|3I2}i_ZO9t-d>*r6} zc$UF7sgOgTyq`Z;a0)|q9hxHqo{#X|gR|rA9vruddrA82n+Lc0p4)%+fB378o)II$ zSh<=Ma9r%Aq1AzXB6&oYn1vB)SQRYbKr!H)ka&d=qOS*K#pAVUD(>nOW5m%F5(2fz zImL|8%@AE7XvrmUS~yR%GmS0=e{<#vNg>s$MZ`3pF}gxf7h5B$=+c#YBLqE@E(Xdf zgah0b#MFx!Ggn9tzmBloe$Xx@c9QEEU*dqysNB&jY~++4`-FKOoml)=u(V=GlmQT9 zI;;IcciqaAoX4TL1VrF)EYFR@QTft0SBLRG|JI-W?vFYTlU!ay0BUCM?ZX=&4}USe zo_LsD4C)hVT_iY&%Y=R6VMa)8DY%&60ZOFA%ygF`0F4ly6EtW54;!H2mSqZww#> z41=>s(2%tkW~#{?rZ3tee-gm58PTRh3o{{7U(Uz|UYc>x@*jlQD`sQ^TnPcomI_V- zip!NVvLdd8sAaD8mfC7kDV;TVTe@i}Y)AE$1P2!{CI`wkh{v1aHBFu>zr4K}>s&EW-=!<>+&rMTPD zq^>7T>fisO58wJLCxg7HK2X!BSaqfhqG8my3$w5h2ar7ABNgyCc&Nz;{ERL`?Sw| zM=*Lhm}sF2i@%~LDwW2i5*tVwW5HyhMUh}eC}rkBI5w>|$aKOO)&$U9qs|qg7R8Kg zR9Q?l)Z$Wr`q2`WTU5}akRG#kB2s2F-8+-rAPpD~L% zqq497M(chW&1FWWQ}z|Qr%EiD%6AkI;#gt>E#d{%+SOFSw4jVp=L5PK$(+zGCX`v! z+3Mn>XDws>;fAUzdUkt0y#LnfZ{ zHJ;P}f)-$~eA572UAO>LD5@Q_JvX!ejVJ;@XvU7+^4_j7?+syOc5}%~iZC+nZs?2w zedKCZuFSXT2q|mo)=T$4_}b3$r7-Y>s#Pgh1tD<^BlLbIXxLRo_+ls!f|8oHlY5xT;pXQULxDYNdqYqX zVU1Q~IU(r?w)BANW9&hvkl=}Cs-19)~*0bvA0Fxm@(=V9E!PYMv5qx{x^ygmeHx_5yO#hoR{gnr8Vm)YH4=;k| z_3+|M&Fh?G_kZmlf59jJ;3u7kccY}Hb_TEall<@)@Aih@9bytJI4t^^$GZ{khDk}% zx)*qO4E(a`uaQ?ZfgxMlj_bxpLgo=l=zYAAXqg9I1*NDbf)%ZeH&BXY1U<&Pk!7V_ zyrBpPhVJVD!PG!vP@3lsF!{5{Hhn z_;|yD=zyCkfgs3_r*SyGY%$yHn(X?yrD{+jix#VBbDDMBMaS9Y7PZ_L)z`-ji>D*` z9Z3n5yOoC6i}tXV$xL;)(e2iT=;s<38~VATEVmJ(N;eIS7(=wu#cfG5qtR^`X8w`X zg!Q_*wN58|_0j!{S029l@a2np+|Ll#f&W!pMJIKIy?6l6O*+=RM8^)L(mLtT7wJ%T zE~RV8y-P2V`s4dD{b#``#fRCMU=Xw>I#Jf?eb$#RUVioEi-*tiX;Fb7tf-fCRQuoW z_(J#sRzDSTNF~8Ixntf#cb+bt@Z7DXL+Gse8e{<7Z?eX*L+Luwp>$<$_@_c8NIvgB z{H}Y?pGu)j!7OMGS|`wA8+kBmG1rornMO-Im~|qBG8C2v01>)F48X8PYw?$BPoeDU zR*N_`4Fk+$Zek2(^<68?I-%$=5+o9e#%3q^1X3s!-44OiSK2GeAC3SZY;}kx4!Vtq zGzt*KC{Jovq9tEnh|0CGOv5t$%9Ur|l2f?RHcgwSifW8xefF-^Q)Ts?r-HcsE;1RWork1Op6C{H5{Q5XFOi`IVhA1qk= zh5rEggH|{f5qEGe;F>SC@}#->!S-3~F9$WoolW zpuQ%}I%A0Q$0jSJf*v1_T{K3XwkWtdmgXf^!FvDRAOBbW=|A#>Sb;4X zQcgjP%e`Pp+!>)`z3XZDEfRM|xLAIR#9bn6s4^IQHYkWVfpC+!Gs4C0vJvaO*j<*y zoy|C)l00YNQ%+nC3YVmQBcy&>thA#ml_vG;E{a&=+fGxWiY%paq5M@1InSn2po|5* zQ2x*;_oxyRQS&EdEr});R3iThM7qga*{NPu8Jq}LN3omMaEZuUYiMM#Ct2sDz?{Q@ zeWLMo>6Zn{{4A7s8SCb{BkP6VW5>D~74L4!r=u-~zS>7bnsN3k>-g)YF9iqb_&@f= z|L_a{C5Hz~T@7P;sZ__-7DG~Itn5f$$s|-;*>hwxR$F1FXE!pHTiM!Tc=$5#=aTCR zgPx?h3fGLWF7w350rMu%8h*Tn#@RekFQ>U~@Zf8N2j3w8usK|~+%_pQ_DxdJskFCW zgFGd6Ylol9nK!fO=1@fXgik!8Qo3zs(e;=`UsZj@pZ|_ePADQ#HB3WL#RF}gAlkUt z@P25?XBW#CN3%!^IHK6%IA{?=ouf#B4K;*ol%~jMjAoJ75Jn~ufrH}G(JVq}SxX4l z?Zh_8%%W657*LV&Vsf)+-?O!YWGAjTAD5<>i`|~X$Z#W|WGa+!E6y=9iw;OxlB&SS z{4LjJaInp(saSB6?os8VoHN!r_L{`7ASb3)d^)K9p*4w-bCj=s($o^6d=Cw=lJ%2s z7=hKaxOXv=83d@4iF?{KJTNvbKPZ7*Nc!5Gi$&(|QI!jm>~=&d8+`9#nkj^(A2(OX zd^q+?_HQuzcOwdf!v4jF06-E!m*Ts_Fe81?yAgHtfGkJI49R%{YV%J9L5K93?a+^f zywdb>)tXBeD8nnhgVTZDTtM&9@@?`;gm-)gupbiCiIN-@<#~ped^e!56>#ma=<$}7 zR|GT6&vSr_pR>>U_AvQmxsIk?JMt01PRQp0T)PBR{ffB3p;1!52?k^J2!EEJ1J~9} zlvLt}&l5pdNlgrM#%I)3=&iulj&%I;+PT+<&sj;g?!LztoZY2$9}d7;%O4KI!1(0_ zaBJqn!C&pep-ug8XwwqnrXLO=#1^_UV0Zc9(4W$LGanAtyXuFtfE5$XF4**_6rE+B zGqxu}hk#9jW|>kw`{4tDc(QFy1hLqiF0{p$6EbSjHRRD!kY6c#dp^<7pg@2Q~?y z#I!zPud-BqfR}Qt6ph322qngd31P3!!AjwVWzzx8G=$R;gi^d51_=1&wDqoySTR7U z+6*fOg6#Zx?C0OMyEbCQ+G^KkSTPXf$J00*-|yNko^Z2kv+Hj;ycbTG#^HFJZ+!l3 zw`(&eR!cW1yf8fW;~BH%LwUW9K)8Sg3;YuBFD`Z~STRC_;ob){7^Z4tor62TgLUAH zsW$GN#l^CqZvq1)Adx$g$hJwMClMNg>J(j?a4y(FQ>Rdk3^u&jquq-yKYH-mgGUb0 zN;lE(HrXg^p2|F3nsDx^&>_A67tDX1GUgOLAptyS=3k@;Lg`}Xa3tJ3@|un=eEGCr9q&H4&E zbIu?Kk%32$)J?gVGKs5fKD|QOna)dwHw8Fi-6Pt(fKR4Gz|Vj9OaHgiB?5*D&|_Fm zLo-Y=+sQ&QNzosu<_!@Z2JK<5yVMJ4gafMVLga$5?Iv0EWHTAzSfOY)69R5Q4vkn} zJCme1H$fMo>q{xlq9pBc4V=)AEd8+*kWrE%F_P*XP>Fz!eV=jZC`kd|pyEK3FSbY8 zT7HhXB*oh7onOA}?3WTmA$RJT&OcR)ej@_HC~ZIrNE z6@Xb)npLN}Zmn}>z?C6VP%_&>fKRIciqt)`M1YBN`}H`SZygusazb$R=|)rnY)1R_ z`Fu+b%f-3^uHie7hLZLRvA&fE*h&O!m`AgT^E+Yc6v=91PbW05O{_l|vHoY;-~S!| z*bQWHXJ0wEH>4(}=z3O06@p{^wl^_F9HMmA=fOQ|VmebXm&Cd{;0>3F(8n+h7m`>v z!rsaV4i(({5W+F;5*&HA`?i+g9-xfcfLZ5&juPS?xEO7R2g0t5RKBT#dqqN(h{Q^R zaG}7j?65eW#Ud^(K5>bVgbP;QGRbSd#cm$*tdod@$0Md;yer2dHo?9}C&s(&9!X9& zkWppd)pcc5>3k<-3R%^gHE)&tl5_dd!;AO5_x|(m_Y`Z%o_>TszdzLsoS~YgPA`c4 zb&qHh`%gyfzvGL(Wp{m;o=xn(xy62hCWr*ShxW0$b&<9%OYsi~jVS>4E|Us?O|`%; zvH2Kkz!aK*2@RS+@STgGV%XVU6`F8V9k?>42QC=x4_rxq;HZV>n4XFo%^y@&xQp0CXNG?kw?CS&iosI}5hE{4=PBkY@wVu2v+1_g@y zjO?;BN)%irr4lFEO7qfqk{pRNO0?#b8-%QKAhGkyVbq3EYTXvBUeHCkI3L7Po z#3g1)xf}UoJQ;~3SEA@$8jXbZm3)n|qgFd+roN^SB%KQeOz=U@WgedNSn`aQeXPi7 zMv5$kUFrPH4@$ptGyC6&A{1XyQw3VIge136TJ(XRcto4~^2xX_|H!+);)_51oT3HDO(e99eWry9 zQ1_G%M7lydh>ybwqYBWW5Fvw+#sL+@iW#E{FdzsCp@|HL0l5BxFjD~<>I2HU0-}ut zVN?OM)HFmUI)h8p($6uf0Em7PV2TL$ny%%9nF@fbW{45A56y;j!b}C|y9y~yPywiD zfk3MQB_+=25E@S>?Z#1JvJltsK#^z1C_CIq0KlMDAW&Rh4}*~sWz(WT3u{SMCnl^O z9}miT;`EsEz&F!Xm6OVX2AXe(3(NyC7^1jxpop&gs!hCC7&eafwR9| zs!8oq6O@R%kS;+seWhj6{2VhC0WDs|OHlO;?~OBND#9LF*CJ56!ijPX0yRk28T;GC z6>mj*_?S&BV)aHUE|)UX#*m%R`Jv{d%wOm-6}=7UvU3+X$+w=7{w1EdIzbA<1k6%} zxfVc3RpqgVB_@3|7_So-Bt0@7Pvda>x;c_6#cT<;fL5Fki3cDZB=5utNp|W8zk5MCz-_J`b|)m+X@sa* zvS+Iq2XLv6SRkBarxBuh2YOOLcETJ-=~NQ3J|nY5J;wv8cSzzAa&$y&J0ZzVn{hy? z9Tu`M?6nq)6O!yqgs4}-Ml*r|W4Sb&*G37kO-WM-Eu(I$_$ho!_OKgehpUl{q_$>x z94|*0r(Vwh=rR{W!9C{|=uWv>a*GvP_|8SfPOV`f&dZWKjtEy-UYf{PPv7yAQHQyS z?D7{P91=8>XlxD#i8hhQhrHf9>*IX;us)Sw&8nSn`J8w>ecygO*EI@5{#IRtca#YfM0og=xraj9OAhlu zyk4T~2XaDOkZ(Q1`>ycElLyzn=@ZkJ&R&XD{^dXVkuUkEmy{NmsbTX+~bYIn?0 zsU7t2Xb&B1g4|}vtPtR;Si?s^aAI6!R>=N9b)6{$IdE6JXbMCt1a+OI(H{EjaYAAw z%p8?f1t!lk*6@MmQNU=0z)}Kgk_f9-t67^~mseNSI%h=Kpbl0(W9FztTV*sBq$#^* zVx?uVN+fguOUGW)in+62&Po25%#UnC7@)fc=NO{=E&2uZFE6I*WHcxJlO0yal$n?Q z$;zOWC@#WqIg|4(o6&xK&)gPKO)HwF1V=E(t)BFG%$1$lfjP3qefO78B9Z4UQ>zXykYRF`%j<5dy1QGTV&f9Y*4K9C6XYbAFDIyBy8!zdZ$<5;P79Vp||8TTFFD0Jm*KZ!N5Qebm-V@2T}@=Xm~Imh`e0z>inKwTyD z3|$f2SjB^6V04Tg8mGOrY>gk?8IPHt9 zU_=#T5r7d@jAi^qR52j2sZKEjGAhtbz?u>Lq4`Io4v5C&0=Yncpym&1f0H^;k^WMc zbn)q+?a(NzS5#UkQl1p@B=AJU7EUbR;r1HKN!nrmrV^b=_|GKGb;CVWE@^#Bh+wMe zhEww&C`(N@&MimdYtRW#O|}gH8uS8$iO$h0$_N{Mv);ga1Awx8A6h47PbjR8p zkj3E`g2?(A0R&3QZad$5wR4>;V4(K)sY`A&KPO{8TB+!h8LN-L;#({Zky^{P?0Nc>9s@ha(wMD)ItQJS6%CC=eg51 zd3^1t^??>0(A|&s+AZ`$fTsZg#-QN9ak3mRgl8o7Ge7|HtO%ck<-&r{s4|ceH^YdY z5_*l?H8N@70ME}ko|YQt8~YKE=O>G>f&e;Na81p)X8d%}&LMBFfc6Z_?IF;AA%=wO zk#fOv3h1=5u~UD8a$hUkY7xFQ-L;AW!=DI*i89|BrwIA-e(rb4F) z_mbVgWN0-J{;;@M7B875)mo)N(Nq)TPK4$NIYpP$v8l&Irr!D?ge={>_g=c$=_dN! zCOvh{Q<H;;fP1J<&{px^a6*U}qFaE(3#^-dv4HtRh_prK?LnSEe^h&K5q(T84iJh{746-Pu~fNGuw;@l&IhtsUx4n<(-g~SDi6c!yUUC7}R`5;>Y0L z98ph0go4k=>a5PFNDQ#229_&k9Bd9P#A`ElEv2b2A44&Uf{;0LqC{g)r36H-q$JL4 zln@G(egmT(y#mQpkBbZDR!?)R8wHG@4u%9Z{Cb!(+nfU`jj&lgg7J!3ZIr9|ZQzX8 zS=K_>c}Pt?#t4mN((fFNOf*>JV<;mZgGD~DXYP1qwh*%XA()bAzW}0b751+`s^MnW z3XVs*v3(~eI3AHkj+a{q3k+4iixbn&G1Oo9&wlp7iYT#Zu!s_ux?EIsS0@0X1r~KS zfwSO@DDecN8&zEmCzHOppSaeds+-hJ%F{0qYf;s8ZL@7ujJTsRS9ML899^*_7U$`AY*VE?Ms)ooO8}8rhHraL-MgP-n<^2u5(hj0%UsUL zHkA^hO(^9EV#bR4q37v|Y*X2cEzB=+m^L<4qh z@r9VS`1t&)ITm1qFwS*dB^^xo}S6WI`yIkaJ3K^nMcnle0XfUvQslE@z4ehWdVZvsN9r{!J zcU-`{O+yw-^DRtSINMZl|r zdRh5v%`P83aEAtal#D?QbrD-EDZ`76)ellp3w1p?zaxHph-SiImse(Y*dq)mbJoZ? zC{_=z_6~`;@Qu*wUCYUDaZZUk*__B+me&yd_{uBd+UB2gz#@uV~#zfVj0eXwye97dIC*IDG^lNrlK!l6>JU zkGp95=tuC>M9?PzZT2;WfaoN3fYJOR0*(lF7C}Ww7%!nmaCz*$O@uX@EY|=L-Q|!o zam;W$BF6akQe6>5Wbx*P6FybFoFnexc!a#qiC}YpKb%e((B=SjJRSae{dQ2;H|97a zg5Bc!yi5oOjl=!pu`k%x6ekYnKT?=D9#7@v;_);N$G5vSM)1$BUd|DBU%zd3ZH9no znFWRmrm?SI1oY+gvXJ>5#N%=DX^6dTpHil2Z#$$R;_HFmA)tP6Vv4V0Xv8|Ssb==F zmXX$Nv(w02Kub|v^6n(ZTq3jxt9iYP82swl$+@#;_DDzC--CbnpZxeYe^S!^j>S4v zSEE)F;)HfrNag?%KQM(bYwh;pgfWl*de^DmOM!9)owlyy;r*VrJ-<_w%@ zhjMUY<5A|$WX|}E(6(E#56Da|xgd)wTOH}sot!5u%?V{-M$oP)M9H5dS_5TQwC+P= zt<^u9wF+x?gyrhuV0>BWLjzRPJ~Y~lwcSB!$vw1Ld}yGy4~;f&GModFm*Y)ErTWGZ=`E=^3zw6V#>o0$rS1J`xLg}T1Mh9h5ye|`1 zVQ}aGb9Rs-Y6Dyqxw9bmQo>I{oE)w~93e7PofwedBph^v{pP4>+R2Rkx{99y%48#@ z8xCzX@qMsmVT2Vtqa+&O)8Wbu(eV;&8*+DwQhBJ52+A6Po#ROvLd@P}LGJO3DFWA; zmoitBN4zSQ8sMjN<>thuX+hP_uo1vS?03<|hAXwod<;@e&bb3L%t}JVKBeHxtKRI6miQlm-!{8I?lKxS3RB z2*(q5b8f~4Oc-Wdg(|rw+&aV0c(=U5h~S02U{Lum<4E)91_4i~htHm9o}KT8a{->% zN= z?8fLNIG`j1V;BjqUb<$2<#c;YH6egkFa0CIa6(?3b_5Y0eQvf9v$J#b;t?}7{({*Q zojtHFi;Iaq953~NQg)?tBp9}EiCC)*YH^ksVldT7v9gmy1V~$L05M)(b`Ii6tu`t+ z&dNE1Vh7iam5+e=U!G>>!}0PFXk9X)jE~35N7Q6B=XX6iA7D+V@)6QG&5h-g3WT_1 zEO25`#4K{Cal;ffJe}eylbVG&wJ(!(nc0?d)ay}30y2-4j6IYKNY`k)NfKg|_N=&n>7b<@vbGk@lne!TtA#@0!D-^1DpPd>Cz+Ss}? z>)fZjvGwS&XN&Ua|Eag$*m(K7vGr%41Y18JN577lz7D+le|q8WfAQVw|mLHvc& z@XbK2-r=mC>46i&H{Hm1Bw$jh_7X%&42^JXQDZ0`zM1J*6T>%XN3RfS^sUb!)bD;S zhHsEDObuZPB!|U|rDOPpAh-;nK~V=5d+{9E$hC8<4pdiw;yjSO*^H~io(Q>ph}&1Z z%~C`}+Zi4glVNh;>s5Z+1f2$N;3`Z0l`VMl`UG#*x>MGL0LmE(Hj>qSg{R1&GsBcfkWeEXW9l5sj#r5DwdtkXVor_5o@<5Bcn*{`!o>f{bu%P>+CTtO&`N6A}wDLR}g&qK&mA#`}!Kf{YNIvIJNKQ{&~rk7O};dH$&C_K2;lOjDFLD^?+<&) z6Qwcpz8ST}O_-CsSMvyPo033-$)y!3dUAs^A7Y3dR4k54QEZmwxYeyuQ$kDOydi1;^{`?D#$At` z(UDd5VX%*04kFmVd6S~kpcxddJEJ5yZVv~F5a~|vQ}vZ*7x*3GXtz}^|1#VD7b(muIijf zaFXI;9hxkXrku?gpOJoHm=kwFocMm1q_(hK5j^djfPgY&T65AU*L^oY^+#P9!%4lD z?7IQZf9AWPv;nJNReU!`GYI?*_AODz{ky@z<#&Un?7N}O$Np}X@(DN#)_v}hUl7!2 z;1Du+`Xs9rc>E0FA*F#`@8+>%BFcP(v$I+sHy;6zlnC*le1r_adZI2fHwX)t!&$I? z|0KU4+&?a>9P%+HS*^h1XF(1SWH+40z97;I9xto)aq|&yzA;Ec`3PA)hh&uc^XIXj ze?#w+59Rer5E;2!HnhA~VFaA$3XemwN|5=01miTsRLE>_BjpYzLxMs0!{TC@Pbj6d zrh>x`%_VnFvO^Q0AwQ4Mt)87U?KQJUI`Rm8&foZ@w|Mr#N86i6UQcW|+FfduH!F*hB+B)-q`ieKHkUlU zMU%&WzQ?!Xe%kguz9q8k;Agy~q}`F^1m~5Kix7CFsLReeCfNpf=`&vagtHAE+vS7v z#mnRYZMb|gS$P5u$?wKA`nSeOy^^??20o+Gk> zNwt7a;Izj`sZroV^UPfoch=w_2N7 zca=ePm=K(L*4OnUXiU7wX6(^@1cVe+Kfq4OW94~Jy&^k?;`!7RASWd5WHZ9Chk!PD z3(<8~;H-X*F{s`(J(Z=$(uk>xW6uf6gt8fdAOJv!BH#$aOJNZwj6rpjJrNiCP@zyu zagJF~9hd`@%EXk=mbhkM4Cv=U^|~h4YYR%L*O^hqpgLO8iSx5>8f}&0r^)KGT@VTt zqPirl-l7g-d7km{;9k~po(JGHO3L_rG?|WI0_{-VBsNuSb<{P0$CXz{k(zSO_=L#g zDwIt%mM;eOX$y#F{mw#C~N)Q+9NuU0-D4bI}NL6q*&Jv$!0Nm+N+wh{ywoxx^<}T6oY4 z*h&kE&_u6+;t-8QXihiVXs@U!1HA|KN<86GbEB~biWt0d*zaZjq-2OIay<6q z*#-_nc7K|Y`>to$eQd8MwTgq5Xqrzs#H|G{JKrMK>Cd%@H_hngG^2HoXw!`Dzwg1$ z>SZV2^fYN;sRrow1TuG!xkZ^{0mcF%cE~D_7I8PM`2^ES& zua2OVa8D!hLQ8+0I3r13BLwTiQHpeb#>G-*agh<-sWi^Ej%DQQk7?ZokED9wzCo8wJ9^ z(CEm zljyI#{DD_RCU7%Ti&A26&v!|Cg=KLunpCkVW`qiLP+i~WNjTGqN3==wCnM4SuiLNw zz)${~R4Q52tBJ2;Z34j}+&>!AfYSjy#SRDQ0})O6XiG1G^D|$^5;i|t(kahs4w@f* z9oL4;C%G1c*pn_nrxH@ESP{Qgf=)+g^htGDtQPNrblkF-GJE+NO3-a4?3=JN)Mmn7 zym0TOhZjNd!05G|DHQpxH9E;Tb>+VIKlo!m|Jf(O1*xtaaIWNSje+}E)WYk^p^KzA zTQzp0CaggLysjL4!e#Yr5_m?K>dL`;J89)e0?!B+3vZCXGeU1707w&clLjne2PBLNBoT;uH zikp)G9Z!>;`$p+?<&dseUJ%mSiMI>V=a&F}c(FWPhl zxIq_+7H&F2riW}g!-d1a7t|M5WRjw@7+0Uv>Ov*>#q@mw1VVXq@by-U*86E7-#?fN z@Uo-QZ^*1EhE%u~jyjavgMwc5Yh1jfHJIkUDH!f*f7-n8n}YFrIwd~ud!E1jBc8M+ zQ7cQ@NNNw~KE~DTvu8zv}9iWK_mm>kc41&kF`iM%KlHFDHsP&(p zV-{B*_i%(r44e>`k@BPoPm)aRYERgbu@oqi?d!z66#_Cz$x|{l?x*d!dHiog5rF+O zv2X4u6T~RT!UMcZvXUI$wIyxg19I1c+#Zj8GaN9)zQYF$F&y@;7qeFkcCUz~lVNGh z;Di(}ZBR<+ddIyl{I1VBWj|@84{cWAN|jP!DwGsZts}JPKtTn9KX-7YmOe#dZ$`N2 zA&vU^Vrk;&a|PfURTsdFkWVtH4;&OJAe2V}3lrhAK5+E8F4PCkeXe6go@n5Uy0+Dd zVt#vapDV$sm=fg?${U&aT(y}d&JytOi61xmTnAvDInT9g`|0Y@BR;gF7G*{|*7E6O zK36O%Y`EJ4vz+-{uf&EEXPo81ksS&_L>ud0G@Xj7pBO#wxkNIR^Ge^6ov%mhuZT)2 zdvS3JR^-eqc%3s((pdqm$&k7rfb7o>h^!3oTBNTAQE+Yrv&T)@;$~sKK5@&e+_RJo z3Fl8Adtk-%Nrxfd9_P$l2_tak@LjWkg!C}kOQ@tkyq;0(hkJsPZVuP=-n`A>dgNt# z_0j!{S029l(BtSNpb4P0qxXw8Av_Yqkpr;_0G3B$Z!k#vb058X@uR0uNugRP^)%1Z zphzTXp#3&Xk>mMN5tX!<;zK;dW?anhA?}h0mlAx4u^8b(e$Ogl$TCU9Q31$JVli!) zXmdF>*+nG9ZXRX=PX|v3Xk_nX`FrcmxPzzF{dstcGwCI^o^yX_-dV3mh(}bL^1PIZ z;X?0D5D$36ATUl3GV#}l1@@O8uguE3NOcySrE;1RFy-aUR`8NLBxBtmq z_xH*7GC|#@4C8vw=^qgzJ1^hrw#eg@JQ@=+Da{upDktftQNATXIDn0cVQ4%;%6edro58R8uull4Q6oI5Q{*nljzrL|ix1|uYG4tqH z#!hN0Md`-nOoKEma#*&;&mIla#?Ln<@YZ_X^lLjIPv<;uzx|^>@xT1+$##p}n3ll! zaK)E^y6D?+&s&Xbx6ETBjK(}~sgx3Yd5dUAFQtUe1>)UzuU6)HOQn_>DIDDZ}{i!K%u$Dw^rG#ZoHwcss%Ka2~ zQWCXobyOGUcn?td+zu?OGfsTpt{ly1P>+V@L{MGCcQ{Xu_uHIE`NlnhB&!ch882Pj z7!s_t}h5Ly%@8z|}N7Mn=FVQ9-{7Eaw&NDtE zv^$c7e>@_VPfmGK>X3mTEPvW(O#Xf{jK48dV=`Iwcv z^@wzewF?rcgw9D=Iv5vQ4V$9359xUBdXwAZ&NeBDE}z(~>nd8KU)u>GF$pHEl|k#K zpR+x_{iWyr_Fwa2A!5Xs3^E4-Itm`IjuxF9DE&d9cN9Hqr~yOn?T=3U(3|W;8MSs# zx-FMcn-t25PW%D=8$<>{s~7R7rw{QLog5=<7%3(;Q_3tlIZ&^oVncx{nU%G~h`-tG zLChb@G&nX2nN5qM(aUF)5IyMe$driO7Wao`kWm8ag-T~ciP=R4`Q@+-GD^%S)!zCM z<*lAXKstA zrpy!H|5hx7dY*zxk z9Pv%ZGWyuVyjc;Drwy5`%;r% z6}p#j#3()mxd+0TX2@)*Xql>RfGiaNr$AW0!iqa09YZGf9SlDv+>gKsM=WpN;~07d zDH^CZgaqD_kj)fbda=DSTPaF7)HRvUh<$V&YJ}xWvyoziT`zS@5AZCPON$|yF1rzT z8$v&5(2-9mhNMxV#u_{3fT07@u%ILdqTO@oEU=7l;Rl%H`J5+(xX4XKI$t>AnFXTk zR2wPy=J@Z0FfSyiiCFtBc8BTDwS{a(`<csS=h#_S2zw_B&@(ZEcj+|$ixbXzoqqP^F>lM#_Hf0mn&mI@KUSyAn z;Ua)BsqPrrV}}+*QV1*Lo{oY^T)o>ibMEn_h18Zlt|z z<)}6%q{r!Qx&|0=9k1+}+S@s@e4l6f%-z?&=i?&#-tU>Bsmr711rQ;%>m}Jb_ak=; zw30yy@An5|B{PHO6<`xYU6ILU2qG}AqfMOyX@a5{jeFu}HPT!id zkLz>Hf%_H#zNps2>L^@edByCRA|eKkMasE(_~B`F0QmLhRGnn2jVO(d zPMYEOw+FwUc8glQJ}0%WC#n7P?|8?*`PHzEI;qsNHf6N$_Q?o11&Pwj$Wz$BFgR@?wrDV8i&q)Y9Bpt9KN1dSC)svALuJT8biSIA{E1&skt zngxy(X&YS-JQte%MyEAknlz#zNZVKhbqE>E@&Em?2Qf)et$ z!!-T9KklR>yjqh1Wd#*d0<^#3e*PUC^L)}CKqvtz$yz|_T zeqInHIO-I{h*KLujI@}apEI`?VDu>Y&OHxp$Hk?0(&YbxIyWf*2C6lB4n_l*ksI6(k)=##B!~GfoN6~2(HK6 z3n&lqD_{%TT5uI1qxTM}RM_m}R+6*%9CL)c#oTf+nqbgAGWVDTbM0ogBC$4Cgq>|` z;8pDglS+ZMrX=Eiwb*fes?s*DxOS2p`iQ)8Y5TZd6VJ>w7t;6`r#Wh|X`$6(-YbRe zdk?%8bPp)CEMc{w2lpOSV=V7ID1{+>yz~VhY@A5u-evsjWyoGafXnCqn#f-^^L~E5 zs!V*3t0bN;5BmKto>gs!*GKDOzg!VjNMy&tQswEc(R;GZi#_r_>WZ%Msx*&`V#{sK z9j3zJt`Rq}k<5SGH5@%X*f=_lZ<3t{TT*|1^uq$INFoVPM*Er~4H)N%q`E#`Af6LU zV|DcOY+oRs#PO)4sB_OTe!EiS*e?R2mnd{f;>Buydk*j~wn($#JTY*5aRBvR{bDIx z*wzI8h4bX_Y-2WF`}Ql6&adZYr5^5K4VY%N=JdDVvb+VCI~%qS0P0yDPbQ<2H8vPKxzj;FBt zLIz#{8;)_Q>3|rYiq4!sPbzpkzAxM1HLlm3c^coxbXB}!`TGs}5?`o}kMrHHA_i(gC@hzQ4KHtkVf^mKU4q_ivitFWc$++lzIkCE2VmHdQ5L zBe;xod~V10al!H?3M2TnB?ws8F#+~&oP}zOPJ;c#Cf7MON#WhOi>)GzItMphn49&1 zHr0WujN{wxKl`kJP784KZEyeLr@tI@4GJ+WFHaHli7qGPCa+Epc*^Qoj_91v|MoY2 z-P@wHtw>TYZ9B*v1#LF%q748&YgFDrIG|l{E{Gm4GeS)t)~T!^nDaz zE32p8QyfsX)AxlaHNJm#{Tk<+tsbcjE3J=$bY*p9JB}}oZQ87qDT+JkZAXO}SJ$;R z*_BYM-`yU&Ql)MC^*HsXzxbhWt40G?h_aA>r3x`cBotJ9CLMRePm|S=-OU6s3o5e48#vYMPTnBbOPyzHctCA}TQpl~jDm)ywx?E%t_kioYv2o^OpZ|H zt)SdFKeR?Y5VT(6d}uL{CTLi32x68qUGFR?M%d4Bc?4f94o&7bhUn3!sx+^DdBDuW zphLQo%bls}hZCu7RN+ z9o=}Mm~n}uIugHpRNXd;wSoJTz*kjkJvsV=S>2l2#q};NVzauvMs+I+b$bPcYLEDc z#_QC}rz+HG%l9eN%isKt*N@YLmEpWAP0?g$iVD>|q@clEZH&A+Jh|+@Zr6smBu!ZD zO4a@=(ui;}p@KjYX1oxwV)kFL5TQAA_?WRf?Z57jlYy#&zqjo&lx6>wtu#oF&@t2o zq4?FK`bYh_*4@*8Z7Ov|8Bu2yy;Y~vd{@tI5!8imqApjTN4JqBtC|_ZbBId8hiIf^jM#w`Lo~gNpJa?;3L$!l$SzEC0%>b5edq>$Mlx%fB)6^#oPV&21=&G7Y)VQ}Mu=&EN5nlOjmu>&+< z^2#MKC7pCSly`yr;SUgICg5OkFQs21RN-7aU|zq{ef>K?X%?JQv&zF(^{wxgg73 z8msp32vXy@pq0E4f%ee|QsWiLK^j3C*CKhEMi9k8KC(p;dRsI&96{qyv0YxobV)?% zU_^nhxCLCU?oh#+`SzeYotQsJF11%W-$%*y_?j>;$Xr5)^Ny=W>qoyH&8+Iq>&`c& zoK>aYif`&!#~xihcfDL=*UMu)(s8O{w|D6Ziv0t>`srW$&%>3~g*u9TYCB^Z_@t~6 zSs`T2kp?~i58POQtk}!IC)kt@OKVo_gUyFou@5#MX2l-ko_hKOvtl1^6U>TzxJ|H9 z>}A^1)!PKcTP;3h{|9#^ReyeP6=vL>ZU3THFuSvlgF8D-EnPWNIBog90R9ty?fd`A z8$;`9Ccw3x7Aih6#wXnK|@2Lq>Jp7k%Ds zW!J{unvv!ET$_*lx$l1B-O;SsAJ9c!4dlRzJu_#@Y7@5g#9_0c9zbRkU}??LhhPrq zBCodJpi;oRe+cG)E)D%ybY`98fUeB^0HJ|(E5wR9perLkj_5#D=ywQK0bNO}VPrDT zF-NC4po`%gK)-86H&zr2XPbc7cvfxW1D%p&4(KAQ22y!y)HwJg{g?EdbzM|wb7kS` zs4G=uynM2|%2S1ByyC61L0ivJ1wD8IUMw{xk$7G%(;H7%!C$4ioE9|EX7$(7>^b zJ}}^b?!flY5*j#^alaycnxH*9b4esL@I!Cd0!l&P_BDSJ=P03puejW@Q-CXCSV41+ zw9Edht)&2hD7Ce}S|d;YHA+qZzXjMVtuV&1wSCeL4zF6OUC7NCUtW@A1uc%g;7A1` zNI^J()2tAr61e%%72AM9D5{n<)^w7sSG(Jdb@bl{id-s?&3&&yHoI=7-nLEzJGvLd zNwSh{nXGI*ut_Smq2W}R_r6b!$?s-hW?=nw0_$%Z={Xzq+cWB>oJRbv*{I(PC&It_ zfsg;;-}w=*c@2okB;_E9yEfmq--CQ!Kz4hya>H)q6j{#q1$n{>P0Wp8&i8@Nhx9~5 z46coO#}Wo`6RLdQVuf5AOcprz1@w^*o%4OD4rtE<0)Y)JRu-)CeXwY{;s$8vMlk35 zFkB6YLgxE04TrWmuP8>{vYZ(H$xe6*!e}>wYSc{Nw*_6pxXw}rp1+i=%wh6z0+L>U2XePithD@$P(Cx8<-z7qhc0l1bb?; zKzrORaKWCR2bh+)obv$F?a^^@=f%q}dgi$cZx4U*J~p3z>vQ)CQxhf^L25$knts5< z)b;E#Out3v&?vp`eShx#-;nYEVqH2e!)`+>3tT>2hSqqVbQxN~Y?Fd-3AR)7^QT+} z=UWd56m%ad5Uy*`!8fHJ00Ghx(tT^hYMQ>-@gM5?|Cl+}hls_ua}nI=}B)AM)H z8L-S;EjQ6RkcyS*Er!vT#sbgQPtf=eQ>>ih_*;zQRkh7=d~s^-Jb(Z4mE!bZBm5n6 zhzhYzk4JR*_H&H#mwn|={n+11Mp^Q>ZE_wVGNCRU!oIT+ERiYB>0grnBdS9df4vbN zww%S6*V*#Klaup_vw^srI*Wg^$$8A`(-Z=R-wMDdXT5WBekb&F@COk2i>b|mRdRl} zSrAysM7lQgRg#iO@e8E!G1i3Vz}!G3nUnLzMtmaSU)`P43IEB4Ud*FfXhTCr2wl&^ z;=lWUYW_PRc4g^p<8&5X1*{Zr8yUjXpQ-m#@V{Z-`#w=>7@jmUF?q3zpWtizb+UYBdGVcoddM%E(n;JQiq_C(z-Wo7c&0L&lf@TSxRYOi^{hazC)0~ zwhMx4l4QU*M=;g3^mDZ9E{J3|Ir2zGfvZnd#SG24Y7F8O(v#4H4y9@kv2ty3&X=G%ju3Y$?$hO#$D zE=gAR2Tf;so(HX;DF6z2 zY#$?GhVwNsrUG=*{6$A##hZI1+`BG`yG_C@-c6Ps@_CMWKXePk<0uT!-D-pG4~T+p z_swpI)jA%Ldnx``7wHFQ%f1Ka`a}AyDW@@h0yf6B*Y} zL7K{(@z|~wm`Z^kgf%f*s>!0{gcQM~@D7-7S&3g(g!>Hr?uB|1J>w*Fhr1YY%**Y$-4B&(QO@GQ z-r4>4-3|-vi!=`pbS>=b%>#5@x1O7aBSi$%EeZ zFRIdW(0h$R@9Upj)6cW`2;)j{b&;=;0x@{-n@vW@c&X1eU(>>`j`^Bv2e(%{n0AX= z7hrDKyPk%<4$XI!o@xNhhkdyo)1oej7BvvhBY}#fr;LBgD>7~Ef~Zy>wN}2`NfkH?GM(juyY-&E zeqw8dizW-wC>KEm9njW7DK)>EM%e{{t!Dw6g6qzq#V8la1<1NYQod4ONJ(3}(j!Gv6Kq{~<_P zZLBfSB`3QCVp3^C<%8PtZi-ngd0#nOzk$qhW1IEg9~F*Y&$pfeFwjGXma<%nM&fjs z)F03Sq@E))i@tqiYIA0|DINDC0##qn81_A-Z0v0>RrQ{2-YLjM~=)kLa_3X2TQ!Ok&dV zneBqk;Op&zK|)3tuxPdrp4dG3QbNetr@ki3qm_90{I{#3j_hNh9e^hW8Op; z1k#IY8b9WMF;C5*seN5=yVxDAXy|>>whKRo+Sdiq9IQ|sOgqIlSbGRT(Y{4;wP4>A z%68D~9Tu|8Ew+WR0sIH-`UuQwc74;nz9t6La|!^~+@f`=J$k-72;G#u1v9G*6_?I4 z-yVR|b*wx{)r_>pCC}5oswy8AQ`G2R51#yQvpZ?~zQ$$MpTJbxhjT-?IGTlLo;>X$ zhd2RcR=$<;mx6O3k3<_?7Pq-dSB}NHKSK67#EXbCy*xw~D8F^VbV)Q>DIDz^A|Y%0ppW1k4sSD$I-7 zCS=772q~0}YBFh4&Dt^D!6z_WO8w{U4sgy!k!8iaN3V`RIHAWnf>|+xm=bO?54~LN z6*=oa=4xszsg|1_lc$<w7-=xBtppQqP^_mOYgB{%ym(gXv~P*10-?Or2E(4{(C$sNq=@7bs_% zsk4fpa_1mc1hs3+bR!1GlH8n#CxQ1K%rI>?I(3$>ct9V#AnEsj`?rE(lzheY&eG-> z`PcrKoL}EA;UrQOAxqHoqSuY^nDq<}T?(QPUI*UUSuyOE*vpQ60EACmM5ND~O#G;J zqwAvnPmIOW?PZjbTO5|EBp>kp02uFBW)$!pZc}`62hRV-p7`YZ{BP{(`$Q>Z%w4T{ zTP@E4C-s(IV7O#y?-?j8 zeZEJ0rcSIpm$7fJjBOfe?OPQ8h4Xs}WGx8oizy_i{d zJG2GPw$S>%K_51AHj9nT#0kM18eql)9eD~Wt6O&Ddvi8NFr;hRI#$e~!63tY6&jRa z1LUg&9OqyohX#mqEXA=wBUlqdBUmql>I1Cb!7^2ulMB@Av zNzm5onn00jOMm=s(W4;=Zh1ZWu{)132W}X`h(0l9cQz}K*)+MOe0%oj=GWYkpyC_J z>i#hMq`wIBNUAkQ9S8LRy5)8;#=5hcVwat6!1=c^-TFNw)a}ExdbP)7`NwOn4+8=j7QRA|L))Q1E2f;@uHAB7^6iYRz<8R z)SB9C!K$K=hQ47xD+9I$1w1nYOj5RGrpm#XUKCm_CE!OQG~j8OOUbfH7M{B0zFSBG5)M7ljVM4G2&F7C6%Och?RE%0|LM zOAv8q&Fo+dPdd%FXL!+b>n`kNogS5RXNuq3`~eH*RRR~STIU4(8nj2k z0Qm%9)zbkXP`74rJ1ja%I(7FrGN4sHc%%S-8zi_XVUeN-BrK%!{#BEY{q^Vnr>|H= zK2{Y@8z@4PK$l2Z*BzmiHANKn!G|$eLsFh_Rt-qNSx0MGPecs~(G5eZtaY;Z z)kadcQ}&)qNY_|GLQIr=0O%-OU18`2&kcHqVD^EpI=@-Sp7s$Bd(N^>2fbc9E_vE5 znzO9aZ_zn)8h`MU-tzCi@59R=5bfM@<7s_dK)e!;4C4tnm)RTJg=!s*rwf8pfw@5l zE{U6<1q(rX*)DhhQ?@c$9S}h@f;65k2tJ2}WCoFpH8fYONaI-qj}oY%qi}r`0CPSx zji(EujH-bM@D-A`4Z%sKE}6Dl8z^pe?uK9zp7*oA$t|A;il0-&WMCW-f>yCT=f}aw zKpdZM!R)b}Em+DCvFqkB>a3Y|i)IUUGZyTxe*Q;)+K)!~r(`~E!Hn7m{S}Xl0y_wy zZhb!G4Nzbs;WjW!Qrcaejq3C)NyB#g%>nM-(vm(gQnfhHQ{Z4#oQu!Nml9r91NnyVA6WyvLO-tT+w9sp@ls5S)~o1O9jjf|HQn=+(M91gDrsHLA%}Co5_Fte6d%Evs6_)23Qi zOnet%JmZrJJ!;&I;C91u!SsxD9ke)H^fjCl!ag((R~iA*37^{+i}THG5_BETDa!%q zT*csgUkhU*a?I&PM~)d+cN_dv%_giqDthPJ^=bLcIpsXvXv`_+6Ha26t%SaaP?Yfb zGUAd_WtX{Bg>|GJOm&MhtG?|g|M%biy1?Bi%DU~JDYK{1Akv|9l914QQ%e5~y5!Vc zM<)qiciVy*@Y&Pr4QP^S*F#YBgsoUcN@Ke-q>*;LtXOELFo>dUi=kb2!DzGro~AuV zfd<;4G1b9_RHGxj6{KDF6=PB}djDF%s(&UXHIw|R`Pl;O^))fELRqwMouu-eFOcAF zTylTJ@#)Y2)U#EG#&+GA9EPirj=r2^)w|GI6|Sv(m}>cy9f0CHG%~YIzgXXS1KAy5 zBvWt94Rc-Gq)op|GrhTjnYIV^gl2tcu8L{Px1l4sD!%$#KkqaCM5xF9uxG2)E@A^$ zaPVuWNDh0V?_r72@{M5fhQO5XeGjXZywiaIsav8EJ!$Xs5sk@h%S8@*5~&5yfz6?F z*t6d+$frRN;ZYQBK6DOy_Dha05<&JE*1tN3J(xS=q1o=xULH}8=A~hhj>Tq#Jhn?# zeGd_w?G`dmFrQ@&ODtU%RH@BrN^6-PJ-RNI-~?*;8af%~N_f`Pnu)&P+k#`$T8wOjhybLi)G7c*jdG74tyN z{59Y7nNR#bqncTWc?7i$8l37yZ93AlK7vLwXy*Eju33bRtAZ?td)Ta)6H*CTzA_Fs zQ#62S)S8)NKja)iz|*W)X=W%LW!>3>Wug(xni-SSkA?$Bn|33ZHS=L}FkQbx4|pS3 zYvu(Zi;`Z$ptn{sC#0b5As~`F8|e|hyVA^1qS~JhvuX3~l4Q1<$HkWYaD=O`Y$#ij ztTgj+Nv=6sFHAmZ&okd2j9S}?+l*N%owhxxD%c@#(A`c`i%X1~sn)zTZEyPDVc^P=`$OWQyAm-@$&xUl~X^&OvlpZ|?LeV-^btoEC{nC7{X53I8_k``dgc>5q2J(BR{=@my_O$L*^YVhSBc=!MPrT;qAzM?%GVBn}SYx3nR&b{zR2CA%v z$bq7Od=?Pg9L+x18c@>IE52e$L%`SXtVp%ug2(lm%7TYhAbLJD)xHbD!9|*anhH~~ zRI9|HsrHNDnx_W)uv@N$K_st8weNy^a2cr~*&ob*jTQM!xFFiI$x%df9sqr!&_Ynu zzDt(U1`0L?f=`lE`z{GXqQ+^5-``o2b%-LV&EFg$0=kj*=v4bIxm$u{P|kC+wdUq@ z^n7~`hoz=!z;lWlT0Ta3wDih+7sY$F!391_9$}SLwLj)RLoWpDIxGa^zHW zI~;u7w)6_-PU&`?R?&aU+Flf{>tX+^V$&$XRkk0LFZ&(5j83DD$DCuI#rH_@7h#ZvtAoIkBn);bdzluv6AFF_$>LFyPw9^vnTUNr@m zn^sW5Hcwga7G$>|iPX_**0zRu{$<6&4Yh(HY7SketQY9Kz=|*#l3>c`$Vb6f4DGfB z+^kuVCZGr+Q7xrtGDx?Fu2R-u$U_ej)5~z@l?Nk!O`Wm^)0KGsNJ@$CozJpPS+91) zm|@K|-0{}^Ad!48UF*cW>udxhmDeL4t zr&k%ORTA2vTC2?os*aUEf9Xb4U8e{=VR_M{_BxI%-=|4GWAW=B_NN1_tCY^JNsZtc z9GSun2j#N^k-NOCw#)iWTo74c#@-MFZ;Y0~LXeu&1+gNT^-(A|F2u9SP69yFkZ|?Rcq3j zcFDu;u#wgsR2IeY4areWO0O-cwWfc@RVbzO^TWBOaiq(w!DNd%Eys9Zx4({52~VrKHa>mlF!EieDT zKYC~Ia;v>}GwmLIaNPF9F?GPR*Gbx#qJC7P8V-F9c+eqpI_gMX5!C1aC}_+Ofx`-s%RfCv;d`06!hPtU^qi^X|;(C0IwVulQbj>;n0dN$v?Y}6jtO#Wju3OAKJ5&oQtoCmP>gyj_r2TdmPf45mh&dp}R0IFUNyHA2Cl2BSC?5KPN}zJh*p>y|r=7M~OXspT@Mra4x5>k6`MVTH_g4y4+K9q zyJgdk`oJGMEZjbJI46JX`0=41yJv7M@qbU18e4MV8rmAokEPtB%#cdC#Fi5poYQAD zpKIkll7Ac$eHJIQI7#XI7!rLBf(s}t@am-R14CRj{|kmI|6r=bh zegAN=-skUcFV@xXU-S2--!I$g`#9g6yj|}v)>ZO$%?p&j-??D<`sw3UTwuLgzWMqm zH;H}Y&RuK)y;o2l*u=Fin^ z*^w2`@&Xk>r`j8nD+_k}((zld^yS+bRLlQ!1AYCSW1RZxv-zv+aKH0BSpdr+v2`yI z3EjWU`|e-neW%$22b$n{q7pg85ns4`;pG=D@4r=kkNmXqlTOAM3v}n>8pscrd{^in zPq{1oa^-~kE-k;pv$lJpw`kg3;a81nfcI9(20rNT3R7$U^k?7rZEp%rTu>39C|N2G zvIRYex}!pmkYcwqxbA|NE0qTm1VB z-4X-_P_-yQ7&n5iIO-gz?`JG{#g2!|5CBH8=-KKw7C-MQ3*C^6Iu>>6+zr3|O{w$! zMW&D;hi$S!jR}CqSq%b4+NZK{;*lnmFWX@HCD(D*uC&e)Pm;|A)kbWDZGskGH8`vK&kJ&B>PdO_CI z7`eUjwnF~O3YqFFs7gp@W``CW^txIs_Ttl z3T9!ZmTc5w*xT);rP8r*88#JjsWg)A4veg_GKUpP?y!KUoOEYU!kZ~eT515t?i&%s z0LBkKJR*t+*dmEuXQ^w0-eKQ1Wao4P#%^AHL{zbqS>Mgl-kz?3%57M-5b+O2=XSmAR16v$<|LJtEVC_K@6#YzFgI4oRQ3S28+pIQNv&W%@czgkPxwvx;W*l31C{dd?QDg}H5 zP|JQfK33;BNv$Sbc*^}vB3Y-Z26TV-GSeBTuJ&CI42x8>_=BxGw~j@MLtJ8?d&2%# z_BQ|H`}}XSyV;}^ut=^-J+I~4P^q&6{5TA3n5Od{flB>^Uw-kc|9<4F^*mdjHe6L` zt5&&Wl^U+<0Im`W&)uHhiApR^|Uu)URr|vK_&4 zUC|X=n)u^|CCs0+yKMGJHCqUygnMhQ+Q}MYR2WYeETddm=ZX8X-lcB)p_(zbTR!4V zx9;w36*YL=S98Yv@b&-R*M7`L796AAjMlyZm(S)YWLQ8L-+I~EzOlK=k~^zT;-m^0 zFuVkUK&l-M)*axlzTy~d>j{v$6Y|ePbIM%pj#u_giGN7IMmn@wFxxles>zaxLm#!R zEgQldGVIWnV5MM!(CQWIkb#^M3~PYDYtOL?891Xxo%YpU2;=jytB`@|Zvm+xsbvL# zxIhBX^DBf74~58){;fUDDr5jp9H{9e6N9d?<_A?d8(d449}tG1Y~EhXihvD-KNuFr z-;R;)ouk(`XoomOjt+=kd-QyVK%fU^5=yR>qo}${`4$}jbJW}t2E})dUfm-=1tedk zoc;M`j(RofYDB*rT@#ITqE}A0n+m^vF~?5v)f_g7Lz5kz5c5Du*B__aP&uz1*j7c* z(Kr3B@xPi)o=rE1N}zyt2%Uoc5-^}c=qbcIQJM$B>a4sD`PecJ0ZIDv(0sz8nU0TC ze1%2>ysq+OWIH?=!uKjP9?)^9_9rwRGqnjDfG=b;04`Xy$rM0xg#^y#uRHN*phqoL zgk}?$mMNM7Uz?C+Vi@Hq-x0IhiMVFZ==M51>yFa0`A!(PnztSD?>_-MmHGqY`{!Tla|>xSk3Yk!3s-$E;9Ln zN4pWE;dQ~|4pg!DMf?J`}CJ+iGmKN1TZ>|Vx#6rZ>UK*OJ=A(>n0`aR3?b-si zrE#4tGJO=+L{+ECsHWs=w2X+bq+%c13iLF-=w?yb%$km$`()zZ#b533o(Fcfx(g_RObMof30VH~3WIGfTy!jevjOd~QI*YrX z^j+irOE@3PNz7iNfxFm*`+vTJZ;$GT_c%NF)4j)eR_2L^shinmQ1ZBgg}49C*M8M! z1zJMsVoEEDc0TgGBaI2-Z}3N{-)_7z-il&^`!#w{M6id6ipJEwMgm@?%SFb_dscJ} zLrb{efqc5Okr>hAttds1#?%G37*mJbmhekS#V+$p(U=xN0OfFwB4|Y2whM=*gI@%Z z=0!#mf=GeV^5qq2OkEHm1tE2$%iUSD5-W-y=aOK-A@>(0yBAVYpDt-M!H6!F2yL2! zi>`FZ9T0s2>Np_V+}<2fqb`ZuF|f>XEs-gy)_ll_MJ@L#;)~IN+4>EDBwD+xJ3Qkj z7T5mCrvde$g~Kw(r*`Go6Q6YHy6?wv5mxEUA5b0qqyFB{Ec} zK1azjTUrGa2PCbcAYg6Hj}c|+CyC||k}^ONGj~*-*)Aw@QKm(5vD5w#U{pHPtD;O@ zGDuo6VzbRBsZZ+ZpTg1#=r5~7`Ik!_sjOM%Qec2|M>5}^m`j1wQ~irZ6|`uw2T`BV zZL7^(MvX>vA628OzO_YC4_lwn)wY>#LC?Uc8ZuEs=->D>MO)X>Dcj^K+y5flBt0A{ zoDr^u6wV-CLeejVGm=qBUqChFocN{Xc3?FJk$qG{AW$EW+Y#_QG`9od#~i=08dSxP zGR-IdV0uq+d|a?Bo51|Aze4csUV&xWEo#l(3_ZCX3axj4#5aHb z7lg~8`HCwiGY_B?Lde2oChRDTQcmmTWaePjlP0Mo3O7h8GNJ>vz&rs@|J5U3k-;RQ z9tD=Y2NxljlbMN>tD7FB*6~9RW<9H9=1|sCTn1m#fEp*#p3I@U1Sb5Aa z(Nsg&n{2`cX(O_OQTpb#2)VU+Emq71Y>;1~s+K}GNVrcO(OS>!U<~psWCvqEM6*yi z7!Sd+pq9<}kaXi#;T|Q_e*ofyVobwm+dp*^u_4m;iOZ${+;XJ}4v7Rz#d`!#2t-CH z9@e}|1mK+}G*soPHdle%rT|v9s{j@S(-gp?;#!d#N`#xg-$c9(nbp4%rk?C1aI-P6da&i>rlngj!Dld?XhX91Cghjq_Vi09R6(yW2Zrnp?fk zJnDD6?O$)+J*KmQ|DO?uB;Z2BWSs?~Rd(s);X51!W}kr@S!eAJz;S;MgyMq=(D?zRqy8 zYXE2~A$B6TvgQK6JfndDUv7Ens}HIvnn*x3TFxHYzqqo=5N}ifsa5B4Q7zFXaa^k4m;RwF`Qilfe#yOwpn1n1O@j;;725ovNd4i z8TR;!hFl>ugF`NA$OFS37eqybWONYRucRoEu@MY=ir~^`;)1lUg9HfSm`gBMRaKlN0ut*M(!%1q@B?sBzq(1GEgY<9} zHNr4I9BqcLIn3pjZ_ogj8|vu!4jq(9j&uv0d?1BGT<~)TBz$Nia5*;Zh z_l9zGl6lj_nt}f?08F>-FGexxcBJlfyAS&(dmcD>T|cj#XXN~^u68bDTfgNb4U(m^ ze{!I=ku>lydRqHuAGR%vK~qlB0~9yHxgeoVR22yGtJNZ;pix$5EOsi1VNs|2{L#tfML=e&kz)U-Oq8kQo3aw1I$+;1m#62hnAQEE20fo z3m+j!y=z-wG%|jnBi0=iCb&=LWWSQ3|}NzB?A6 zvJE`(RO#udPB-1MEZz=w=WB&E^e`L}G^8dyD)v0v0X}D;hdYJ`aPw+(ohaJ;{YLSM zp^D?v4xIzh@=7c2x-iwk1EjpefL z{@iECr@#eE(7^PIVT_Q9kJ+=fZ5WV((d0R-PiGr`q2(=}9R6i)7T?HhG@1Z;?&n3b?Yp z%aJKp@uTelKUba3y*Rhmi!<#OwI*rq#krndob~Vh=kNX67-z3V3ODPeWN}H1&ug0Mau>U&_Z>tY2eqLE|10n#L_1#f`Y`IV)@tg}{MHAdhV^J0qU(f{Mcql%t zx7AhN-bcSK>mOe$o=1z!9;-B^JZ!xVN=LW>_LI8-FpC- zT3*yqYxp*VCfmg+wsVS17<)>mNXCt-|E0H*sJ|}Iv`mK%cOI0&ZHZ0*^gs*05x08z zFOWMW6$PF;u=RKPtkZ*hVF+zVqRqN};&Z1nj*fs@)fl8zGgYP$ZUh-pNXP&*Zo{ebA81;FQ__oN<&7v(->i^QWiox4pB|}ff}o0u zFM>XvcTBD<*oDEs0AuMgZb3HyBkCOE)K|-VVt!SI0uK%*zJK`&j`sA6;-FzZZp+2>r29#q)J`7G9nPiaNgY0{lBkiAZgg7dtyS-_!5Bxttu4fkyjxb2u z#1ZasT2sVwu?NwQNMg)XheNt@!x4aK-_H(@NE^~sZukM5K$(jEoxAjT1DQrd5W})&Vm3SSU?#k3DY%Dh530q^D%;Vnja%<=En$|7JB77 z8Fx%RFhsB_yYJ?(Ga`M2L zR8`^H2^6gxOf*GRg%k8c@+xIJyh?SO(*ecHOkO1fsw$Xlr|-93_4-V&TF@Wq_<%%I zRXDiG_3^nK$HxWBn^Keul3cx7?rh%0-Zcmp!1R203Z5zU!Xq!6YwU%C4%pO!d%K%B zkFpfJ@3c9)vDsHW&9(r4cXngpix!{S{mN4w@T=2}{iO$A@(WKzH?!i6942JwBM>i0 z12E^eFC@v9$34*vD1t+S-l@G@u#EWPs-9 zaOt`&rwiWk(n}##S?S_7~RGYxO-6r#Myo_iW!^9atVMInNSjEdbFlkG*X$9WcD%xo1XE&f~5J8}} z>#*d_Z_4p9FeJnTO8rW3(>W%}@$wNv@+5RaZJ;^yXrR-tXc(brG;*5ux`|-g07t*> zxM|y}#?<97$Ag?^QQ2%)?vsgsqjah%^`PVCQ9V@{at)(;&Q~+`R*vWaru?!VxHDDF zOk2KB&Ak5)|K$JrBLRC>1_1YGoDTr)DbpCGkno~X0A(fcRm_cLE)}X7UvZFnifYCM z2dSs%&$wVvRvwO40<~Qzt5VIl;2=j8)r<=smmD?(RS5L6q~-FDVGQMhTcQU<5bBt! zFbfL$tw^pH$o4?RZ82L-vDvoEYrjQO!ZndxqX^ur$+|?59HP<^^qWf#a%nY`mB*#v zUr`x5YB109X?*U8aYE&26I@;JZbO>MiK2JpP zoBFL=X4#r)uFu0kOCwn%RGJJ5Hj$8u%Ae$0?74lkEC^H8x}EHZ)#y{}Z~W^2^vhls zYW;-wxZ9xp8aX$5k9FOl@g7TA1_|!Al99c~E!2i!m4L`?QqVQ}3CVYz=!V=iu)8@| zU3-scqcNCNkK>xX$AC!zIMS||y~jZhH`Nk=kRdwS{L!}1qunf;c_$&5s&!B{%K*0Q zx#n5|yfY4m5MbQhd8X7P%k zHo~LEyjfrdB$b)%f*{pn5kyr`LSX>qpw((YUUC-%@RFJtQTWmeiLoNRUKhkD6br5~ zEJmx=AxQP;g3z}dR730=D&ftcsUC~q&PKKn-P4{U)uRg@cS|yj@EkErvRZLeK~aH- zwkWPv8fv17s1C%{9dq;?v2*q>ohD+}P5!q}NBKVgdsP`d)4FrQm6GTA{4er-Fw}6{ z3kr%lrh3O!{|5>RuU$Qb5%J-$7UMIN-s0Aud=-+Yp0AYb`5kepI-&SU^ig1sPD7al zqa{G5I#<|VJ1K}RgAtWSs7fC8f44xo3`bPHJBaK$UG{qM@{68%E>K@D-pBUSZ+$Lm zW`cCOqhg*m?UCw&v+65RWlg8uqSo)7J14HEbK)aE@gM%__lI%R47sO-yx3#lCX~1^ zbC|J1E=c7P7t|dL6H-Du7*S1**cxUWeMR(7Tl+Rg8#XIavAN)Jy~Yqlu83M#d+1!^ zN}Mh$qX3RW(>VHyAm8O&6q+(L%{PapadbiSblA+bC7LZ8K^jLFEUYH@2OC6fyO`)9 z4#_2PS;&M=@chP7W=WDb*dn=vLn4yvqYSgpmt1UzOCB(1QrrjNm71F~YMRxqS|v_5 zmX;g0zYYeX^;8QuvCYxVt^e&ayL!Z1smu*n>N$M4#_$2NL+AwiQ+*d(aAPzt1F#B% zi>q`%+Iq7ce|(39OuI$19iL7Tdd{$rkG=fV@BGxLAnVO&?f4*xTejna+-&LnexjJZ zK0>c-#|QbmvK`+I(RpP%KEUQRYWHZA#2~rNOB;rMEh28f%0Gl9%e#7Zr$wzCOd&IR zUZQZsYY1PW5HV%t`aHU@Y1EAjHhuSafhtwRq7s`X?4;&5Q!FaMYJ36tvMRqMg{Fkn zl9+M{s-uI)MBab^_lqlPcurg&55lN5vIj(jK1LUu* z(^-EE)u5!uT=1~c%pBnORtgD0NspNz@@Po$Ndn&)dqYstV=jnhZ4`x>zh|q8!ifv;0v%OHaj&--?(nN8lRPwRm!3Jl{bnYtp@E^-f$GH5>Zy{ zSKbRunQwGmukr>Nu(5c=uNLd?KRc8+kUi~5o>1N>j&~&cmG}P8x#r?n`O$Z-yiu$% zY-Kvne&vmyq-|tLvR`?h&yiI;URAWYiR=`VM%YE1 zvkRbe8C)TMWln@0%pyQP^dQ=oPJ{~%qJ8N^6v08TFKa+W@-*0YG;gK$v#HlaOyb2F z6|+Lk99MVg*d0P2WdW>zpi-5)-HI3y*I)VVO;kPFS|%_^|agAOt9}bDRSy62@|x zv-8O4E;ivhoF56;LJwU+Ae52Dlr!Xx|FyvsKw333fUn@CFX(vc`1nr z8SmR)t$9$pK46)|dMo5|u9gCj zjvF+pz^Ud$gjv*Z-w;e_sb zjJ+KrRJ&D=-?Ie*`6QTQEQnmvtf<7U< zmdoiQ#qBM;DaAf*`98(|2Y<_N{*-ryciP|GU^AjPTMsSlczZJ_UsQ*q_c8fNfvh`_ zPBwy~IZW`dTmU8veK0m#Z@1$(Z|45@I@BW{S`@pjNWicb3D9DuSs82PGw;;4!2Rm8 z_13gP^^Xz7?&qjP^=vRu>VY`)S(+%ck}_M$+pF*TIQfstJt{u)j-v74$`y`pBl$b` z#$I=CZ0ffXQb&C*J+bAep9yJjI*dMB^2St#^gNUH#Ovm{ax&dXwLE=jCN-^EuP zY=@-^azUGC2WxroVGyw*eM=WaYZHmc;9>1S&fA=04v_aNP97COfEydZ93X?w4DGT| zlkAF8G-VuGd`mwz=D2B*a=X~sbiO3X=gcKzbUEs{oi*uOy5tsDQj|38kf_vr%_TG{ zl4!t^!Y8_RZLCo`dcHk7)D`p>Ew_j&@_iiDzN$2b0(teP8c$HamhH_B-mU4-$1#b9lsXj9V~(xdgIVX=;lU{s@VlGb&VPqf>6>LquVZ=BUQ5t9@m&i zO&z|&D7E&`qMBV2k0eRz(H^)$5ZoMF!u}!&{!CH0aE?vk#=&d+`Wi#jKBOoG$SBT1n9>ol+aTeb=IFI{Y* z?(kee`2M6y;OXT5RJI?pDEmB9P=3dQYP?&x>+y-AiPXmJg8zkmI5P8^)TNY7htz#j z7bWbY0x*s=$UbykRCH`QVc3g`EOKOI8^{Z)3tN-$Q zUy8;%b+FuVDZxl7y6#9%#7s~GBRQtrp(|UcCSaj$n0g3SLCfm6f@NeFwlHqV5Ts%B zLn9l{4n0h|MEkk|KtnLclu=8S;jPqEA(&iGxJu5P#2`!zXb9$*5>vWa2*5e6Iqjuh zF~^j_akSZW8J2Ra&;hBVtUgFk3q1cw;_HoZ0%WG9+G~ZBP4!)D!f`p@`r5-hTi?gGU2fVf znyv56w99?@=YQTGdnM8adNZzUeS-;cvF+pxv-J&Z6u`@^8Oql8u-PzUfkf@bD?9eU zAa>8zw@@Y?_aJ$0JcMk0F<4i_S0IN4|dm?EAy9^xad)hy#GP;8fiYQ!PbI?PPv_X+9aIXcX3^!L(&;mtL zl({%uyC(BI*`H7!;dDZv_Ab;B$Vp=R7jHQ{)7j9YPs7N~t*#Tin z=j9hJ@4ppD>gm)-bbM^Antp^qDH*{EbJ(Ru;ujuw%=LG?=MCTT^MPv7T#P#)p2iM3 zoef6rij}clR#JAss4}b^GZ=z(V!Tut(9G1VnDgSW{p6nkL{uuLbQfa9oE8r{owZj% zL$In_6gnMv3vHEwI>>(v80Pna?!)-lCVgJlEinHUh(gvaj@ylvf8)?q-NMo%lI1sZ zog_2w&US;sg;Y3}Xwa=L(5Shl=@OHs_V#KGQDu=Zj&3;pZ|sRrzR&;0p7^Av1t_0= zc&ViJ)x-nJXCGeD-w|JxPh2}C>LrD=cq&8r=IH-%i2m)-KA|rk8lydJ`8IMTM*EK) zKj$y~%5N-VA=OE6rRNTF1XDe<@;T=jF%oiiR46$)nxn1~Bi%-$$s{eIuh}9c0HeAm zubRH0{iVI0x6Te00WaIWK*f%zB=a#H<~3xi%#QDEZA> z%K%P*>hZ<)4F8CV>C*v!;n%+Lo95| zzLj#sM#TQXFS#R?3OnSFdEtwnE)2vOxO!jlN=Kc$p_as6`0ahY$Y9#x3 zOi}y?6G^dYpEK>v2=wbEE$&!a5^(}@$jZr<``#~A+UBvjo{|nzTzfK_DxMEsyp--nkj?iVJn=kyddZ-Vwx$Gxiy(|sy*+`H|)hNt2g z+&=u@Q>9i^9t+YA*S++DI?0X>-_qphw2)H-khcpfX%MW0m7IlO#rfv=n?18tL@X@L z=?BYpiioM+Vv_*WZ3=KvB^m~_u4Nv4M8rVU0!ErHI0UK-F57Xy&bWAtK(8_aH$5&M z9VG~WN<_cLxcI6=W6cYcuAgkj`Qw7+>!lu!m43OhVBe+XSFGa33#&XwALz z=ciim*7HO^<60UzfnMp%YfttYt>n^?gFd7U+94ZDpFPjazYQpCU45%OPry$kWwc*! zj+!?KFC%FcOs!jS-ZG|Mpu4DDF?*h%egx*0f~c;w=a{@Jw2*Rq2DCC}>+c7RAoBMgr;`|$t_qzTFG3dLkkXJ%sfl@cC93{RdyMGA(nnlNUlJv(n{vL z1C_bc_9A4f+FvdiWM8xJK<;qDb<5HuKTLg|!1IJ()^WqsY0hAt;>d-AtU@0IDJWN6 z{&(*Ee8WxjeugtpnR`jf6dRC@ioY#kTPlb}7R|g%lSfwDi!-u3jZR*kCBx5n;}8G! zKO5x&r4exjY@{O4X!8vAjBXQA8un%65hIGndu6k2rfYV zbF^INrwPs}QLCgop!%=YqyqLe_savRq7a6!chbaCN#@(L_5MzfN=X5vlFau9Ewbz- z!J3R(lLU*kXZx*CS2 z_RwzvR5U|5lNISR1z|4YlP=v?g$SAWq|y&f1?9@W{W~{$zTqYsJ^dl>GM0Z zOx-Y*UwGW1o&WJade_hV%!e10llngHvXl@aiV$61h#Zw5cv6_b9wSKFNGHdPyUmJp z4;r|&f>q4uypf3TFv+|QE_2K{$P1BUM&!Xx(?aB!aeJB-V$^b$xTkzjd(4t2`E2nJCXz@G zu^ouuhAdvm7J@~gbLedGB3UR?2y4?=S-g@f+-w(h2C%9@$Gk6a7BvalQH!T`tECgD zte$SHwX&OSPuQ5rW=YrT3kGFRnSo_n*8xd$@7n(&1e{4aE1-1S%qZK*!m*5+Hkl)j z(%)?Gp1yqP{>!gMK$>a96M>T_Z08W~X6OUn^KJY8_TCtIPw>3Lm}o*V(9aC<9MT+( zEW(vv1H@_YTrhZEdm!fw@mw&Gt)hx2AzmDs2CoP@*Xr?j1es}lXc{~hG|MPJauLk% zaE5p;7_6vh`q$_(4xK^l+bwFA%JQ=}=DiRUN8Xn-couQ-3!8}GlA|`X#A#~Xtj(rj z+b=@df~uM8Bv`WuKdTr(-u5Ab+w_z@Y7-}QwyG#JC#!3OtrHG4pJ)r@vgfoa(|SK`j5#ANlP6>kkEpMc+2B2>H9W#V9LaQ;2fLc&o-0 zufheLX(g?!9WKipE+n?Gy(OvaU`V9pa3KPaHNMjvx>90bB~y$9<}EPom0MYJxB%D% z*}34d19CIO^?6u=nNtL}=zhS8Ij+b`?63hzUDm`jBAs3V?vy&_91WFJ%XYhC<=+u8>Hg~}x0yzN zP-<@W4_>45rr9tVeQe~RqT|z*R68G)6P+VXP&2cQjc}JskQYIn<}afJ2SbsY6{g}7 z?fD*Y!sj??x)VOfNhcnr4^Gg@FaF3!ec)5ZT#TUB>0!&Lj2QY01=Wgv#|}?vb}^=B zB-fl#O78~P$u`lLU5r5{^Xy^_QibL?X^<&2yBNbnp*c<(CJJQ{rWoWQF7wL87$!5% zcW0Q)Jl~#&Av32TRny-zD#VTksG3c$elurJ?O)8oKQM@DS?mF(jf54CPwE(0RWhV^ z?kPA?hI~VhfqnT@_&L_=`$UQ10IyAridT@@8C#a}PA+qvP?hVFX$IBy4pOV>y+&#^ z?d3p{YIAlNUNtDyX+GVYSe@?Eos(F7*O&a@&%SG{gjvSwGABWVO%8jrNjm5dFPQh9 z3_`X@;5uLj4q3A>jJ#Q~ig`=Ebh+z9+}UBUUP%eKZOoZHS;%rE#qz`s1f|m1h38L{V+wANh!~jkY?Ehw-^yBRSGn| zTXH>Cq*->s=uSnKs@ky-q*-=BR3&5sY+5Jw{p@fhv3p` z3ahP@3bLS-3S1H$w7ifo%d5R4>nlkCQ+f}r`Yr5D1&aeNP$fxdh_8u(qcq^G<1CH} zfU;e~5(f9#di}Q?os0F?b@~dfjdPHs`_N6g zJR)=ZkTFp_z5HL_%JFZM9FELqW~YZ@c529iunD54^Kne}+@46OIvll=pP%412nJ`` z@@=R{efnd6`}nqxi!cZ9|JC-X72ZU7Wn~M6)Z>8!E*M<5K<7DL?jwd3Qjm(5VUBG* zfnmYaRp2~9-IBfRSy1$;t$0|16-5NmdQZih1x25l;2vR+xcfMRj-cpM7hICJ1#!Va z&>Z!OqEAh5i{ZmU5{ELeOfblY7Jcd~9&vD45x<2RIs`}658unA1TvSLQ<$hSx#rdc zT{HnHuU*x6cwv9>m`G`GF}EgwGw-@v6H;&?##Wz91Q*h&=fOp@IYDVq(fCVyu1=cU z_HM6j&-CiZEo$}p+^Bv%w7UOz_5JUBf7nKCDe+jNbmL8J+Y53txaTd=7Hy-ixZCg4 z+HS$K(hAZxx?r%NExL_>w2dxk)W2}f_p64FOH+U@xGQvNOEkB`bazv_j zyc~LiNS&c8?0x4PbBw#&Y?!V@)C_h$+VOm7$r)NOd_M2Sv0~j%v0b4*8)}R_;+iK+k{RqcIKZp|I}T4U z%gB=931<2B3`{VatZqd=sh(_dnyM5V#G^{K(U6LsuC7o+>Nh703Y(%@r^tj3rgXQa zk`tXX+L4h;j;fk45aTRzJDe0zQdA`Ac#n$i0vo#Wc@AS}#|gSqa+~%7o~xSYnCSLm zqG`9NHE(ln^LlcdfB7}5_kM1;2wb%s#v7OqrC`Kx`g7fHi&B|IFz6JlJo!2% zIxH8+#IQh!@#(E#6%*|+I9&r1FpM`V=9p-|SpaXqAOhnq+XyDt{SaI!p9&s4fIjL_ zC&xsGEm08?mMpC6(SP-*oea};5A!qg)>5{T{k%7McIG`c1E@j7$EM30v%|d4n;*}G z5?qIAgo|S}K%GBtSL@?GW47 zC1D&rJ--(?OCpPK^u-b_!TD*M{t#gTSbB)C)jmWRAU0k=R(C5NB7CWRh_JLjMErOw z579IJ)Oeih+o&YV_^~RBJDf$H=dM=%mX05b>!;JkvcD#&oycN$#))BOR+Tot>2YEh z+Lfu5I8Lk@FfJ3oQrca%!=qf|d=cZ7v_GbeVF+0I!4Rm@_Ocz{#|5kWRWS#}L0!q! zDx2uw#XvETbD=`U{u_*5JI3Z3d(j2JQH0#v-Nbp6rPkHTm2*2Z<%%wDd0UF0gG&QV zRLMeL*bN?*%IglOJP6P?el$jl8>2zjTXH zzS_crVzc@K{qSb)vU-VRdr>PoCNrrObq+$0b_^F-&?-ID_Cd;a=pnEHz|f@wBGYgV zrl?~FF^BRT-WjsCWj)NXnw1?GDW8g4m3|K2)6jOfT2~^0vj;hp(zM}Ab1;8mJc(oLNr+Z;$vp;->6*Ai9m#xq4&Y?c0C=_Ru9@!-2=y4K^E{!gn;R*~TR-}i zEDR#KSV{RLUrsS*E_uWh6{#%m5AZwMHS;aPew-eIf4F6un6X9fjQS@f!-7Crorx|+ zOKtw7%0v_al7n+@L0He@CXicw-it@i-70a*u>jjW;tYs=PTmK|h|U>`-I;<7($^}A zlc~=sifg7ow_z))2q@1bcT2*w{Cz$~;cg%jgSQgrPiBP5d(uEI+%?%w-zSVAZSqwP z?*+aUV$Aca#P%$o>%COr+5r9PwB=jN%XsY{f9wao=1y1*?ICe^t96vb=t#EG>2O-x zW$~H|#^}fmh&l1T=V^=L2YRR2)KDW3=f)HuFs1bq;MLlR$YgrYSWtzRg0_ZXd%>BAdDE}e6QS|_H$M3 zoKw8LoZ_@w)S9aqAap$dq1XQ0#fyJ@tZBlMX7Cf&o4qEZ94gZi1EArbb{CL~PUnJz zABx2QTmp(figd8)ZHt3MA11ipY?1zA&T4n;Ac-V8G{G%`K5KE&#gF`TzXazwuWh z4AInATjw|cqlNb!r2!xe+7db)UCWq(tmHt576ifj+-B1GNEJa%N z3>Fx)s+nxWT8$tdGG7rj3oY+b{es2^M(zCpF4)e?FcTU4{=)&~0*CtjWu#Du1f zPp;4XZ|sRrzR&;0p7^A{VG!$pTO)}gvbW4l4d*4O#EK-XFRp|~E!NaIttkv@8pMK{n!JPQ?J7Z9tO|rXu`_nI;c6zUUki)cF)Y`8(E;)UR&f#VLnjinU zpL{WV01Xl!A{BX{?T}RDASui7u=9N2zLVxl2?{VJt`zvaTWKG8PPA32NX&T}9 z=loDqE3{&2t)hxAc8oi^AKd8aSF)WIS+&D`Rr|1w@kym`qFNuv;0bqAhBMGGLcGTV zXgDq7G&8A8N3mVI@tt;yX5%~k7M){!|IJtY(i0yxLq-rYJ+*+9mOPLn7iP!^xu0jq z$d^8Q?-FT&Yjs7!m55L3xa@UAq-{JOEvJ|JYNW*8*lObY{Z2{F2sF(IGh}20e>eyk zxl0%4c!f&kG}K1NDVdy&RsA)RaA*xGv$5a%o8Ixx7mUF-=$+F{r|p8GWxCG=V^H|&DD3rl<_;q<7mOLZ$lEe2nWb zQX;V;=|B_A(vfUztU0|rRU$9dEQX%GtSR$#UvCaH^o)E`NsGz2w*7-AOZ#CQ6wLoM zi^^u+&(D1_@o$uvskL&o>qc9?fRjt_IKUey#i6-F>Zk;70MiHH6h=Vety}KyOwd`> zbN=>>=&UQNM0=4&mhV%E|G~v?{XJh0tQxvdMe{5SyW ztfOYr!wjSXz^6=&Z8z+*sm+U&sC`Wo`83l9g}m-aW|IX3CE$wyH2_tJ7$dJas=8I9 zjO`kc3T)))^ztiL24(ebgkvOmYrtL+R=++Bb(B$d07qm4%5k-?@ zXWk@O99inyQtUG-@Ago6rqs?fj&t?vda7T4{;z%OXaCG-0uIy>up+=%AygRb*;+0L z8lfNte2KZSXwzaY+j;`D6l6#OeFxf8H9`tOLFHK%Y`-9XldK3c->gUn!&e0PsLne_ zE0%Ov^;@>gd;IvBpT)Dau2W~E+iy3TVdmfZX~!3(jI8P+j`X?2~ySek}EBhNp6=%(o(@~KoO1(Mn27| zXA3}l@K(XK!Vi-3tRxu{`*|9RGsm=ng0x*T-yg7wD76Wu%u6_4t(k97A!-35i4{E4 zRmyj0w+7L(T_tN*NRs&$0Vjl~hrbrEJqvv~s>9=eV!Eax$IxT(3q{MGOVCIwn zMvrS;TCADx5mHtmiN1DiR|g%KKubgNZ0Cw`r1~-qMyQ0K>I3!0#`?uvx3qup#)ZB( zIbzuOq{led&(d#M;;{UX2YZjjJ#i?<(KExoIBF9^JA}ypN|aZ%j%h1c?lqH#HvpLM z9suG`)j;%$oz?4w#@|ev!baqC8h_W)+MA9K4yOvC3IRMval*14*dMTCp|>r*556r% zL@{+@gg&boA|X>EO5^eCp9C9Ld5Xa(g~q%TLpPiFE_;#A_Pp$k9Wm=y4ymUt-xpGU z)&FqkyI&a%shw*7i1sEb)tnQog0=(tx@ez}jucwH$c@!m#^dTh@S~7kTcenHJ}`|r zWV2>1+-?lRR^Vj_=8zh2b!|RA?su>%^*JWr8csE%L~2PuI_n@%OHLkFf?%Jt4G`Rd zKwnf}96E>82lNrce2XBuz;aj#JC;LgP-wvskN_0P!&Wkf)F9SCQ?^KgPo$M3=F?9T zuuR$<@?$T>Fz1^i7SAQ2m`T=w^OUg!&3RTy-~*eeSx4HmwqB&6MfeT|A$<6 zvUqiaB-W8%w8Q1fM?c#>sE#$;0NO^~PV<67xmLr1RBq#{_)Ht2%KDabsjl~XaQ_XE z9(H?}%}N<6LXRRMJ&S%yXKu&$S+S}*2UN3$9+&OV;}1<>f%C<4UpgIV_|CCo2%B?i zR_aN~4oLaQAM?A|!qqGhIE1fMUb6MhRs3_vJ%`-)pMU!WJ%Z)M<=c<2BK8pm#dX4+ zsHf?2U%0#{|E^znHohyP(93t9zkm4(RyJ%ewi57H_Br8Yz3auxFM8&= z_}+{6vHkR0pNlVJ-#M6<|Lk9W({K8{0XWs}6>mDRUKR|$*|K*GZ9lL}>IFoTnYeG> zWHvNPSKMM3++gGdttM=IXC9G+bS5}BN>?H}6Wp(Gmb97ZZnGAVI7bQSOb}@Uqpe!d zZ=hZYL5b&F5YfK)0GKu;9sgNS!Z{NJHiiu%Xx77w^15Tr91y>dptqv)Ln^AJBoJcB zQ6pSpnM4L9b~biI=38y$Soe7{(+JAcIw$hv2#Vqp50?LnJ@F~;=jYhd_lXjzXz4GA z|3w{5I_kpiXaik}KQylpcCXXyftUC;TjbOeNGB|YGo7%8_;JFroeVY3H$bY0{7l95T9@`ieC=8f`vooU^5PvYy%bWjDZM>YYHB7fer2Hi z7PWEcoV~uD?DZ?3@?HPQyTg>K>)Dpa2P5w)mIrG;Ohtd>or6W>{g_NdOEHi|YJJ>` zu4DPZ=r@OogTZeV%MZoAG^KVT$a4;asX3O{c%U~*ZDRQ?ek`JX6qDBn)Sq)aYuRf* zI7uBfKd`~zsY-H^(G{bm#xX)(S}irQC#LV|&fXBWwT~*=AK2<~`;wriY-wJ-PbU7& zEU#Ny3vppfO4sDf5Pe>VE}lrTyyEh@<$b*1LbF}IJ-ck0Xtv9#?Umv0?S!~r_|7+f z%Q$T9(dF0&Q|H+(4_f+cmr=9S^79c9tg;h_OOWmIU`o2O%Yzx|oMRs}^)-_BbVeE$ zJm=VlZGHBd25ddntlXx}(aH-;Oen6r0!Zc>2pkN}18f%usnJJOWz>IA^ZlU)TXPSh z{fk(BhON!8H6$o6m>1Q+GjgCWT)yz~3zzrbid-v`nD`&aNC;bGx=B{1Sw&h?w34g! z=WZ-P%kN57R=+E`+VWi?W+(^7R^(XkJZ_{7vx9N@wtID={1$WQAMsEB?l=FlL?$O# zC=dJ%8}LLhh=X`YtJHxbk_??esCEkua3n%w!*LM|Y(r223P)uHY^{q8%CM;Y5GT3h z&>g)6)D-Xo$n)=x*3J}%mK?gDqn5`BGTVTnwLVA5p_|}-2^1QW6UPnaG&O>fL-!v8 zoSX#dV2>S!t!-Bun{mBf2{OHcSqYS-oaLG*2SUu0m^&m#4R^%%LE4~kx=tz7&vnC4 zj=Xh$Z}_i1!BiAfUX-6Be2-64WNPV|uOTPQZ?sED`hY+&^6CLC{qlSN$!~aFv_@*y zxvd$3woLPv7!hjCI8kO3eP`7(w4|IK!z=pE1xpojy=;gnHPPu+Hq6;MLYF{|EkJY) zr4v=QH@_*@3^`Ci@Id?39s_D>!CW(pDkN}IO4k-YCf5uBkKGCS7P(kK%H~7on&JKc za%-ssVknSex?)8EE%~p(tW|0;Qbw*ot5=_-!gTEd;nbjGDTygM?O7^J*Ca8}2#_k) zAu+E|8T$O_qnc6G*O?)Ws>8Y-85&7GO;KWc>_<&!(-3%SxiOXZBzjDEN&sIlCok0l zkybs2a`U0X8$=Fj*jTOu)$UZ7q$R<9EL7b>I8uaZIOaJvdqdFwC&Q zoY6p4XMb)6$8G=LE2sBjAII}PDgK4U}3J1a|QlWYq{RlW(>cmcEnuWm!b zB8^n#n?TPm(@fbWIhb!+FVPhM4TW}x&N=3M({2q2s|aqe(msG+BTa=J zm}r%6(l!Ske5vEDBahR`H(?%-tO?X>d(9=s??=bfb-hF&QMCa=af#}D6Gpyjcfb;4 zrtL4v_Xkr~`P=!6)N;mo-i&hYsNY62R}QOfWG)V`T&a@Byi@u9E$ZsFa#dpZIp0Lk zXoYYaf z>YYf5tdhA_h%7Vnl7zcskigrs(q41`Js+`%>wBP)iJ|AN%l9D$G2Tee~GX{{l0C z7drRdApwC2JSqLAsoiJ?Kt`?ZA3(HJ4JYj+DESzr1YHZ#K*FHA7NXjr3 zT%in+JVYEX&+K$%IL%9)GkDWU?5};!z_eR52NKh7(K$WW@A>uD{#Q>1enKZMc?Qqs zFJWM{OtK@00aTn~OKcY?T4o+h|6jlN1fesJa}DwBZNBm$SkTz#FP~3*pB(?bkp*)C6Im#y=G1%)b9QiQ;A z=4ZX4+#5f1U}YDgRPu@v;+P=(F~`a-taT+|x)S2}p_KuXhXw~`b7%>1{Ll+(TbKsm z2D#U_F*e@%GTX7bV?^gz>_yQdM%0U+3WsV5=_*5Qo zWp0>LtT1w&h=LDG`hJV&Muc(>o*UK_1)Mm2HpQM3pol}3PDc{U;oyrgPAR>VcScGt z?VVG4-uKBXDhz)gye*(}O7Bs&fc}9y|NRT^3v7S}%Weui0LMT$ziWhH+%Oufv+X#S zoA$_dH7Pv|53r!_ova^w)$V#*qKG~ zyfmJ`29lK(Up1`k6lr_uh;|L&V#{wpziMJG{v8qMZX1Jb);dVcu? zDB_G3-FBGEJPxvk{~QD}tCtCh8cYNIE};8q5XJf4LVyOxO@^`+SbnU@i#N ziiRqwupr^q99lG(OM=nHf}Qo!08_ThixMt*L?@~A4FOCoytet^@{GFVcC|vr68dwu zX8oqVLXskJNur*0O>hh{-l^8iw+FvhXTfM0=|0SxM58SX`=Q)zR}k+8>wbx8*%ratKTP+XYDE zzi7WX0-8a|#m=&)e)O|qIMLG&48)z-@>PFZN)zFnZrk6AH$Ti&PHgrX3SFchuAwJ_ zq^5nChGtV?3{d9S>jX-c3D@rGze7Rm+ka@oG-ka`2O*{K`h<2TpZ0U z5(cTTLg`QFT~2MmqifiWb`zHt5BB+-1h)K5nXhTfxA{C)fi_RS{=fOPl#7zwt(z|| zNq{I-=c8ca7nBw6zU?ye<$^{-iX;S-ZcEle{pf=G-BHJ$fP>V`SFD(;K*b;)4ohoj z4MA#0Uoqx)ZT2?JBm^ZF){?Y2~nQL%BL zn=P4!cX7sABbhl^(on3W@VZoM@`?2y9z(HK8|3$zHFJ$FhGL=bxV?><`|udWLFD_jt0gRsBwGF-MDZ&H7n=3RhzQd4U zVtBKzgs*TGhPO2iv{|~K~67yAO3!Y zp@smS-SmBKhpv|tV%n59*L5}9l3}^dSHJeIoU4B4lGI$1`p{^O{lUNVM}BHTkB(HD z5OZPzSxYWKL*vanV2t4OMfT{d5QNcRh_)8$3l~g1IvDHS2vRY);4XS}5YR&KWRDI; zl1eg++^4jL`Os7*zT)9PKnt8XboCexCC-sv<|aN zxAl*C2&1Vc%4US=#&`v*O|V6D5ubFebEBFmE5|V`mhl*-$3DU*#T6{By~5O!xsgac znG4v%xko42NAU#1KBg`l;hErWf2?0^Jvu-A{{Qh!UpHsoJn2WBWpUsWbLI^}`kZ-# z@$@;XvOvYsdZ@T>|&%8l}`dp7TxSBI>BO{R8;Igg-F`0IiiCWXLU01FJ zO zP|Wl&fl4yXS1c4W=s?kKn?R{aGR*{oV&<@3X*D|pr4|$y(kW)Z1gNlpB$?1VFa=IA zvq6bmq6e28)r;yTn@&t41XcH0b>h`QG2@EP|IS^bZ+J`))%tFno4%S_{I+*tWcfaY z_x1nci(m8iM_q6f4N#3l1sZ^twr)v_;9lzyoRBDmLU2hi4I^!LUzAhJ1rJEv3vI>@ z#nZM9%d~+DT0MfL$d&bw#EQAL3jR$FH-*=@K6YxWRCvCk<KqpE~1mc+)Y zQ~F3aiU>dWe-Tg1C;w;v+w4w9=5 zc8glwJa=kbPp8J~9=z`>#vOVEcd_GqDS6-MSXykw!Kg zu@P9IJD#m*F&EV7YwFNzTHGDmnz0{sX(yIB3dSj)()=t4n0B+YZ3v7 zpmx5!I&QxvxC8ZAIxXg#Ng-0smosPn4#Rop>zQ$c0tv>p2-FDD6g6!sEh5#1 z;8bOjimgghD#9R2XenZd2=Y^GsYa9x^t$e!=f0n3t-bcz@Atm%`OcX&`bd3*OjTk4cKh)l-=~vQcbfexWOcr-=!w(CuKVM^FlfH0n7Q z{|l$S)x1K_kyW)koe4?Ps!G5xX!R#^wRpt)#$Bkg+GN1+0 zs4}-4cUgNOmCFF%%cwH9tHu$yHB+n>_(EQNi;bcmLRT5@y~qajlu?}{GcdgV8np&^ zDSF&V(IfTMC(rVpsWR`rAhQ05pZ&>?`&i5}L&g@~h-UA+ox*Z?xf^gZXkw!>EP_Y& zJ4@wcCB%BC3L+T&%EB&?nFmx&p%tv8>qAQ43ZD5uGjx)FUX$+oDY_ zi0r%@5RHh2dbER~H-yU24-HjG1}vN-dZ`sh8G=-XE{I^n`rOt>nQxMRj3`5w!~{rE z6e4y*<6iq~L>amyE~Iv^^+*^gbTtm%b_0^s8Qp-J4#8P{g`@=GYhrdAPETq^+d)U1 zv{Sew!sOoi1`%$yYgYGXwLxsjH4hr!R=>TvK@wo`x35rIZ`Z8u(6LtlYv1jRA1(d% ze2XB+z@AmGwXwY=$$XDU&!T;UM_8oh=v6l$?lvaH@H{0n%-8d*8KPoWok$C9`AJ)Tv(>DPrL*vqvux3|2pw{in^qMBZY}eH((RyGFwtb zy$Fg+n0U{aq@|R$l+r#tI!wOn{h#rf-;grP(rM_Pk@qr!M3ZI(W%MJgub7o+xs))` z8x%2m02Y;vrrJmu4T?5?%>DGYmaO=b=ANao zG@UTKsH)5f&Vo93&h2!3DNCmPk$bPeKW8WsgeW#GCzmIj5+P8yLGD`LvL$!@6z8sI z-J%8Gb2k$uKI=n2_`$C@2iQk_nDtzuakfY|)G1dndscdl;xurnZAv<2y*TCw1{5oT zEKXplxh^h7&sW5tIT%tDUeSfxCXmJEdDRz8t#BbA*l$4hRG*`$E*C82;Q?|+(=}G_ zVo91F1XkP}G08Qrc-A(CS-UMJRyAoa_jn~YboMuO2EqBNSQ~^iF4;SExuRCPFtKLq zsaL0%J8S~Znli>xx7G*vo|#zhK4bi)f9m)T{$>#HD9@cEZk)K$991%4uEg=re3eu| zE{NF*it__`w2gbSuaJ$U3Ua|7?U4fM=#MMI$AlnNkP8CsLZUh5@3z9LlMhW5DyRs8kPf%ei(C+00E|pP=ZWH& z7R5qP;v$zkZoxz?l6xPAmn8X|xulVfa!oc5)jvlv#x4o!YqDxW^0+p&pVrKG2Pt=j zLgES`7oOLgrBhR2&@>OIr>=!K{rn0U@1Q4JlW}@-b41Oy7=OXYWIZcJG3nVR5TV|^ zgfd!bpTn=e7=F0Sw2A0rNp{{}vePtBT>J`YatZ>v^bOoj-@vzh<)?h%9}T0f3>=>9 zbiNp*r(nyG!vx24Gt*i723!!s-_VoBgci7M)Vw*ThZ=Pk1n!i1H_QMA`KdP9h9Hf) z3!?g~&OO?ZB(BITIaHxVa3e#QF!CBb@S2*86=~F65EhMiTZ|Jpu4UwPCg`D2cR^$( z$;-+W;mp7W=0nq{7eVm{MUZ25Ye6yUMG`+&jQS3x>h>hXw{*!ps;ZD=RlAqLdvWl~ z4X~Q7Apy2nX@)@lp91VtJSO zv6m6)2~;35dP=Y8AeAB$an!Ty=R@`p00cbNa6H%Z$4%IJXO#ZZ z&o@7rbn6pj=iQ~+~L zqf&T~L!$_35VBaYvdb8~E(RP*XF$bQ*<~a_CDjO;c#MgfvdjHJ2f)+RD~8OEJ>S~W zGKs}f^Y_T9HXc6$5fQ(%f4}xVkVioQj*r)4B{N?N)wS}mfumx12c+`jds5ZSEvo-L zWl#Nk{vDHvf1^a|r1$vO|B|$wsR_GySEM!+FXn(;Z~K5sYNAMXYo>$n;90>qdu3vw zToSP3om90kzGUFPiScsD!v@1cOeua|#Ks1oPwR=M>-ibIOm+bBYE1IpxQDc~0MA?jfE@WybQqo}7(* ziPm*ff@VNJ+!k(8>b$6F=t&E=;786Z6=*_2;xeBwpOnPfu;F(2b>y~(0Vo8PsPkUL zZ7)4pX}Jxl?PIxZN51)VI$J3?MS^SFUm-!7c359+tEMRkROc_->3}%jecpd}wXF)* zTS2O%19Cg|?^ajaT>04UuR46cKk_x^2vi7E$CvH2Kh765rgHtZ$E&VtTI(eFAIF#N z*k8!|V^be5ce5Yr)$+|ZyuKrz#dXj_Y=IlQc-`20L^y#4KxfGO=do`J#DL61*)fr_B_1f7#B3l5uybm{B2F;=!QZR|sOFgV7k zU8UW~cV!#WgBPFsiua+J>A9CAO@ghq@BXbGElSGcS7gGEQ!EB>yq@%%NP z@R|SK4@Vc7zM})r{BY!8QYD~nQ$kdX_G&|)NI?jxH4sU({zUf7BL@e+qjgb|FN0C2 zo`c(MBy*HKspQJQ*I6n0j8l|qXX4FoN}dc9&5;>1_&msiwSH9g%+bKEPTUUD>RQ3% z$KV{%aV{&O2*~nMWVeiI!>Tl5++0Y+)tR|GMc?_J_mq>MYX2X<`NhBYhEVNVnQ9RZ zvuAvkRySOJ!R%(3K}I;(%sn`GdtjoV5wajvhu7~-9YGKZyA`D7aKXu8pj7QHh$(-n zUZIf(Q$wlRUC{I!9AJx(^EpzryWr$7P$`DEVZ9%OCr2eXh2=Q(`zye%i`>wd}4|zE~O60y=>^boP{E zRLiP2x>pyR?E}jDtxBT-W2JAFQ7z#n!AxNmT6(XAfHl3>w@t{}V!wo6C?B7XL_R*Z zLy^#r&8a6SFh)yX836I>-;^tRA-&c zoJ8K_bQ&g-Ftu+wp7|)*C`*Bq6icOD$M-GiD9eGAeJ8V0r!!A4UBBZk?}}{JOD|yi zx#!;%DJ{({shDS|Mx=&`rTR*E3hxQr=mnQ`JGiXZeBbwf>HikC^8{Cs7GW7UoS`>F zk{g)>G=`j|H?+ZNXsQ@=XV7+f(*;o3$QfZpy!ivxLh);?jbn$vLJ`mFtpX|{;+Fh;SZP3<7oyNJ_VQ$fiEkjzOs z;29H&3JZ*6+W*pNra0fsUQ0N4RZct8Rw{+>WWwe0L}($k%VfgSs|*vKz6GYM-kfXE z?NwSV`cvG&sXFY;EovjV#iHMiMgR66{=?6`Hj<0_zIbxc4UxgBNH46MPT3GiLEY_8 zvFIbMo{WZl#S&km;b@w$*eyDaV9~Ix0SwHzMc;sPNy6q39JlCb9@Y3d1f^JSKPD_X z5N+*>;}#vgUYg#E6;D`n^qCGM$1VC6NyFynNOI8*fuO}L`er4>8*y_Mi@sQN0a=6z zCQ|yYE&AojLVK3a*nk_?Ugj;|ni$qze)L;@|DR0*EHvxr>ais4(OV9mnlXY>_~j}D z)uRjcc$ERuqaM`(&{;6oUa$^{Rj}f5W7Oid2DBc%BwsPQOQH2tnS~(LqYFlN>7f&% zn0)A5djTfZx=X=UQ}EAa5o5$B3grLdoA{K! z=jZq)KIuu;)e#R_+A7IH&Uz>0td}c1dsy#E;muoqOyT{T@A}8T=X|kQtCUoDBv`HM znOWf>#lSQR1d%>zU+7BV^=rJw&=G*>2)}4nPg&s|*GKSWazzxI+ZD6IJ7BPlK%&8x zI;bIMg$L$Wl8ds2ta$8v=v-_@rNipIXnvb&r^l}b!RB8~OS)yNF8 z4}yHy-O^3MGVxPTf~O$J1^Hug`C8Pe{~BxT0W9+g8krkd<{sctsAwD=Q+{~OtD|Qq z01is0ouE)8?kmZ&>a@|IRuFIg)jMDA$RSmAJJuhqI?(|em?2sI44NbN)ASXd>o4hAz&S;_f8FB`)F7^*Y5X~8F z7e~?yu)u~*W6wokBEp(O9!6u_nKSL)oA87Mk)IWdXU;61buiK7%5u#5S4)o z9@Z;#{7PQyfDQnr)M7>I0vC*#XdO8G8^K%fCsB&rQwU6i&Sxs0TS7E0TS2%zm^lkF%>iV07fQVb8M(9=U{yM!(G7q*zf zx=@WTVax4=Ex+;qc*hUCJ4~S#7~B+kxgi=4QAcS@7&L`0SQ-ye1RvBos#=h6wbK-$ z%+i*4XbOGB=ukuxPFs-4wV>!wM1M=WVy*@4JJ>j_vH;2>#?=l6yPj)7h``F^aZC=Z zD`2_!4eaGn(7yY_%B*m#Sk;1XQ8493DmAjYFC8wk&xmW6ploK+Jr1z?X)D-_oP8d$opHvCMDfW|` zFq|YR$qB>VX`YgX&8152wdv2y90=SV+7&gq*EKD-XDx5BU}`WQJzhI`;&tLcF0_mT z3uwC)vJ*%aAO$`SD{;PqC*x$r@{h)y^7zq^5gGqcC7ccRJuWcSu)Uiy%4V^g^_(peCyxVp=mj(N~x& ze9#o@26!QOUy)+P(bFS+h3+MI~eiGM z!lxPpi}qB5Offyx*g7%fUY<^O5eEpQ}qomHZaKw zp#aWENZZa%q1ak(0mCH@t>2PN7H;5%V;eYJ0wxm*J{!zru>?0|c4$AaF3DhlhMQa#adzq*vUVA?Gy_9a5#Bca;T3T{-^8wayVNhVOh$RDACWnBolp~N z4XIzagK^&K$Gq>0%xM&ZjICgAc_81pm8`#-L;Z5eT!}}Y{=vWVftVvZZfR2*12(s@ zV%gH}wlE>Iv|z5^w}9c~{ji6`<~<29ZfzG+bclrA8ZAgQ#+KRd{W7=u4Y}>gQ5^-8ZK*IepcC=w~*-m<8|JVsAvIs-Xh5J z^%BB?RfPohTh7-5ljHsd%G;jx6RvAc#AFWa2XmG8yyfWx=jExqyLbN7Pkde|?{WVV zXmO@86i!bKnq9W+e+GjqidwC=nElU~YX*I%+QED@=%(y{qKu}v6ncwo&7tx?y94K% z(XAx3y*|h6f5u$1el?f_mHkg7cxc!l5z$Bd;g|u*OBqL>@6)QPd$Q)cP=jUcR319jDAyznT)ZB? zZyTPWHBEh9I-V+yX8x_I%F=LGg2;!OI7)eyh1-trbWS93J7tdeCa29R^NmDOdeJ43 zj;vcrB;m`v3F2N9Q0^_SG*_RRE;^&GNA>A<^(E@t{X#k3el3; zVO4FLivsp9r~KL{_ks1?_K%C>Z2vG0#5k$f7gzWphR>|=mX-nmbnQjcY3B4hsUqJ! zfSOP5Hq^X0#-Zk`%^7NUVIhB;=hExhcnb?Tvh+~;GOe%9UyWz{U(MBm@BGbQ_ZdHR z*1I@v8401>j#SaKcY&;&74Qd^JDac4C4JoY)80iXE1*fbEmTzAg%U$Wn=m7|K_ z0ZsG8N!H%Qw%aO(4h&QSpCLzU{c1&$L58WA!Q7mtlw|$ri0j~YQ4&eUY3~Buii(Wu z)cFga0Uk@`U3Ba#5#NSm{OXI8v3}?aN7hh|zGllsBbo0|Okf6!*x)>qkw9%;(2MkK zR!y`0*+^BLf{T3{-7P2kA|;o-oPi@0V(@Tem3l<7E_`X^s(ZoLu@4dNhF>%G$zoWK zS%_v;-}5cP^we<8Txioj>V zgJ}h+=ZYZ4FG?2x1b6MBC6nTkhvOEW9hU4d0lB@9;_JAiLSE(I8zG0!S5h)5MG|vf zaC5LGni1M-F20USqNvCM10=!NP#^tfo`G8Iz-JWuu3wA|e^irE&gI}#kX!x(2z{F%%$O=zyv-B({f zkNaUiXl>ptYLox>b&Gi1S96ME|L^?P5B@@!eGs2Q%emRtEqLY|7-!nv1I)S_K>Nzf zz6&DUCKonf(%ViLeh#RYa>W${Y4%+ZO#X_T1Ru4GJq<)}}Mn zNfijftZ5>j#wmXyjz)>pO1fBm_Fb*_Ir2vj-X)ofIac4F!rQX?w%%g(6Q{saR@j(# zix#Udp}a-$rF~*#w(VuX{^3*qt#AI>XoJw3F|hh83@;U9zV0kE_ZQW;2i;Z`V~+7e z8hD0aw))AKA1po&!EE(QXbxsmE6ayqw))@^#QbpBXk}Ps3?G8o>Vr@LQ%EU@YK@}H zLNHr>WH!)UNkL>YHO~-&mDNY00p%PDt_QwOWA({94@toKkmYY|dA9loVNeuL0)9^= zdDcP~<5oVXjSLt9zfj{iyIX?09}s{9<^jD4cSv|Yxyv7DfW z*+KVS%E${^Iwh!4eXr(|A(a9b(wlykPeS#o3TH?$r%Ecak-B}NFT2q;x+X#r`w%5VU1hvHIY*hz zk%^Nrg?G9HiT8I=cu#@C(xsxLrT`C%*9K zQmCO-eGfGpd^6b}oe|nGm-A2*AJZrQw&A;u@fsGKMM!Q|-0`l9&oZg-Rx=T8Q*oH#njjgc>wg zZf4bTUOYppwU5Q#hEcSCaz?p34K>{Iwtp9H*QbQr)!w2@E$>{bF>m?SYB1FJlE3h4 z-*LXAvfUAA$&$(jS(Z9+A)$uXHQ@D@uaVB}kyS>+4g+Il)#nGX1yeL=Cno_yJn^ ziQgku-;W-F8;V7WpG=bZ_85AT{U=N<=U;TzKcM9on;tGeo^}2&z8U*O$!S`wmQM0;re4$bXu!?b0Kpt&!4QBO83i8Mj*5u(bff}} zv_Rzj1%;FL=XTn^Y572t!$rUeA|UZbMSCe)K6cgli&LMD&+RzA^cST~aoD54BUI4$ z#ssiT)VRNi8m5ZQ1jcRTy7&RN;|IL>p+Ec6pLCAl2kc{PU?gU5gXq3CUzHy)H83|D zn#no1l^?L*DF_ghIGFC6Ez+Ccl>LDH3S2 z<~zX|q-la!G5Z0NvwAB(pr0Jp%jn;Z0Bq*euwJTemsBW;Bq`cqy?1Z3!`5eWEf({1 zXs_1XQ5m*%0sb+YX?`9v(D83(s@p(51@uA<9hOU(pp)ekOklFSz&=zML)JE{?nDSw zrdqbcwhc@@jN84MdYo_O)u*WkE-1MglF>`1n%i;yf=x-AV%Wn}%UFkdGu3yWAl-c6 ztNzaVT&Pqa79{OqERZ9htRiFhII!of`pGIX+Uh~t({{^e6&bn|Ts(@L2tAcmWX$tH zIM*t)N=24g-RQ+`m2Os%X;k-cI!soPX;SxEU?35EomEn*NX8P6`prfafNq?h%Qr`R z=vr2=v5ou3Zo}t+nlB!;LMYN}s!8B@O$V7twE22; zTv~=x^xIy!l6MFnTDx7P8PTSt^w@}T1_N!XG~>iXo3oa?IOqHzW)#EE)SQkPZT)5@ z9g7N&RSxw)_Z(h$IMMz^y>JOHUcuqTk_lWgfiJvBeiFI}ujbb3zx~zEfA8N-O;!R! zZCegXn0wgnY;b5a2uRkzAoW1&Str4EEr6j6=clQ5ME$OG5@61m+VogaG7G+9X%N8B zHnr&?NK@@A9@Z=EZwBF*4U-5#iC}!iZO5q-=p;CzQ(U}+5R?>-3$D?RrGlJ>N_Qdz zX{!Cum|ep*Xsn3wZ%VEgf>q1G5rpMH_lTs7uZbmRnN>A%)=Y3>u$!95ll8VR%7fh9 zoEZ7GWCoc!H=&lXLlnpVp(p<#9Fuh(D5<732dMH0rU13A!r>*Zc*s7Shq~FUncy)4 zOIvxAL1`kBXfBP2?QuU7ZVe*h838x-ufJ$#mT#ju!W@78&;P-n`P_&^^gM^^jU@R9 zFgOXS)iLSnfC#4J>kGlv7E_OFjZmq#P8gdpW@Qa#ghnu{H|R@ncw2r~Fh+n#QyPL31c)@HMbJr@#t0B;N?nlLOJdG?@Ej<1(7wVs(v-R&s>Foicc3cl zj6OO9X-bPAum{q`jCLkN+J&H)QkNtxq#paYlVL~|qarZ_E{SFaQboaqSg&lzQCgGL zkRqvo4stJYrZ!O(NiyG^<7SV+O7J!~pF>lME;-APi{aKlO_N8gyGB}}>DpM^_Jm9G13BUB^7z2BB&x8+y=wvbN_h;JY-J->`-%Y*l zPp&`sQ{Q{ew8PBMVhgRZ;Yi#jw6w~?Z7j6PhWZFWM#;7XHpJPq%9t@KhrTshWlV7p z1BVKq4akdgtV}yfiTKrsyVs5z48d&LadC(ngvkV1a_cNqrX7t4=#k`-D>586W;mO6 z%Qw&r9|eI~8SHG@kMLB~U?Y{G-3xx2XAQQR+y-f*#3MJPT-mdtM>tyg0A98x!5 zCm-PDM%^ssx?3#QfhRe==Yx5Fu<|z=@Mll-V#l9e#>9EIXtCpWW5>Vyzxu`B@hFOU zdNbM(J!TYav}-RLl^g^{ zBJb^X5Yy%mJtA+|KjjmMLsxdZMBd;@uFdmV16d4P`Jg7#&@9crUK-72`&gE04CQUf z#Ijs*nyYYimFEU(5iS?qgtZ_Q-5LuDROmFdA)lZs)T@{R346WIn%x@bTTwFt6GyIwoj(y-X;ZFFM6SZ4cyE#G+`5{*DrXF8tF2jAd*}c2_5b&`oogUM4akPh z9gl;Vt<*H$%wp`|m|uaxV)}AAM7JhPGQGf|z49A3ufUX^VXHv7LD*e_g+!JOjDVELASPjCF%3fhqc!W=> z&R%4%@Q8efO?v9^uGfOOaI*uAt>COk6G6m6_A_(grp)W^;cwPHoRU7+89%e%B2T0l z7V<9DD`v0oz}8l&oot2^z^d$y3NmK{6V6@p}kDPWc4S#3#2Z&XtjU$gqajo9VF@=Lgv@u^agW#z}cS9p9N zMriMAeCMa(e`CF|Pn4SGWoVq!rP)(aU*XQmcBt69Y4)_|`L0ytyyeGKvbtz$DE6gXX1*sxKa0jXxt_Wb6 zLQ!Kysz?_!?F>Qa)K-ux(gmTrF-4avVp4D`NO#r+_Z=`=g8o9OS3zBIXsSpT+;trX z_Q77uJmdPuP(`{R>^a>;VBU_P!)t!Es7RN@a3dzb6?rz0q&w@92d3vmnWC^>U&*tI zPZiIQcsmr$}7v}A!Vbp*<$akgj z<}E*_@xK3m{-Q7cm5=?1k9hEg*GsBGFz>GM3}^*K2Q4Am1c6@*5v9g+L9lh8Bq$nB zgUP_P+ekIT)Fax~c_f$xFHT_=q{j0VZvz-RQ$;*_T-ClFIj;WkBlNA6H&$Q%M-D#4 zmo@yf(G_#v=-ZNsLpN$+5Gz*07p}m;%4xN5D~}kyE*je|zu}u~>k9b^`ce_TsSBQGg#vP<^e(aNPT8*0+*s|WLL@O6EI zv1w(^>){Q?myRxens4x19CI|d!^FSViOgljj4%QuSC`w#)ukefO;j$C%t*-z0Uf1m z${;z>POV3Fq~e7+Gqrw;>`BhFZ}yxdpAHCtDt#l{al+fp6&adKHU~rQp@{ST&F{w! z`3G-tzL^(>qw6bP7zotIA6&4!oJ0;~VlIc}VdqGMbUm!Nhc9PwAk>jDCJ z<*_UX$s3EFT|kVs)!rz)%|h9h4LXBfGumvD1f-ZDDk>0dgHm<@_j?&_%g7UG=;qLZ z3^k|H#?a;v)jfpD;X&01T~r$Mc75$iD4^TV5kodee2*3JTbP=QA9L2{Xgo&4KdbwA zVZb@ZLDYp&g0K(mEGXpH}@v+{hm@*$K~HepM#Se)W;Iiz?TCR;q=v^iER zP7Rt7psisNrFi2Fn$2dVYfo9f*$tJ0TE$6yAKL!3@mPq`hvsnn7SZImx(tgbvTn8A z8dCJ-AemAdDppZH;*l=4yE@aMIpz*#QT1cqSA3IV%WLOk!f$!$`We9WAM=9r6hBtM9I^gmI`yXb$!=Z{_(; z3E?!Zq%z8Do;A#BAT8HZtn~N?w~uN%Uzueve;@rX;;SV$@H8Za?O{HlFkQ7T)^|Qg zcHs{^@i4tIt?wWDYtR0rm%{pLUDvIzgCa25oE@^`ZAOetU9fQP_9NXx8L_fEfICRc zP{(eX8jwNj>nmdBh)yvBjnM#}oDnN%^F7^WRN`W8E@X1EE9 z)y@XNhs2&Lneo(RkvcYYYd!L{2_oMj|2Vkc%R9C_tzRe>zU%KIE8YIr6QX% z!64VIAl-xsR*7lh;>|F>Ix!7zB`?>cezAF@;qUfnpU{_!@vSq=bKgIs|x3cxtPbH*f?FUEb({@Ro~d$(Ur$!;@@}<3sf}QRUkj_ZZ62v)d@T! zJx;6QFVYzwp*29Psr~!E{E`37YeRXC*VGD{^RQYA`9M^c6iDi2s2!?M07?Q#I>Nh} zYiiJN=%Nup1&HSrb4{(sOe4XE0$*hH8tpW*8NbN-{{2oydssSpCdR@p$b{f z8{u80@^ryHSW*=jztbtic}1z0csXy!DTFM(q43|rl0

    lEk=bnGQq>3M7qRlR-pC zECRkNYj)J4xuAy_M*tFj^bIPRB8hrtz2;fvsfz0iYX;RDCKinbC z-V=-dR{$LKEDvE~O<0t{ERtoYQr5+Kc>*nS!=Xy{5}eUrg9PJT^*C?&G4=Q(|FnNi zAL?=3w+y104Iu@!qmvUmB@KgaAS*D8`<9j}q9=H;QK%tU`Id0UG-(1#)Yiw!i5>Kx z(!rxA$l({|xW3|MUH7XI1lvAAvZ2US=OzpNFaD#?LYsk@9J^26hcPo@!b zil@&h9&bg`gW;=ke%W)4^~OG#f9d#4`->|mEhS{LURseZ>lT%~PXbGbJL4+y!_B|^ zz(4$&Px-jtQegX9N%G*l6iJq|niVDdhUOLYwQ-n1aJ* zaS8$gF8aaNK#G_*q^#I&v||80K+{v{d}ino4x~0y#fns*zTzJ9@+9GnSv>=804mTT zxCWgTquw2|?yBu#MJiAiWbh7Wnd7ckmKhI0QJ_V#JE$)RlVo_YB$?~dASNIQCl20q zrj(N;6{xSdTkqFmIRJ8PI^W@m=DUMhH+#8wo*2yCTy%LW%9PRM*dEDrG|C zRXsksE}tAHFO3lPt@lh(&x!u?e=lkJM~|VV!vTGC?OGelK&aXYn%no^HNZ7UBRk;d zgl|LJW^G)~BfgeTb7W!{N~h$#Yis|@I!JoTuXYD8A@FV=HqP3f?skG-T$ppo?$(I&-gLFXOQ&wj31l#4ATCd z@#DR`XA|u!=w9HRD%}k1MnHrVQ)&m@5L>o8#181Tz+;?u;FFfBOw!H}{)Dz5#Qtoj zsK_WFQ18#}v_IN4s`d%=Fzl=2^9jnw=XTm3=bPI%w*A$%Y9HB35+$&tvK{;5g5~A9 z)zvmbal6e`S8;oEf+mgSy6&pW{Vv<%RaX%=L7+N+*^c9P03Si%zWr6VOV_{KUUe05 z69nr0xt;buTy^`r|L&@*et*~ee%Vg@<9ze|@Ag++McmX$ZYllX!3E2wqmP%nmk;%7 z`Q{s5ze}*S2M@7@hqGXDv59M4HnG$WRf_0l!D72j{)fqfRnd59RVdKNs8lkJFP5qZ zwn$MnFpSBS1&2@(-DT-!S-M%uL(%|ppOPLUX5RnAPyWz%eSL5rYL05y&Ef-mwkSu! zDabi!u@jaB?)#1&9uy1s?_0r~d5=D2#5=8^SPsuCBef(6bxZ&djB7r$s7Mn8Y)5N{ zhXZB;HRmX{!~~Ipf~y9<1^qFCLdq+O(lo&X;7Tfpp#pU7Lhx*OQ2cB4#2eU-c+mRI zc9<;*|9ZAdu4|2z{?sqJpA^l~%~Dy=TcAFC8oOC41AFV87}QDs`RD(MfAT#aQ?fPV z>FyxZLVJ6grKL`?RP{3fd{}8VA_E_&lU&ePt$-;w3}=T>C%ItZs_Ku3IweN3uMqK4 zCl$d$RfVqo78yyMknohHIJLxx-=^LIC{lS$N-`2|ixs zKnMBwH5}0hbhOeLerpTfN9C-Fb0l|^;*1tP*UlmlbY4DQ6X!_ABmC?E%OLXDRh%Q) zjC}k$&ROGpbDTp8uFB7cKouv*b{xO<+V$eNU(WtRu(gJ(J>%7;H|&pXxX)GVQn=VJ z57LaMSiEm*U@nhOb*5v!yLKvD+p_dqm3=3>mrwh23m9v!EF9$xioFJQo% zd)3SUCRh5AC;!wck&6&lSN{8Xk2R@=}FIR*`YQgHh)* zU8XpKxaE*cVd2%@F--g8S~A*|WO{;;_eaM4S)?5I`!8w7H$>N<(r&-MM@Isf#eoS zemS{y1G*vPzpJhep%IcL%@C;kK-rEHW_~>9n~}~({ym*A1nT|k z-@g@lrIhan1ySY4LZIHi_G59u^3PGPwK$@BwaO;GMKXU6Joe#P(iwr838bOEiA+9o z^?O7H{C2pB^C(LpFP}Cm2e;&WOPVe`*YTw9k{nj{pVMp=3)K-k+gA|Xdmx!_PmHSo z5oQZe$bgS$VqC>)cdT#ZStm`McrW%lxF&mQ@Y6KAZGUGtYP#qc@KIN~w6R9_s-3nc za@pL|Z~p=Sxs0nI;bQVw#6wFS)3nFdcpTV-19y4q%U;1H^_A!ppR&}~yj#@b?3a1> zw=?hlx&QTVeCsa+3B`{2`)A!}&@Es;wmTxikk~$M3=L;rA;lr7FWC(Rk`+l!A$Z)b ztj{w9CE_tbpyqHKsXRMsE(9fUV1nR*;EE_wgX%%OkPws@$PeA`bc{W^V66oq1SQz< zL(4=LqNlbPDlc|B1SLD~hX#MYq6Mu5cmGTXN}S?{-h=Fs=ZIDf$&qD2P3*X2$4M0Bl)y9NUJ6Usn*hW%wBc%a3v{mn?h&?E)_A%4En8+WR{!a> z`txqlV)f^@Ha5qr6fP0kO6}S);00#nxgwQm6=vHgApoxPMOZt!(nLz#U47Myx?=rpx!Yuk=?t%sP7L*8PtasYv?PY z03hAF;HSYTv06}!p$URe!O$9;y|@hd$A|`VL6lOYt?z*0RW(#(zSRT(CfQAFjZOp; zvy<&$*dh6(woBUZT&nz&K~Ax>=j`(?*SmSMP<>hd+nhkNNvY{}S5fAAA+PpmfzU(~ zGFR=bsn9tw{%hAscV@mf4LZlhe~}evux3W*^dLc!Zf$tbLQPSvnV#OOeTU{yaS=BF z)ijS#h0Z~@DR|L{xjBN!w3QTh&VDdXcL(F5}+XgK*Q07F@hJuH9g zqyDE~{{!J+Xidr!B3{RzJqhEuVP!#}698L6pXP!;J&dyAb_Z?)M%*yt3d*#vP*|ac zc0mj}W;pUM zi!{j4iNk(NMmrQYK*>aVlUJ;u6O)rdD(J-IsE`UeF*z$l5+eSCCWnR8j3Wndh3hW@ zB~1H^^8G<}!?+#5O`=5@I~;DLlmz_figkmn1>1=XdycDg*4C;!WwSkWV-CVXC7n`% z$qxG$H>mzEWK@u^t~+VbH3Jdr&WA}bp)HY*gAsM45?5;SpJin z)o2~br+1C-J-6Y1o1{jwNvR8R9A(1!mh@IR&WD$hLV+t(-L$WW^G+358@KU>ISynt z4k&IhOV&#Z_{kgxIF^;C>j{9J;e#f$r2nn^QQC{b~pFr@%mW$F}O9fdmz3 zQ^`I89w18DQ4Bf>6b0rE1G6Q-4nc7%9Bi=$Wus)hLE*|w`XOO4Gl~Enk6|qUFxAvc ztSD}Utq24pV4?!WB<3Q+4QiZ6kJLSqIYf8v#S{iE!HuT>8cflKi4kY+u6DTQ#AMJ7s(*Ne^RQHjTuUSC_FsOXfve1$8@I4O+sa3=e1XFn z=4B8XFD8uF&}nj)Y0T2y)Loj$B`omH%W@f$@Xm(>aPAgF#0v`|!uf#iX}CHK;j5Xk zTpetRfm?(cz!^x-ZU<{q8<}j_qiv%Mt%wz~VGjT& zdJbAwAscq&6F8L&)|In(8cUcBJ75r6DnZ3$V1~0{4*(}8Lo`1o!MC0P)>21}p&i0{ zLgU9&08Sz&#rxojygnxld&#_@dsLXPE6Lop0a|Hq1vv~|fZS9A;HtHPbX%c(uhz`B z2c*>sFM+EfquMLUe1G-_bm-`12k5i?MfnC{t}3wsa6nM2YM%|ES@6dVz&9kD;QpXV z$XKiWdY*Ma#ESqsd-4QE+DlZ*vNXEk{>B*yrwTuLr^#u@<%wsiPBk0Fue|%?Qw%88{Q-E+hiQOc3d6?g zJX(SuJyR%SQ6O5#t)740YpFRS=pj?3t{6Z0e~lLLfA+u4*GVVdOY@smSV{cAX3*UH zwot}i(z+);MAAx<0f;&)s>Ti;mpZF_EpO)UF*0XKqoe*YE2Vz1qX5Px&Li^Q(U_Y@^n|J&dx7INaHu=x&)GB@pXC>}VL} zf|-p*ra)(Yn+o=|>X}l6r@Uqnl-AbPXkcF$CXGo)C|W@k+ibfKq?cX}y<1~!6a0(NAwNkQz(-f%FmM%WyMEXqcgqSX zYOdPXAHTbXb9d;F6UjA^NVWS>!MSlzrX6{Shy}`$_v-1-L=Ce{h`j z2*PKndp>+6(AxN;{SZy@nbxM+yeZ3#Ex&jH#Y8`lkq>Udu@TnW%zHuZjM#V93l}fI z3$+zOe>i!Ao{NmzpqFV){$9a&fca_fL;3Z&mxQCPnWo0?$}M`~`oddui5IZ_um82r z{lNLL4l6V(p}vLQSDBL>xX>C@y#snxB4@DP3*T!Nlq#I2axhG74|X-IxZiPHa-B<; zXu$+AeT`$J0ZB!-cbjyV8k`BD2De9VGP=KZ0IxPHN|DqA_dR%HWW{waW2EyrO3fq= zZ7^-Xq-uQcETgG5s_OW?rM7Wf*@@u%K;CUswl84Xcf;y`$E107BnDs*gGhygy)ycXPWH9j3SO3ME$^o_zp5zkM(i z9p;jZLFEy`xby+^J3YP_r@UsChEeNgxMq5O0TS=@A=+cGRy|T;{zG&pZR7Hku#3DN z*HAq~>=?i6hv+T-)C``Yg&=ro8(&5`yzut7kJr3)D6hXgQ;=u+A49p~d<;DoUAjyh z(<&4^zkE*Z{hxf^N1qw#5Ca$hCa*IfrwUXDfat`r@EG;YZ>kenXy&K4 z0OBK?_ZxzA0c`6TD|s>bqLr*Dzch)g-G-ST3c^Rlp|fC4WW}Tg^cX18KMtJ}Suv?$ zzXCq4Ua?AK0p_QbELNx*!Ky$NLlt_=F>WPUpZDJ#Llrh)n`$LSl1sIR$$(0;k#A31k0?zd_(>rg;^~0k4}0lDy?MPH=BW)tn_I~xUZF~ELNPQ(KI+)nfkd`;9O|EyKF0R-Q|Cw z!;m9`@i<7XW&7Zb2+h|d{Wc^XQ1Se@vi(X1Wn@7#&|QHvS^z+KMLvKoh&zwg4QACg99dES7&?J22!IsnrSYnuj@llY zN~;K>?O&Wgbi#leqh3**K$iq}2Ekca5-bD*Nj`Wki6I{F{bZ==+D59{S0sJPHwR8H zP+1}geyH~7`R<^@mhBeEzV+%@=1U~d6F)jx6f~a*E2Y_EKl)iUte&5#V9++Yf2pc@ zZQGgFz_~G0GIw3rzj(QFluODpul_f(t?|jf^S|*;eDd%7Z+sJ<^fw%0nD8|G35qRq zF@YOtT2U&yRMKp~@LZzV2INy9RH{q?1Zsk6Zigy-V)7|i78vYeSy)J|EQ?O$2+JVb zaeN^&OPhRcV}2*8v~Du7!=Btrb?-fO6KamgvOXWKBkJefq6N`(H;ASm>kfbQ6N4aX zJa7quN{rWHRwCnyGotBm?7(NJkY*|Wg$rLNf;cG(#*o-&j044rSuh7Kz~Hc!$u>dc zjG9ANflCKqu?V6^v=yuZmpup)=~bZII*7t@A_x;E$aY1+we&~kbIgGYifQyyn1fnL zsW%JOiJSR8 z^0cal$*VG}q&m+kuIvx2K1%ux&Op9Bx9bDY;EIVI+JAnfHaDC8Bs>O()*Vofvk&jl z)nzX??*2DSdwlZm{BL|S_K8wcELr3!SiFGS_5w5@lh&s6UL#x+AHl=58Q~MnuV-Et z4`D$&(d&EfyRTn(9#uH0;|X%BE+h_%cl1nN&6E%y{Nlgy6`vbf0&OhwEP=I|!Bd}$ zb~>yyR7apN7+pXUR8|CS1I%>y%pU!~YR~N}>t%Am0|r5fhX7cVbn0YmQbs%NH*0NC z0xzT)-&j$?4aKyeF^h;T92zmb)V=bG8SQjjVbX^RlA@Y^QLIQ0!OyWrNUgDdhe@W5 zpm+!_x$jnLdBM%l3=uhNd1;Q+?nUG+W-GqfSO|WH-A8UZ{qHHm>EHA3m`wZ|B~q|W zH>>F%-dwHoa3(G7q^zJqmLm2X*k!!L)u;i9M$4eXuG10bLr*RSNUoyN?9LP8wy*!=MX4Hf$wIKWUv0I98@Evs z?&aulG28oi*5r%1Kg(}K1FDX}xo%^Xnw-)Qb~!%jX$**|@3I&=;sq?h_S2AZx5xTY zFJMNzPP63i?3v|T3r38_f3*3|kNTzvWKN_y9Z@khIVUI6CMV}qxik>1N*eK8^XBB_ zoSf>MoSc(VA|OPcn4FVSop;f^IXSr|)!D7eBgw{#C)bqVp?pwPWO2^*M!1n&_vv?| zMaIx&@<}D1x*;`={P4d(fC%Cv%5DnqNRfn^niTr92oA(f_ln>U#-Vh=GFUgAFk%~6 z<~U*5j#vd{%qpddNa>4h?>JJ5xVp$dNL~Om3lPRYo_j-pELF(2 zUBC6w(ow0{^H+0@@vp!2!KeJ{xxlDjV}dGchM3-gM#wte;cM#-wRKcNu)~Yp2X-b+HY#1PEu&d?ASi+Q;f);mqg22sDQzVBm@%Vr>qc1EU@YB#n1~uWElx z4vYX8XGxXx>mA0N)W13hMi>?h273`a!r`a|HOFYb1_*bMLr`+J6986@wX|cgPzYiYLLH{67^Klr#Nn|Epr-MNIjQZ1(nH z4igk8WL0_}(t3=&7fSI>;{lbEpDu7ViBL^!CTp%k;F2|8X5{5WuwI_zexz3)?gy?e zaC+{3G@IBL1&7PMk*795`{mE+fAR~$3iOysx_Z{rW4Z;9BzV11b6G!w!KTIlZeJN5 z)ditkIHnqcpp8}xLkLpMxS-Xpfb#$@LLu)VNG($YJvQvuYw5H;_*XbIm5dASw`*0! z;H8t%Vp)(@zy(pTQj!2z1M6OjL-SE+1kvQZ0xDA!TnN$%xFAkVTlz6v)uyV3pjZKy zL~%=r!XYW>+I*5?1zeI3jL-z^fr@Rfq?8hhq&&&6ETlGSPg6W^mjs)jlBD+=qHJib z?5hW}^l*yO8l=B!wh1lVC^}V*W1(Inm<~CX={hZK6y8+triUHW3jG_qnM!_p`X}x( zUHNM9{1V+|{VT>V^e>b~1=B=Ga~S~tNy9g(Ek*dp@C}Yg0`Q9`7bh$MS;T8(y313& z346U{Mk?atO8?5`as5lY&W(uk?9n}wl%gMB5#r9xdCgmXEa&yQ*L><*uOsKB<)5~A zZ$tTjir=pWrFOX;Rv4eG@{8x@f(H;t5RAtvzhcO(^3)XXC&^Fb-q?zmzJM+xBKP+g zMgV=0OYP!V`=JwVU;r=>>*^~`v83eU{Ul3DUA&*7Nm0i-4t`^v6xAW-f=+#(Yn8k*1TKP8jXbkT)4$Xla7~gg#D9ee#ftV$+XR2i-P6wIK?XuZDIFe7xHz+1KjsXp-oMT+i7HEJ@fqaX0m`EwvNitgyypZpu<}B>-K!{EV zByZ+X;<%8U700QQvPxyTiCmgGCZqK`T_>m3>|chHuk{P}Xu|!u-uV>mvG7j!8YfMa z?;ekZd5xRZY@QA8bUa6jmLz)0(Nf#ghpxfg-%W4f68ybl=+gmF@v5qW5U8tj+)m*c za#H9ji7r3{RMlvcjlAF~yyJH4zm;$>?SDWUdkzmFP#s^kWB*~X5Yi>QL$Jtv(Bet{B)UF>e1d5f3o+GNU zB8aXnB!t)=1k80KNG;}qmV=WPDzr$+vmwNgE6bR1(w0iIMo55fPK4LD2iUnB& zacE49YYB3w!d$S&Bzj3&?J(d^4V-<2L-V0?!F|8ij2SGeRboO=tc*+cupQRtg*s35 zYtHIARS`Cbt^{D?ANa5QPgPFVX`!qhkHu<@dP&lz(ey0(9ND=>(}UqF*~?GC|BkI3 z|3<0lGQk4Sei{JU_8{Mt9-FuPm>&C#H~zg39scD)M>d|O+LfddhGo)k%qgHcO@-XI zW}lRpD+}f{6|&h{-rPf(O!b(6JpnH~v<`CRQr*le<}?+$TbTJLw}qB9uTR&tsOBSguw13@UVHt{9K)Kf|u4!;q>jc@_wLsw}kFg&m_GTz@(rKxbm zd(n9K`GW=*^_K1EM@;n*hTuKiK>^YGD~x<}XXuh$_vReDd%7Z+sJ<{5$^}-;8~t)Rfk=?p=@L z_v;PFaQSE*NEn^3FeKAseFfe+W?xw>Lbbb9+Fv z#&R9b7sLc5h}-V2x+(~RKz07I9mnrBS6yz$+a9mFii!pT_5L*#P1jv@ndGZmUv<^* zhd{kQx6|==SKAzfcH6723W7V)f$0ZxJNCx~%bOc{yh;$MSF3E|+pfn*bWE0e77$T< z+wEhs!?!3`B1^L3x5G{Rj-gn{k(= zx0%j^{GT>DFO0@-fA>pod*9n$iVIOLVq9&yxpVHyxLjFq?5=PZLxb`LaRaI8!JR*~ ztBu#tT}e9qs$ew!j*ouxUwn5|x|LPPE8WI1gcp&+9O*-0@P+z2_7(gHDq$DwmDY{U z7RD-wYZ8LgHboE(+miLhdN!0J1gTbBaF4`=@YBG9F(R7~q=s=pxI(>TRDrg!YJ3P% zL%1MTR5yNq)Ko~ObYlqNf`=YROL`^yLpNaNqnlL(j~KqKfcX-;zVSVB!ecg|JPn5b9taciQiBD?dE-hyIivBm&8~a45 zsU+6J<5dpD;2MCiI)%cTn2b=YHF`k6SD~{O-YfYWs}1jNF<-6C3pm*W|9%LDKi3V)U~+vwW-f3H|x*Z+!o+{z~M#^gP|`_LuUo$N%U| z16?D7xiaH#rL#6YNs%cCP^S3Ee&K53=1JO6E+uPPwFO(<=>KD}8j)xLj?{(2ex#XX-|Zz8pUB61L2~MXmW-dQ5Jo$K;Q_ z^9_IQeP?Gkpg%xhLtu0Seah*u1z9xqgNq9=fC=ZYC8pB>UesE+>;jt z=%g=bDmk2p@r~Nd99m@;V2`l`YFyDeqp7?w!`THu9g8&6?CHnIg~qAKF2JEfGEW7W zcdQZ0l?#A@o3PU6r?f6op@Iexd333&|EeI(1ky1AekLs)gqDFsM z#8Z>+C_k&?m2ge>axhbYG8H&qz?fWy5GLKrpwY#_dF+iH*W*neb3BbXG6QdtCI!4&!N9WKZj(6*(Qf54k^Q=$}m`p*Psivu#BEcw>+rt@ym;(*M*MVB0dL0W*_OD54u}`@KrW%RM6$BA zCBj_!gSiHXMM{Jis3^wO)=W<9)(CFutkCir!Em{`%w{e(~3OETHT6RQ5+DL z+)uFzi38%2le9wOfD}n}(NOa2bR0)6qKX6Jl1CIpy*O$vfPHly$J1E#lz>S2fsA7= zDCSqF;devd{$0`#Z(Im_9_0*hPF*mq`-UI?sSo__C`IWj=4oAj7ZV5C5y0YF0gi(y zfF;xllfSelz!c~qx3S+L$e6(o9bgLZjI}FfmAWfn3b5~MU==H7l^S4*E!sS)A9FUg z7*{MB1+)=G_Ta-)mrwbtvScHpi!NY_3cSH5BT+RkU>W81bF>aE zHOH@g&!_$CKM(~W#l*D$=*c17)Eow-Bg%xm;A~_ZLV@Xwx!~lGZayDHaB4_5HHQl# z$~!%zo0`J~Cx>*?87qQQL%JDBy5RJTZr~q^_(7Q-35d2ehq@BMo_#yrNjX>`<^r_XmvM-7`m?8)@r}Zaf-B4J*c$lNk{|YSTpyM1ez)mCQs1yy(W?8gk$GaD zIoYf@YoL*ou3uXdOkPGWvr(qw;JrdWyx@{dQU`f*P2km8xv3;+)&1zWgsjJ)3`V|* z=AvtdzaJe_1|%7SYh)!j|LJFACN=A0V7u@P>ajW5LaUX{fDrqasp3l$O39|4#>*T} z5uTk}qfup;9)<(10YI>$H5w7mMF2Jhp{4!7mxcQst>H>M5cqc4j=Bfvk3p9l`;#E7 zYK?{f9;mcGx6}SIs5I>lLb0kfT6)Lx{(RYEf2j(lO?hzxfG3^#mwN&B>@HrwQ#?3S zHP4w_w0Hq`Q)u~yKl4w&=)Ex+LEo2?UI2Jk(40HR3&4CFTDfeB$Gw1&u{YTZ$YXB| z%INk;CnMMv$_(xW07$9n!w?+z0*p;GpCe;?Uom+B`@vM8aW4Q|4FlVL!V4fZTw}4b z7l14P<^~a!f%!PJ*p+Rsya4d#sAsWNrkzT%_5!52jHsC**K6$s0F0|H6}Y78`*=%U zfEwvpxX>HA0ok|U=hLpj7`>(*bmkO=2{gvy2E2mZfVrtv(-;?;Fs4?&?%(}gpZKHa zI$S}QLy$HaSLb)QVxpO-->8gG{d%p%%DC)s1+Q}>NcHQ2IHC>{>ruvT1#?lRUn82= z@-=BR>%tM$udjGGtl65xR#=P`s|3%gmhu{__89BrDI)pxZ(jZURgrIG^a%HYEO+fO zOeHQIu7@1K2vRFW5DjvRCOHKVVs|}&c*E<3TiPB%6w5KJ2I+C3VdMBs@Il}ay_mk& z);sg!FG$&ch4G0K**x|0wa{o6UgB znGUQAVm_Otu?|PjB-Ds=+BX+OWef>1rUW;jU~B|w-&}B#n40#j2u=}G)4sXjBr$cu zlEVH?5K~i^`HGXo)HRTNikZ3sl221pGraJlKM6H;F|L)pZvJ&^HN$O1ma6`D&IYF3 z-c!s_Jv8E+gO(F(ni))qIl(s6W~~}T2C&>kOb>%hB64T2j42Z+rZHvmxE+@Bi8F~f z-@eHt;!RA)m!LEqpWAVKiA2+;qyxf`OCHjmP5`oTbwRZ1hP>Hi5_NY2yKc*0YS-t+ z3-gw5Z4%>!Klu1-)~^eFsui>W6r&(40C!M60V}mx(Z;rbas@rEKr!}PDPLrsH|kRt z?7}hOq}9O$>D_*ed>}2%t)4Y z8$>r|aMGI8r!Lt8^&*$@u-OO;Q9ez<#kyobF<=!Ldxhi^MoOw&Z&ZqDV<%l|y!%D9 z{#YTV3ASXjU3pAKk2a3Pa72dxT@bNP2_n{>=ets-^Ohe|rvKn|ANrkN`!2JLV`nSE-DQI% zR+Pz;Q~)6;6>vW^GTzd{2PS_ROP>WL_icjvT@SK-uDHd#sOHd;`!+$45@-Y8q2nNf z`p}a5cEL54k`>{btCEUy6lLl^8y10;WgL5r(tfq%e05fQ$MFxYLsatwBRREhC}&}VOhUYF`_;pkGz zu{8mFpvmV`dKipFRo~Vm5p)m(L1!58Tf1g@ps(=M!8Xd8+B}jU=xQdwamxfI*TnDw zrV}g4^hjT!!U^c{fk$6nDOwyy24y7XGOx6<@bc zD*wrzR2*>lr1Bqc_@utYAI-s|`fwRE_GE*`wlY~Pa1~(WXI>+ssR8AGOUjR#1AVoF zylD}BHKg=gA@NTK6wdp6KzXau{@jjuZNTvl-uf#1Jjd~m^Mx{r83Ewx zvj)mim<52-^35s~EClL>`!CS^Ob+|oGh|vnCs!66(&Hhh$I>KlUpV%04ajx-VD??1 zyEx~rjLS{l74EWd?DK}$U1471`kUVHdtVn|R85eyd1A2A8&6_?Fz?m#K+3UiTX=yF zAi`I|5$ib%!75MeJc-9W@FU_qgkY5?-jXs{0hH$4hamN-9~!QO`XiWGyK7U_b)J|E zVo>F~ZflsDSdkjlSM0YESCDl>GbO>0QI8wkZ4W@+LU$rnZSdAuQCx9f^0?k$eiDzp zhS!9j9ZQ~daMYp>bP-ALaFs4qMf2Px$1eQnykY!^lDTB=TKx67F7JmJ)mT($V7o2Pfr!g&p zQ!U{%rY`s-E#Yd?%<|D#B7vppVL^Gm z9#Tzc%E-r;JW)D-Zb$4XURK(aNYz8ahqzexi888!oe8F3dp9mr*7Vw6`_upJyMF2{ zOliZ^uXHX`@D3ejaCF(-f)Te9Ui&1aaQ51R27QE5ID75;J$-O`&iz&f zUWMnZEIs~X_L~)la#3(KVAbP8s5wBP#*~-Bio^Al@>UX zhM1kZsgj;LYBL>>PgmL>bn%#`S(1*N3|nDOg$6JmzfO8?q}wj-56n$vI3Q3ZEoD0$ zzk%S?h67g%V`DY(Ncwr13hU46Lu{3AIVZH*oM8l*CUkXl#t1A(*7-2WTSv0m4L@^> zmY{0>ExH8B`q}^cKl_jWW@IQ8b#NMaHNtH}YwFmZKwjA(fxz}CSil7f@`}K!7XCzA z>Vkp1TB8q4oFe-Qpf4&r7YyVT=Vms7w4_CF#VMfzs9H(0T0Tdrzam(WS7;Z}T5%kj zdd~#|c?Hmb7HdOLd>xkzeCE**^z+m1V|;sOuM=9F36i+Bt~_1z^UGr_6bFHpXQ1XbbX+ z&v&;0?v!tHvfnbzE!lsJgt^3j5u8LUCt+==B|gOFjbNc+c&$~s7 zQJ;T{E-~sq_#b}u`@bQKx?-W+s2AW`FK{3b*6lgPvxXQ^4Y=U$fFPN92}}(g2qwrB zPy#}v4?&D)Yr$1C>b@e7fJ}Ilh3fE_RLAzgmJBMRftrx7XRGhkgZOzgkd?x-W@b#6dA-SbHET zA&;#I2sewP#0nxQRIPc|0IS_SKNUbNnu4Ik;!T)9UGtT|{*Q!dmB17-x)gX160q%9 zQe=4dRmM}Eo(P;J*^(?3GN#BGmB5)9+$!*uzRMIi%XaMF!o!fE*;HtsL8gGuN!nk= zu*UI`dANx7M*O~5PA^YnY$YubfwyKM^dXhr6C^G4+xc_f>}^<#@>5Jnqj&hsEn1B7 z-5BL>{pz3l;$I7+tmO&E0(l{CPuPr=FU36NVk3=m5j-M76hUyg(dcAB8f6!>#!VDN z0pX#|$%46jiG)5tMKsEo8mQ<8Xh-7Ad?SG zqwIo55I0EW1KhZi-2dp4#i?<{?N$aPAJ4i35pA1W z@VE)fi~W}mYVF%BeG?T|E`e?2F|Qt&%U9PsLoKPG6?JVR@8v~Z-K)O<>lCNQUpvgs z=c4XHO7k?3(%6%I#>y(TkVTeLU=^Ka2b(fQB+)^Gc?fETFaJc!QsE5@lB zowviVwb%xDuBHry_c6c3AAZOT*C9-Qe9FlTevWU( zKAFoffdgyP1QPb=yn;NPktP_#^+;h~6xXAGpA#93>#MdWNx-MPLYt6B0Ur-j$x9G{ za0wkP@B$SbF98ad01DbPiCmt@D+t`+a=s~hTNamL$sG{R{@!{ znBTA|jIN3`AL&P!fYRVlfwaf>Wfzt7m94dZr|kRU3)k<%%Fn$d5N2#WO1FsLy?ykn zm#*LOmUqQ|FTH^6=bnF8>@2_Sfr|MOBT{T8A|mmbpZQ1s_VdAyqQs1zJ8)uGkev|3 z2X(Y!>oOyK`wCW8Tm~mYU+qZ64na(s(l|B*#bq!-R0e1fp|Aj^ZUx08nP4Kd2mGu# zv?TQX(EW}rOi+2dEoRo#=O`&zKQvP55|!f|t&b?qQM8U98bg|4H-SjRf;`8}6Dux* zAKGgPK+qw_QVXif;F2Af31mqy74X;OCDmo{vs{C$o)-vd8*qI!lHxL${1xaI_R zt^s1Kf4I5~e)QuO2@APIYoWi7+c?|2i!oGNZz+MW)|c?3q;w+;R9@EP-r5l3Owk{ZB;@RZV{Az03B3Q=6 zgNsXzQLHH1)+N)JcyOsSOIG;rGA176Ss0B%9h%mpwk>P=n0VYA%RDDZ+CrC%G4X5| zYS+xSC&t91a6CAAzCST09=!5u4b#!98|1TWcW7O$fBS4*uMt{gfOUIq;AiXLDY25O z=bQw#e{l+p9L(ubg;(a4P$+IXWfZZU13GaDQb30Q3S)puK!pJ3qCzVJs7!;%0Pzxp z)Dt7Tg#|Irp9t@&(7kC2-Ljo3!+g8brd%Em=$@`I@pBcwy=ym6{D1PtKJG8?Lh);w z)D?fJ*c=b+2hDr$pjeTL#RUta zEax7nx(Gq)6&JKaW5qq-E6s|zL}o+IS?K-;QnI#%SP;VYs`pX^=~+?f9wf_!LP z5ElnUK?)+@Ru{A^Gv|_Y*u}*MdDF0*Mm$83JWA@4#k`$0zr?|-65SCD3G#a|MZEbv zvlVJ`dQFR7k7!fXji(Z0jb}{toBwORPDi{K$9WrH7~?b$!0;BM?V&s%=XasIu}e&B4H6e1GF700YlPN>dzv$TEnLoL6Wc5D^h>DAbvGGRtSR6Z3yRQWy2*g z{SLD$D2aeTOGNe+E~GflMG_?gC~ry*XA@V=1e^?Ll4O3ZPgBwqWzECNYC34Qs4vlF znznIGt+ntvS`07JwCc^mQ?(D#`=`r{_Zep0T+XLa(sN|=7;TxdcH-lujZ(1Is<_CFFNa} z(B`X6Kb>FG@55b5!CX$Wjkp!EC%KyK!#rO_g)ikqxP9+sAw#$WGK9;q6ZR~hF^xA4 z2Ino`T3%LB-tqdM{|!GB!Jt-qJeg*-A0w7!DSBkSdahaTS7`BK*6naan=^DsR7H)3 z-pZ$`6g`};F44KyS<7VAk@@Q4c$?tle0Az1|1oK@sxzrG4=EKY`4;MSjA5BRCJfyOM0n7U3fU}kB4*KEozO@ z5)R%@IQUIhfARNyXTqPx2u$N5quG9H48RwM^yG{5Y%uB@U*K(BFa7G-OUZ^E zO#B+#qSdYed0CarPML=U$Qz~=QzT_naXxkhMni>;W6vOo*7X6vEgN>6C2tPbL=cBd zS*@Ax4hHZLDu`c>JorG8;b1vW@Y9J407RpCc~Vh$HKeQ(qmM2 zdNP>wZXez=ik0UtOigv39Q40BQ8=fZ6#$=d)CdEs95pHGGQypm@AryuM^sjgX#_yy z5_q`@9j+or6_{TVOgP#Z0fVvk);jXJ(ft-uLKo4fc z>0TTqGh9h#mjx*kjv=JW0!D+@X~=g6d26;WV$F^68dYm%mj$c@9IZ7=fMv}qy z%f8ocOkDPY)AZkd*1c%*8;|NH{YQ`8wRluY-j5z{)mU>^|4+S?cJ`n61TNx#UDRvc zm<_ng=HI?&zN37M-1BKSw`1$@4B>8g4#eFI|4ZCL z^8c>jN^)YIG{MSH+5Bu~|L>~I&_VS?a0``Wdfu;kjW=-9Q5H~WoZm_uJw5POeFy(k zj^3f8rg=Qn?a`Fl70N3*9S6W<33l=$r%XRCxn3#V0}$CVEwYkK5BwGSXix#+n~u`W z;OOar$9Ym+@znq~H-AyJW_sYS_Bgn_L}Z<4W37zwzk=JZnhWop5Li@eR`&=TAcDAq z=EC%y?0LWWO$t7>-wqZKZE5CJVri*bGv6bqL*94%cELwCYvy|d&P&D;lw8?xAitXz zzL*#zm{X6~v=;prC>qW)s?V}4QOMbZ(6RjVols>ngM14_5u zbHHCZASMJ>jyweF{kfg?KVEHfi5fbIv~zBXIH!3RaXTvoxF1CfZUG# zcdM%|56S5ES37(kCk%n=__Ce$$NA>_-yJc5wAx=hfjIt-+p)jQA&gC#e;C}M^;p6; zyndH-=YxmXqSf%Y3Y!>sST?cL4p?GLA4hg*jkpVo?YjIAlLxDC=(j!tarWwr6^h|3 zr{N(_Zl4M{*5%&!4}az7ekR7~Xz#V7Ih?m3I3GcMtN;l63N~7dkC7$>=i|29YsEeU z#rC*hVQ2)bp`MehXbwL#Ac}NIar@xtDX=hBlt4Z}_Yi-}vhR zL{NCU*DDO{PShITXms_!+1IeZzA}a9g4NtG4TD3F3eN?>X$Qi3xDWuFp_QFZYzWfz zaY1m|X-tm{x{b97K`Oi=xCR=7E20g?(g`6*h3A5Y!&?1kz|)23Jq|rfmB?!-Y6Fwu zvsaAGwxQ5pV*YJj-~83c*VR$RV^KQ?PW3JyvjaQl2ys%BPMX9ui2pT^hF!!f%gHd0 zK(t1E|7Z+~-~n+J4Hl~@RPk}O%^0{OTCB8N0P&AiDeNmozI1LLa1pFzziPkp-u(gu&su%XYFTRBy34=y4UApcF~8J*o&5 z;r+w~M`{9fk+cH@s?bBW7Br{}!lPE07 z3>CpC5SF+tCOHkllH91D}- z0JdLv@oh%?`D$hl{fOW7tq;FB!XD*Ea=Y$CXdv5Qx)NC|@Y!j)D@e;2_P8LBwtzMx zzTUyssO1PjhCMD=*ouH$9uVI#>~TSW5;)fzR_iREolQt5pIv*7wCld&9xxCDDS$*E z|Jkz7(XJQ4)mBH8?66C_BJH{h_G?&FhCKi;NFY^l&(W?INlYgfN)Vi+l+G$i+I5$- z(JVMi;Gh+bVP7#FNW1QmJ=mFWh4_0mgKI8!-6cV8%IFp6iB?*LjifcJ+XLv8p|sZK z0l4IB4?vA=)SPsCge@tudUH9%)yWuR9o2)3hgfYmcGJKr_T!2vX*?2DEn`P6;~*Ea zpS%EZ$RnL4$uEBrA7TrG+aiAjba&2Q&5gNiy-R(B8Ouv?YToi=G1n)5%OCz%uT#t= z#b8fKc}W}-fY~F%Ow-E72776^t;U-Y2Vt{7!J>w2$W$vfqYs}7QrH@d;2>6{ZuUd( zj(f#j;v58El~>Hwcy!PZaLRM^(Z;c&++shp=B|)w!bnHWuZ3W)#sm9BMhRq^(CV+b zo)DCn%T@$^9>PHif~A@|FQ20#Q(Y3nmaJ}%uHIHsVlI=!%*%iR)Mt5X+EEqRg`*lx zFSgZ?0x7EiWp}0yJt%7bqG4pI#=nBA@k>y2k3o^Dnlm=3H5yA7>+N*0esKNcU-S#f z@Jg=DgCft8Ey>P7%juHr^vY2rGcT?hmEdMz%_H!LPbyRpGQc{xU6*eH2l3=6h}NF_ z_A*l8)jU#RvHJID^|h$8SpC$idw+wJe(AMu`1VVv40W_eMptA6NZ*5nPGVj_MHJj^ z)mJ3&G6Dq1PNgLWVnkZW@`@P&QW_$W#giEzSui6&CNU)pw;fx7L<`vY7?%Sy(o+9r z1PD0gIhu*#Hl{3B(26&|iB{iNM5mR(>A{Afp>h1`3IVdmuTXa6&2~U~+)x?r$lrj2 zugN4;gKlaB$Z-p@ct`@MBF&}w3P~!|&lByunz99x@_-gnO8Z4puMv-~%o)|1`TnG| zz-GX5DTys#6FssV>&6rU-z|teFo!^jo&*QH-m;< zS`7~>`!aqHj7K%}!jiO(`zYi0ZjJG%Kcdkc#sFAxsW{~pTuIXUp`E#aj7L1jEGS7@ z6Ra4I+Lq!RD*`uY1O3i29+ioHn{Lq0TrnPD_AhA%@pHCEK^W$<6su9Ld5rX1$;{kJ zGT$AH6Sk3l!go;ra&48dpAOU_K$Cy;qL%KgFjeh5l-mA2p40@g8XIJ`if|@W;Yt4JPJU~f;5RP zh;C>`o5a2VZzSd`R-{FAL3B-P=nTBS^)iMa4Pp`WnLQ8$l8L4>1gWuHuwStg8<80% zs~AW&1gWfwAR7N*Vkzjb_dQg z<`u%5q-}+1&HC=F5DCk9B0y|@xwtHTp30vfk{Xo8H9G4w&~O$Ds+)cpW!8kN*AETW7wl}u^w zV5g-if=D00CP8ow7_3+tZ#>o1g$s6|ToE)FX4n}oR|wJ%a6!YsV#USY$eKftet-)a zJ{jh4qqKhc9O(xXLHAX*@Il&hq#xjdOt$1F$xD>V%37k^*kaKS%rkUlV+G%-MkTVFhOE_UQTUfL?%;715Kn z+RAvNYE9N7e9f)To#y;krO39gh&;~s$6Q1l9UO`3RVB%MgAU#Lpy#<>8A3Wq<~vlF zF+f5mQ+}%R%(nv8g{a>8^q72 zTq|AAk~2m4s&j{Icru3WWl5UYYxn$(_i_8AN+@+KT8v5?xT4Hqd*Y>AdnG$xiTO+z)A>4a0{wMute2`fn((* zkVL4XWCw#2AbP@9Onuh}G#AxHiCn#iVh{#4w-nL2coBWq8y3y#73l!@ua3TJOn{(| zmMbSIu?(-!`>t2&Q)EHy0r2Y+!!Ov{P%l}Pm(uWyo%EYmYYz8a?-u4M#HBpacMZB+ z^%Puk)KgHa`bz7&_MD6VouP;~3q@oq>n{7QC!}_0Xj}!1xDBmMqCQ=|_%lECW1&yg zxVb(xQ-@6LelKmTC`+66v#%`o?SeL4mcjAPGSwlNgQ_yv7NK2_FfPYbr7;$eD;brO zBaj?Yp+OTwZSeO%7uO4pb3}#IIGF)g55W}Ci|2Ac1^vC3v^&B%z>}zWK$i{O=&)FUB2^oioBHP#ih|AnHKf%Xx6 z>HPW>ykIy`6})JzJ?#M1RB=WtnSKiIm{UpNO*4E5f&bkOA3{~2BCbO~RdIGpb8Cv$ zTBbL?)bVrl@~IaWL{DErACC%h*=jrm3n-u=QmFCPmz9r#V)l0W}8stEgdScHjqk;B#s3n;cC$?-tG^CU?9l_p5aeghd2 zvhqyHqd@9*4bTirx*1r~2medo{cT?tp_$f(-G~QDWv#H@!vXAcuqYW#LnH2j7@kTH z5vYh(qtvT8wy++@fTtz#yGV zRY_K`r1eV6k(ljf72r6_3YLT@&jzvW7N`Z+mr_DAKl&D-rcn|3WT>-XusMj;T0;gL`f>x_$8AVOi%vsO1RXC!W5yUitm1_2>j%10`%$48V zXP>E6^MVEmdAJ=&zndRZt7a0pDZbL$H)6$FHE;G@l1iL3Y>gVNRWodn92`hlja1W` znI*1-fm>Ykmi4Yx&8VjE=*#5@Vzk3>LzPsf@h~CxOEsI0Zn+Wem>0=ZG9G*BEyUfC zzl#|#`bHuzsv!tHs0QEml>Fj5oi_RA#{4%0jJ`rt^Jo0V$369iNPQ^_T1yfK$Bnv& z!-i2I7PS|2X;jU&g^Or9920J2d~v2rqiQaKWn|kD(}bH9d9z$FMz&!@FcnW;k+;zW zV`LjV!gfWu!A8G^o^|bs#yMK|w5TPwg%p+HjeOXpGC9Q%-=Dr7@hfh+a%B7n=rOIVH>4QCqAycUU zWZV_7tF0}_cI@Bwd{d!7-vqEq``F@yWjpPU^F?!inK6Uf##yBb394c_y*!@kCyU++ z)x5K__x22*>s`)x_`AcyPrF5}hdw8uZYBZsslRLWSAHr?sV0Cu0TmUR0(0*dhjJBZ z3oa6AcLPnTaXouVxxvG42#A7B0fbxz_C!fpu~vR)8#*os@?PDYrnCs|$neS)kp*gN zJ~X8+Xh|~E=U2NPGPrqquOzywFA zrQiRX@S}sDq!`_pp|LQk*3`R z30)C8j7D{7qK{uaY6;Z@n4-P=O)jFqRpX6!*0i8_=iUWf)9I9#TdT#sXA!$YC%9kVHwp zm)q(5Ir=1R(x*#Vx+R0^^TSwsZf9F}e{J2gTQrBUx6?B8N$>n~KRcmV7dQ&3@??wx zZJpJT9v*-%1lUh;6m2o;NU;u+VHR9EI+MQ6+A_)kfcOvCc!>HuRl~b#t-K-kT8*q&08sK6>fJ@BhbN9bt%e z_j!iVdi^lI8ZJ3C?g0!3swcb+&?Y*0w<56QzzI-rYdNeClwzF;Vt_Zfs8NGCta~kp zQmiw<-Ga0jvZ8~nVnr#|`HFi?lNDqJxV)(Ka{Hqc>wHDbeuCNogafC@RAd&EVx0*B zORqUg5Hd@hF!!*EpXn>2-Y9Am)BE}~m{iSvA-7;KQ-zBNsBI8cU)|Q+qLoNeuwYx3 zHS<|YhSAr=h4jgINLx0)M+-IOJTXL1e);+!71Ch@>^2D~8J18C>+PnYhaa`Y9# zKAMmNp&_kgzCo}`2E|)}Mx{N^e20K61gejBXr<&S)p_Py6a=JbBvVXGJRKSf_-}Xg z4AFa_cnIz(cCPZkJmK;?T5w zCo8ILFBI_;czjZUQaX?$G6S9h^T;EVWAoEFfD6U5rTx*ow5tv%k5oD!w z3s=*@d+jF3UGTD;AGg{IFk&^X-8?mI`5~k9$G_}LUjNr$U2CaRh8> zU>%z5njO*#+}C2)a=d_g8=xq-=BNT0SHF9%+B{Xx5htm5Ge?*UI7 zwWl@=pvH5-UAI$?A*fR`N^$5aURbU;gq86Ez$T)YU2vkpdt47qf9#WlRE0dgTIGJs z#|~9?ADMqcf5@l&)xg&x?2SyYnKp1aHXxx;3T?68@iJ8W86GvE5S#pgYDSS>$y+{|R% zc+RShp$mC&#xNRBHs&xE1GQvn-oWKeb7TqX&<$ zRfId;bYX5vbW{$ssSZ>%DZKTC=bx`@hCTxWOQ2R*UY;W8rPd>IlUJvQGG+BF$I$vW z-~NGr{$Ksw#f#C3xO*{c@AU?bh={h`X&6=WwZAZA2 z8;~mSJlC2%$yZ5YNtfL3aY6apfqRqbZIxttye|&mpTL#pdG32}Ap-dFB3yt0jX5(M zeYH1Qd;dk#UIe&O*7R7OpYlPTO>yMx)6k1>87~4q<`)6G`isDi@mLQ%p?)xb5wJ)5 zB4B-g5%}?mUxX8WUM~qEO?t`g=rORgSqo%6DmyP(isYxXSql(y!dDMJ2Y$zC$A}g= z`3dlADnDa!9>FI`Ix9a1j@dbWmUQ8y(`nri2J`@bdoLPWy{r80WuPUu>$Z z*@kK`@6YYnU)<2x)W@s1UwXBC6P{eslM2bD zk4_$JvNv`uU$6Ltg}$K=I8!(B(pe*T90978echitwre4r;I7XT<93p1f@4I>>Ad*D z2AXU%|7Yd3QlDp)y~E3^O3;np+A%QApmuh6#4!tL>1^RP!j{LlyRGl&O7VhZ|7Sx}U>t!RM~l;?_E^tGS_$9|6M16&jydbyH7 zDOMcyRcfE4N<39*r<+VVRVk-B;Z&!ao+!!b0j%Ym;0Bgf-x)$!c~Cb1A@tM;I2a_M zp-(2p$qB$POlGxN>DptN4l<4EYLSwdM#*qg-;3E&a|LseOj0r)VLIv)8&`)~e-tM`oAM$Q*U+)tB-$ht8n**20y1CSON(G}q!wcW|K5wsj& z1fd{sZCc6+lb3BH@&|^jM>}3?$+B(4De@dKJbby4@=RE=XP?Qo5tCLhP?kRiODilf z4xMe|4!=RljJwTJI2!Yck|8!DiY7fK=)`{a&avu0-=a}K&Fy9>t#Elsg|yh3H1H~k z@@Z@871H8IUjcigCY&s|`I?WKaLsg`*#Mwffja-zWDn>X)4WAh4&g+4VahE!$4vjouljrd<$y=qqa7>S`+SYqD3Lf}p_T?El8E>!_=PnvTQf*HJmVgM$y zaY@X4?Xgs5@CuTJTP@;kH{?#N&oYBofWTTZ$mb9Dq3-GE)!kVWyemIQ`6q*y%W6FS zi^{Awz)5X_o3A-)`it@82Q}$SGr<`%;=#Kb=M2)ce{pl|l{c0fbUB|?c7JBRy8R!B z>)T#Ep`b~rZh`HjR59vJ6&*Y+UzHnIR6yj$WjiI4SLBq5+%y8|5eO)YQE7kF26Aqk z(Q=g=7ZT)r!gX#OwE=MI#PM%~!s~oLz@FZSlBx(RXGUL+SdgyDD?VJGc8eJAz8tqm zV{}Pk>&F~&R}WJ^qoOf-*;kS)B~rL2;rh?L@HHR)QiSVTS8ic4m}tfBH;gM-uf@FQ zqBbp!m7^aPuy42I7}Od~Kz-qYX<7|uvebg~1B#$et08MnyJ9Mkkza<~pk&QKV_mZ% z{eZF}fX1+f6hw`_5llb=^xfDH7+UWZoRDw?D9hUnm<}BgJ#0b+lUc49grnvUGy@%~ zd?CdT@Uz?l=Bkp&KGbVYx5R2uGk_C$bhV}S_qa7K=DZGm`Op4ed=sDiJO3Ns#3wzi zp&2rIZfJ)PVcW9FGqFF{J_T_hBU;_44%<}OvRCnShws&dB<#>{Ngu}fIcq$bln&YqpN(wr%zCd-Z97M62sb4OP zlE9BXYNpi;zTfA{PsGS`u6)jwOAJ-Ymi-`Vj*%yqGiEb=J7)Ud{GN~gj;CV~k+K;% z+{?I)9_~4yRV^D@Z^fwER|fZTL9~zplO>gE%uQ_sX{KEeBsNU&vPizRAr`SB&9n<* z`Ve#FEI@0l7>sS&5bJ`7;+ckqQ3b7mx)QM>&9n=0&aIY!i>VAjn&~3ASgYaRZ#KeV zlMhWZ?Sd$}b7B#3VS7}|X;NcFG1D%I!T@@3DY;%*p*l&@OuHm114h%13!o^1B+2xq zO9C6fd9LtJ4olpn=IC^yTyo#RJJ3snqGKg_wn1l{8i8yJVbkc=`%gT{eTB4l*{=?H zJ)|D^IvpjqP~H@tO;7bCD_$;vOSB!qC}QOin!2Xn67lBUM$b<=2^Qy@VfBc{&q|#O zrALas#j}p+`G5!C zv|3$yJT+O3DM!CP*5rg^lYkrwtt$Reow{U)hIFwGKpzPXxss$hb;+1hx6)qxN-~!o zQA_1`Y#e>LY9=;~s#EGY)jSp>IU+c|XtJ1DL+YNnh?tb*(0H~`w(&_8dD$Vtvp&6f zeVRbR>6HdZShQ_`gsaU7x>3+a_MVLBMv7J?tqIGE>YcXykm~*M&-?f{{8p%5O;xxj zYl#c-BB2ME9^!B0yz@RBqxWV%E zslb`2_9$HpyyGT~1cU}vuiqRTly;GR^sYaeDBm485Ug`i5>9&a%e4UFfKR}8geM0R zQgIsf4OhM~lf>z9_$JoGe25wviu24jC?Kq;8}uY|N-!X-yHx|99W@cEpZh5^RsIe9 zB+SN;)2&N6#H93nSLl;$Ut%>dQ8pIia%&(KHyuUzs(U^O$8PP)@y*aDO7~HycuWck zwehI6zLd}>Jupe*0BjbxIBN~q zyWr$}q#)=d)nJ5Rve$5^K?lHa{jk*e#(jeIzE*|oQ+(Yq69>xp05M!xgB{)d%ob@Fm`uS z)~4N}R*%k8)^29X+82N5H+}opM@*tOqqRjCuBnx1K&?;G)9-iqpXZGf1{Rhh+oF>^ z_SqJNb;FQ)^`m3O$`-|R>OSFpP6y5O^mju~uTelGkB&wKVofaoxH8PT<>7Uo<7p(_ zE79KQ4lffsy+;84cV?%*S$2A~l&3ddvPJMOO121<4n!??<|9mv6Rv09n5uuz|MF@7 zaGdDk)Qsqyk-)4fQn;c{IRGch`J$6EqI158kSM9^5&Y9adU84f09~ZC5hnm6)k_0a z*@)EwjQEn_*hv3P&e`OA5k^Y_ZbU(Vh3YiWq{t)boCctqzPUkmRZm5z!m5~6Z;

    9+@i_2UM_ zX4_aQAG;OmG|h_HHlpNU{8OC3+z4jd2r&+QMj2E&-hwGOZUh_x(+28)f-&OdxbsUMOb!<4vLqqU! zV%5%2KK7NNd_s_e??{%q0U-bsMIMPH>d__*rO{TH(a{LX6KR479mqq?Zi$t(;>Dpw zJ(?hpgG3oZumfjzv!bX+6GR)}N^23@jZrDbit=pxp_hQ-NYP>gv|+QNs7Dh-)m6E& z59{;sGzJ; zHKo>dx^GUV*uQwW)Nnavtt%8#MWZ(Vck5-80ev_36yArPLVJuyeBNF;WwO50_i1GL z)}>~u@cNUJFgaNnP8d)sqb76BV-ia2kf^@2gridcSR5uRaeA7z4jcb?_BV(Tqr3zCL$Pc z!@k0gp}uoLU^#(^mz)Yo-0L4hedmHU*-79f=>Dn&Mc=uk!9$5;-^fJN_%)+iOg*MV zh^|U#nbg*C6*i)Xbg8>Sgy_*~T()Om>~98zG__sz;F1HS;|i0<)>dQj+*e~&6!BB- zkUcIqa1}0^)z~}r_;9{>mZBOAN|bZDswG%R9-G8#;jsy1=KN%@J;Ea@=Gq!;+VVph z?7`EIfBlO-G(0xJe7Od5{Mi;z0MTGwbjFi?oE2$-5qVL-4b zQy6lbngd$!I~{K_%>nJn^l=Vow{V(7)xotR!5p+~oMR4XF;dLhT%d2;m@)^n7(x<2%fI1ZCR`H1!yCsLpN`(QVZJHaJV}A@v<4hT*C=gh* zw_X*Yt=X*wiv-C{pMq3^I=jO-I%mUiO@NkqM^BIP1t>#_m;$K+X8QKB8R=QZh&mWg zc}y^%sD0m*mBP*wIT;Lg#hQSK_EDVaX~r~@J-v`Ex}i7-sDA7_|c}TH~KcxI<6G^5#TsI}tdq_`B z8WFDPutf8GR?LX2l0C8=wpRE~VpAu`#r4A=6Flh2b-~29dbxHq2_~;puFEY?gzHT@ z!8nd8Sv5B41m~Mw7fw2akr5BRY3go_5lmmqXS>swYXkj?<){;Qi!@3KlSqmt#0k!V zbXi;wqn_!hLrX1?c4$_l16~9dD^0?7dyOXYisFE~B>2@x977LqV~vsa6|RqXl|}N< zp%I;Dxj`V%99+CgmxLh3s8Djecs7X$f&K=&i^H{dyRPt{zeGhuj;|UG8zO zqpN)XJ6Dz7c%xM%z}1*5^vC)Uwm=gXa5dDNw%35G*?M8ID;~_S)=hik3~9eRNc*%~ z)MkNZNc+t|+Q0Je{PNd-#j8suO>c(RKJC_k3S4N$X~<8{9^NU21cEEj2Q=m9LrZpRKatr98LDSlr$jXqTo}rnK z0Ush8awJHY$`K!;Z=M-F`i0!0mLe^&XvdXwMrHDu9&$;PQq<&wxxtFV_7zP&VZSl zgpOVwPbOF(n)3!d4y&Y`(CTX)?S&}7nwO`uZJY24`c&Q^&^7B5|31l zZ9hfC9q#))w!i+Xue%~wZC2?JsFcddY9XYjIF1!f zr4T3tpH(^l0j0X~6;C2SMNio|o&*a-+220u~L8VCqCyFwgH`28{UhL zwDu@rk8t9IYpe5{+Q*6M&*?8dD0SAVXxJ${13Jxi+SjR_8WFr|Lf&aPn)U*4Y zQl|$Yw8$&w_y{qcA>uJWqs@pWH|Zf@@a$+~{bkuZbdHY@8EB#tmF(W-Ig;oE-)MX6 zBZ*GTiE6K89Un!alfhSxdM3vmqIp!Y8FT?P;Yx#2BgsF2ctgMNYOvRWLwm`md#Xx3 z3dud&YzOqBiTSgm`afP(*?n12IMG}7<8Coo8r*apDu_| zJj_@jCykWHDXb|7h^Uc8uy<(cPhSziEMcxdtn78dPW~~}pDwtAX2*(zwk!MNelkhw-v8a{Ix2Ic( zb41C#g{yw_QPZ!M*DxZw(U6Czr8YO<^mIQJ?Rf&aEKno%;a#PWqdUAz)J6j_RNI~+ z<(pitk*r{~cOm*g<`KII6iV`<&gwJU2MDRsi;Z$k`nG#8A;ckG(%<6QMYzA! z8Ph!@w`g_|ZihtV9UuOO|NYm#W&|l>0Xox>DHJXF>~7 z1XFez!73BFA*_HaE-)FV5zLuT<1Z1UNDr2pGockMwZ%*1Oy~~NDDY#3VW~M2igs2I zp-8p?ERoGQ)|pUrm}!H~a=X*UdrQK!kWUN@;;ca6Dh6OGRVEZz*QMkUh;?m$k2Df_ zo*M4mQrASMZVR84Mk1F)E}7UD!bsUCRNu!6DY7TEyhvj8UdA@xAgrhvXh3a+4ko9n zrIE;wj%&1$tJG~aLc(83=39hONj9W-edu4$e2+MH30H|Ym3>cAh&%k~JG3cF?udw% z`WYT|k0Np5U2*-yvN|#0AnEq8{IY)A^O25bOUy-jS7I%YeSGXpiL;;SxTAVE;& zO>P>u17w2EAVx67YlA1U#`nSdGSW)47En#v|8N0)miLzq!Z<#5$j5InJ5mmJkjo=n zEvTsTyMXO+A2GXhqN))gGlq7?(7y2E+oWOY{TsJ(_5c0y|Fw)}7U4x)im`yL z@%dY*zP5tYiT$KKTUBL{b4O+1+t42&&IgU1LAEEKf=oExHxYWhmz=azbfzXcUg3_)=pNPYj?3-+d zeS_}>R*iH(l!hx1rgnLUeUk08e>3X^q#S3#REToTAXI=l&*D{+)LJ^7y44iEG9a>w z`jo5!zxL<i2r@hBf{F2SB`}^$HcCC_g2DKS)SqS^ zV@2vQ7u*HoCz|b>LsO5rARz3{__?smU#uv3k{;nF7>^jI1dZpj&rpx~ie2IRM2jBt zX<1ORqeT+wKItXf8cwv5lq88Ad=KI*96*;mU_hJHqM^z)n-{-FX_ibf zUrR(_j8$B6G*&5!Q*~fd5-plk73VEclIo!?c;N}zKUlxoX>vaDcfy&S)N~PqU zdxriO$f!0Jk=P>Tx_XR#ZSa8L;~b1TJJzabN#-!70}7E(3Vvieq6H;Fir@#q$XTSy z>}ao&iwHN)>7Z+4F?nfAat~MQS`G5{;Erg#oa-vmP*u&zY}zl2x&VnjNQAtf6M8U5m%pfm^(H zp857*fF_4H0GPGeNn>3F_M(X>=jjc{gUpK64LZrJNF(8Ef|B+GvtqtQCzusM-IVVU zCVc{7CQvh&N7$46iz=uY3>3)RA;}pUnw+u|dvKQJQR8vfP_wXam*b6jY8X8SWm{D{ zpYbW_Z`r*lp#LGiIR1_1G-ab&n*{~h&?C)IGl7<+Y}gDn6C_jNXEsPvad$@eciwNx z<)(H3ThOt!x~NiGC1TlEGZw(%Mzm(Qof4;l=v+0=;yV5HD+2g+%kN<1_#n1b|%P^jrRQ39^ zrC7m9wIl~PoCqYzm_E#<4(S533dXjurw+_S6kwSP9ypZ_E28FMtWS0@#1K_ErWAMv zW+Kvf`ZcXr(L(TJ-x+wt46<8S>ZAOFTv!QijJNDrVL zP2BB(C)RKm9Yqq&*;iIFD}r03bS2V0tSsycK?cw+2t+h$Z$xZh*cP!J8S_lVPz2YQ ztZuQp6ydTU185h7V&Q~y1o^07wdY7X?t*|jDqr*-v$@(s(~cKGMWZ8zU2mn~Dj%A5 z+y!?_I1v);u9ucdx36${DgZYdvfvsB%3Ro!@{$#R8;NX&`63BwruqU2n8=TgUN}}C z$*A2Ol+!aEonDqpVwfB$IC0TeP7~^qqZXg$Q0;gC!gbpW|6p}bU4zW^Z~x-WRJr+8 zm)rE`jfGK;Pg9Kf6d65ejShDk-zLxJwyZZ23H0)}W!Y0ZVlsNs`P5q8wB=ii#9H1b zf902c@^cXtX>Qn~qEf6nRe8&`yj{0k3#1QBYrqXq2Y6MhspT!eIKvkkv%xa>N%w@z}%iApp1{J}jb z3P3TOv0?>40AyZ(=|EGU$)`R?(Wd1>c6*$gRQ+(ND#=OuOtcA=gnUrVG|aniTFXh? zptZ_wp^{YSeIds}~lhSmNs6D)~3W8f7q$`PPk7+gHd%jxiQTkl*QH%Bga z7r9KkMXjBfOMf?0`g{19zx5NNr9be)Ye2GJtppjKD^^wMB%Nb;Bwg2qW80ogjEQaA zwr$&<*tTukww+9D+h0HL_ouI_I;Z+acb~4Rz1O;z9b}0*yaPt4V?7v?_iM#t*r_0u z7S~c=Q#BKq(-~r22F)L0P?THcKF%YdlZ9P`QZiEl?+5>#<-qnw$bSUx4C=~*|NJ}3 zo-di&A6rL*4dKQ64aNM4 ziWox0>UIrxT%MfBG)$hxh$kpiHG5A{draB%Odtv)40CjLz75R{h?=^y?-_wB#7 zQ?@L{OmT1fqgt%RrCf!H{6mD;dS(UoUG#q|j&iW~%g%2#)$ax}T4DQ_K0^_-eRMcA zN~T7^s$!^aC}-6weiTjzc&c$}WoMUT5H8`vAypta^m>jF?N@&miZZN#zw^0}9HWIH!qOIAAu|dx8_DNpTHJqf!80hg z0qw(bhL6k%!!X!Gv_NNcI)e~6wNfI7JXTS}LcO>VC|UdBl!`;9Qny%g=>FAg$CiEX zej$ur%&cm3a!zsefF{Y4*(-{`dF)7Yapl%;wP+sPot1p6GVOrL3s4Pmm* z)8?{pq6cOhgTy0lw&*k-jnI4b^Cu51=LXysU$*kyB$J?FSKjrj61w!n(@mE5F&c?K zAx&BL7~+AsCkE}BlK&!~JQ}vwhKAq?!K-#}8VK}}W@A!wk!&7zJygxE?y(YQ# zkpwqpC9h9D=V!lKYd|my*$S%dpx?l(to&#+7$D5XicEK?%=WUE7Jq# zI~#`|+^_+2b(54T?Erx|$sxMDU1-ok;uI~&hGrFGx8`PDxAUX78$YVd3L(J27PneP zT=|wASC4_45q*_boO)B+GEa!3%FbIpHyIt84IODmfSm|6y+9qUs+!M4OM2|-1Tqnl z--}r_JB=c(o?~z^bhHVTTJd5>(~=8ltk0V_to{zyHQBJ?3LybmFcb*!F?kcgWKLjc zI)_&VsvOwy%@-FUagxP&mR~lc&jqlE*Of?n4!;Py?WYCV_9H(s2{#s^1#>E;ZM6W{xO|YTL`0^;gLQGV2IC0`Q zI*Tk`@N>IevDiR_l!PKYGEXk17$m8pqNDHZyvYemYbE$9AIH-yg}&uYlSvmu_Ea|h zL*$w90b^TN>o5Jz|0gF`xY_JY`-z=g;f6Mx^9rMx%yeXT3-0^4`Df(CX9ss=>QUlC zYtK$rV|PM2m$3r<`#4Eq+Vs8XzZXIRFnB^j!RqoUQ=EKUE+ERbls@vy+-YZr16ckZ z5Es@+8vyI6#4R(#Q0Ik0fC^(R`ld{xxE+_d^iJfERHcr!_}y+4HNlciY#<5m1&^su z$#}qC>D`&g5u#&v=DJh0aeK|v4cNs5ZeSQ zI(1voi_K7kp_t6wF(xBzAz)n(qB|Ur-S?g^H~rttK>DQ7lQM}Rtr-WSkjVfJOH0W~ ziNM?#zD!iI^b~GRf@&@f@#t~U-s4ip^6`3qQj$ffcem)TIB zOjg`>kw#2=F5SLX-~QOH_d;DA`{qH?(W?NZQT;AG*0 zLWN9WHHl4BNxHrju@gx5nxnZi-j$ZZl6Npofz;E!6OQXXNgB!{_EBv|VJ8F~91F3K zyP8>sR6I9(kUAo8%v0-faHnww6)w!qXEnAm66tUKC|TtM)3F1R$^@e&E;i~njyFlk zoy}T#H0}ToTfbOBjmRR|6+#0V#kXn13VDg!V{tJ4@vjO9<7kL)OW$gGk3fl9k})NW zPi^@G4hV&cB)>K=igDhoNfWQdc7N<7=OA664BbUsI&_IBI~w$HQbMUtE0XQ2CoBej zrxN`R;Ep@#et<{f1M0soJ3Y|uTTJhRVV4_5s^bnCvtL+1*wJXdF_zwAK%^DukNPxD z#c(w66t7^b?!H&O-&FEy3Eu}4VGxkD1Q_tE1z2OOKTsAJsxz4?tI{NaW$6W_>U-Ux zu_|BWsO2XR((U?wARp}e23@MTiKdM+v8H%$Hl2DuZGl`R&n^|k(6cKg4Gj43^%XXZe_xmjPW0JYS`=S;#?Ct31%ke?UsOR{(?)MUKJ3$=J#U$R0a&qiOB8cV) z04}Kb;h=1A?Oa@MB`mTMxV{?Yjpm#bNWMQu$tjX;78!^=;{eMp8fh)Z3VnAG!Bl^C z!{OvqmF6@3WjR{H*b%p}kfJ2GzPe>(%=*Xx9v^4rE1exH=sCKjCO_Yl&@MG|E;t2M zem(

    {7Ez1#0w zP`i8+u?X$7`2#mZGIAmoX~%EPLkA_gh~TR!VG3VWROAMS4yKG^daV(f2prTylL?`M zL?ZUYkAwY`%(=af>g{d zxCft;AgYi z4{rZrVa?zK!w6X7Q(lyx(LYVs-5+ z*ed$mE_gTsOGrWBDvet(1m%sE^YRt5cugi)iZX`-I>E$?4?!AU zKQ!`nicv(&VdHp1kcQU=Z5fKE#H;qa{kcQUWq)j(xAc%>G&DCh%n_YK@96o*^o+X$aTS+36eNDuSEL$NWTCZfyy?=$9 zqkV7wTLQzX09sI|u)r0Pqj3%`q*y?~GUu(4*2HXDeF3-XkAPy%%jUCjz70Q=6Dr#F z!+ni<-d_&*ti6Mj{Pfq~|MF)}&-S8Q1A;4W3+O!+SDPM(^l&f0JBmk@Z^3cZe{j;% zy#SI}S@O{SYEv)Mn(6UgblbHe_4jBJ=sjZT`9^yo{YgGJ%Z)Iw#N&V~N)I^j)R^ygY&v41*dE$vp61BM-JmgL=-(=Ci3@8XT&6X#k7PFAX=(cxg@{pxcf{0XV1H zZf*CN>s)Y^$(2La$6$18A5zIi~X2>=X%oPH6)5QC-9X>4(xXk<&W(D>< zp3}l8#0P1)rlU~-&gu8t$U7WAM-Raam~Q2wL!kQoWIGNBfbydun1K_{Ap#N1)%6SL zINd+lPRGajB5PNEKLDPAJ5Cq86-AgXnA@?xba=+5K3-n?y|2HPZvp|GbR`hb2!{?) z3dZIJL9Xd zsx!KAT=qLRFWa@Cxwz}xRf*W4e^(el^zYuuyP`42k)5mjVKo4mc2_8E0508?x9HwU zaNSFw*Ojn^-}B^Kw@*YBM`Qe6vJef30y5a+Eo^gKj9EOz1wwK5Cqe@5e0@|P8J?fPmppCeW3QxIaz4jzQA5#c81nmVnymMKQyVF-~z$; zlxcJ!NX=3NmmBtyQji$6Irs?nn0^v@)I-^2tPr#!!Z!dT-uaCte9>jROPzrV&V3ln%*_Q$nq4;%Tt~Hl~4WlKlfs&Q!V0n3dl-2NX1Spid%|< zgF05$RcdRPI`tJ#R?n$UUGQY}ocG2BPgc*VPF*lc!viI3s?#EPs)9{*>Vj5g7tTM4 zW}}3RSehu>BDq6<3{@XE|BwQsS7~1{kC2PvM>GdZq6&>S2H{PTbk)n6n@$P0Kw{V< zoE3HIlE5F3P6J(87@({@8Y?k*P*Mv*b zUVOYlT~XUT62ewWEpfsu44tDrkv##TIPHHY_}uNW9#M~1*1pr0AJV?R<0pS&_tuXe zr3l%QD36THl7kgV5rV8*BS`J*f;OuN zD=rT`b`ok|7X;S=dCj0OkYI0{9jJYaAiQVE{qK%D8&zaqK?73zx?t*xSXVI+gI7HaU}hzcfS@Bst_Fsf-}_<)I!mHygiF=DLuSt%eaRoJY0hs5PUCSC8FET>6$wzqdRt znnZQm{uahN+B7v>TL)+Rzf=H+)&%KlPUsTG^1|tAoyVe_>cbKAx1NRNbz`sn@Eq_< z&7GS$|0^vMHG8ah%h`JI;Y~R{q|Q)>s?9~k%cg0%WbXL=zfiTs{!Q$mwTBXHU=z+-0y8Zq94haQ-AMyu|E9bkl#$Rk3r zO{L`=B2-R{MWYGK=SZDeRz#W)9tBf_J20=+f;orSp@6JeNtq{B3syPAJyNEU8H8FC zvbTKfDu-yspX1I}{cIyuv7aWI!{|^@axL6-)tdS4ux>2pi8aNKtR!=a*M1|e3I6Ej z(j_faj=rO3BuCdNn$?>52JMcF+$afGx_yWQ#8=kD+yMQRkQio}y;?KhBET}4=B2}X z_OP{L?F%Q}xH}UrN9D_KsqhVw5aM$6Fm`QMESwngW^z}o3g1L8tsd-Tsx$@bZS1YF zbTZ}&VF+Um>s`4!Y-c?ySQhsvya&<m0O6>b=-*sKIS9 z{*-11$z%0iVnvDTY(;#J41>53;L;FF7J}4ZWksAMJS_SeYsoI>tOpw>f|QmG4$M*3=oj8UQ(w=kIN#0*taQ#MwRyX61Ow)p_%}+NLN4BimzEJp$ZM@CM3wkvDOv~6ag|97Q7Np-ywr~Prh+1#-dTA4eAJ*NHX@236hRJ;B<#oS>Nnfmk1 zojsqkxl6R`GtZOu8VG4)o#^R9uxMtDdtE=`wJs^Ss?0pR?_KA4`V;@^tDpU%2-X#U z>lJE4<65&20im014|Xl)&S3C+0FR|cg7#%;i4H`snL8J}Qj>z_wjCpEbBt_75|c|& z0W|~b7!N@)cYf#%h@ulXN{PNk{TB-H4t#)(Ms!UKG4CB++!6ngl*|QbT@*fgn0PTcentD8R??5?rKqoc)O#rA zSlx(o$C0X!aD(-La0}v-p6XB?Ku>4icUh_$LE~TyfR7rBtr2#%*z%Bu#qE`^5s_B; zc&Y0omqfP1M7I(7+2!j;jmUAnKv5KsGBWsQ&FPWyT3n7gB;jHRM*a#ehCbhySOo_X z%@OtVa1G$-3E>)~CX{Swv|X)@+@d+6o_>qYiKsvHp?Cjx$;D7Shr1Y#i2@`*t=JKT zaSdzjDVz{8q7Xb(k^W(JK9D)_fs*E#7S-Qnz(S(&1wagDqxCO*lBI;O?7R&_^ zCM&uEJy38Vn9d79x)?5qv^mrKFy0-2&tm37kS>M`9ss^jV8?D_y;31a?Og=-8#bw7 zMNCamHz)+f#c)YfNfiGJJuWlwE(O71=nKbg5H7bga79`(gW!+~=gmPldPI%Cx$p`E z2d~cIArA%7_5&hy-L z(jJp(mkMI&KoHaj=A=D=d6qff33fE+;w4D7>^>~ALJki=rqj-6!76Ew-YQ)YX!G{a zlEttUQ3N0-1G4+T9%$X&FJ{yx(#(miy4JxBX8kgWn&a>EU_MTbhtK~-Y#pES_xv2+ z41J=+oV2e(;2TfcYbWpS9vGmdgssozJhEC|Oc*~hZ+racoV{QaWb+iq}ADTi$+v_!gupCjTDKx(a zoe3*I&tY563)TufmI=4cy`I@)z< z`w|M+d@(uNM$w+^vlPVi*5+bzw2f9SWDF<>qQN?jY+BA>&5sfpv*}CI-*J+X(D%zh zTP{F%jCWT9+^#v=-jUN)k4}fB{>`gs8;O4<8-$-CY5IKSQXlrCqbS0$wK)3r2o9UP z=BO8-_PQAcA=XvDF=)P1tqjd^QoEiL@Unkvyv_U%;@E~|hbKYkc_H;~9|9>ito(e8mjcDsYz5|+wLRYP`?%{~e(kGX zbJ~fC*woX$;>n5Fk`;BylOwT3PrKylnb_3RE_r$;HUmnRwB$CLsZerOD{5b{F_~FW zmjs?#6T)a?vliwg$#=^oLE50)bg)3i2bd)J+PNf$dutmT5aMdhTyj+RQzNQYKEFXL zYiwO(x&a9DQif~i<>Iu4nI4J(D1v7(YnkFN=;dSp=7i`qCPWbu(m(U3@jkYI8B=?} z(YEy_0pOVlYC`pIZrFO-huS{%&KTFHR7K9B1m2?b)f7DKwP#Q52^dLm&)L(P$)0}u z^RNBYhhrflqBZnDF%n!Yr9hiwja;BTZgvcbG-PbUms|ln842c)5qT6(~AA5^ST@Je41ued|y3LyammrD@u)hkl91DpZDJ?09wg1k>I z2xbzJo}d+TwFRMZy`n_@R*wSLID0m+;tpIGjbJWZVde%0w@OD$uYZNA*AIq5(n~EM1?kXv#%ko#0ElISHHWH7!7aVY(4^^GMpxD51XU-&l)Wz_s;;L= z=l;>#>mQwVi&|?mvtL{f`^6u9<3Im5KR+zGdLEwF^>R#5K8~PW!L-Yf19aDy!kUnS zg&a(Q@DO+r2*Sjf!LozFwB=w5<1y5%Vmy~v^t@v7ybwxk$jOUCZ+xIeteAr-EXYCQ z6x`c9-w@2f6e@lO`2>M(8+GMis>~6=jPsFT3KInM*kEg@9dsAk6dUqRCr`@92p59Dy z@^e1!^`G_Q9~W#=dpfrsA!8CGw(u6O$m+wO5(z*>L)urcetc0~5J4pc;Uq7&K0{L1 zfFSLu3$hIbSxknhYXf4&inOO8xB~QoVJc=5)rWor3#4}DL^iFlD{i0sZ}WArZ2mp} zUR6fVt>*o)&~Bh&wqJBf=^hsqg^tAg$;99#LeEQ4(Xcp`hSm_hl5bRUjb=m`a@wTv z(MT%2&FjhB#cUGWXq4M&|E^|yfJHsWK+>m*#pI_c=0(bm=l3SsLwc@tnGg(8-T6B( zvV5xOFl&qp1tJm6hC<7C7+tZ zoxS9fW4J3Xxl9Wmn!;TXqhHq)?xHq^Ke%>L4RN`bOgVLe0rf6RHTp;eZY9-!B0)8K z$uD6qd8!_}nukX{_O+k=_n&=#=rK*bxOZZS7~q|Rz8l&0jaK|3MXcUQ5k%LAWZQuP zk`aGdu*%<;Y&+s#C7iT1EZX-Kks60TL^lSY)4Kb^=rc+hd#fB40Ogto6)WcaJ?e{= zZC|0ywaK=N(egw;NWL{Oj&o=e{W*W%fxTKvl0fJ7dS0shJ@8A+%9AAt19PflkNR3g z=Ok{%;ufjG3U^Dl>m0lO+aoG9*O30V(Rt;B{CoZ#l8Jw#M4FP|s?7hQhjUh8cR_{O z+ce@U^%Cb(RoJxUhg`8w`P5(il;0ib4_&BLVWk9lSSoo-)=ZKKvA6!DuB%j7DM3Ql zwVFx%Y+JFEAhCnYf60d)EZ1UaA-vkHq6B--uTLsu#+ zN|1?Ic;$#w1>3PJ zfhsmpf;>M`ou48PWouY7ldS6}U zFX_S-Oj5}H!Dodyj6BZb0%Jb>fd02RaI;CNgd1}Y%>P2w%#5HPc}7rscyG!No3{Lr zANIMQ_FLZdo1=c16AvGjU>2?-pi4u^jcBCoJyd?!aiybI!Q?NEn^~~(!>oJwov+Y@xpYm!VhYv%g{J_x&_H45^rWWGWBLimiq7Cp&)hl25Wj}xiZoUGwR z)25(Xw~bioq3Qafk^yW})qF0elIv*ytA}x7iKN$Wmlt{f^VW=jC5s{w|1s4_i2pMS zqm-7TDgq4Lc{5wZC5!z@19?slCkGeg8V+e7tJ&}n02(vOGO`^W;u`0hrzR57TUCJ| zQ18#}*k5=WV^e>g;&5W~N?k#B*5UlRZ~d%advdffRJ-WuM=pEL9OLogqb--zG!WbX z#)CIFyNB7~gfFKAeCX%wt(YB7=q__%K~!J26KYD)4}GP^P>G)NIcA45Pc0Ot`YcF0 z;D^qQp}mWdc-$qyAqb*k*a3_gl9XazQtW_Ba%>GGIg1ePe)CI;9Vn9ED-h%|esk;a zu&#t<*0##b_;bKKVzgkx*dlyKub6^frZXCQ@AG-1`FcBWXrJN+9=2nvUQhOU7 z+rey$cLA>5hNJ;LL#CZTH!OS~t_hOi_B1Q+0`{MMvyfaV>tOuolk9s0O%Kmy+;382 zYIYyJ$+KBDouKjTn|;sRg3hzAZo&Tfww_A2;dBADg@s|V&1qa$6XCJMa8BY&Bf<#S z81Pj%xDli>NqUfdDKI*QNEHqa0(HQ}?G$0*e5<*^2pFqTL^4=62Yh_hWB)1bp66Ex zrrC{Ngx$p(b#Sc}|Vwn*7i{MF`XsOZos=kfz-)rt5Y}$bZD-I*oMWswIK!D`KXe_y9*_ z&9#~qf?CKo$!?8YGmR?-7g#e>lB8+(g9AVgJ55QbF!fZDBu%?ZBDoLP7bHPfB_OPP zJ(@uF^IT!)p=HT2<1uT{MxFQ7Lt=(5H;FMsl@5$Rr(x&t>g*h#BpRPdblmm7WiLX z-Sfq^WzK?ZJvxhsNePM z>h(@R1WA$(Ns+|B9dSs2;1&j|xbR8lJDKH6G6-RzC!wv~TKnH(we3T$3lytuAA;c| zY;An<75#5~6QA@Tb?2Lx0NTGZvhX#`W1N$BxEW-jJjN|02Uo?fFHZiRz2>PFd(YLw+5$9l36`s*e!5NRQJH< zv|3!^HUBMHQHqQ2X0{Y-MM!h#)qg8bV+w zNL7dk6i&n_Ljw8H0s@p^MI#Y~N-;=6L6tFrP$?wOvwq&S-naYf?r)#H_c`~{PfXGUS41QgaD z=qFE`e%F8hdB5+sg*NT)D8`UtstaB~u_RL%RIRwj zCC_&uRb|u=5LJvQ71uTVYYNRLNw^xk>``$l>cEezWdCHE(t)3{?iEVILyg6!d;$C% zd-^_6BITWWG#BDKu_j#8;^lQ7%{<<-J7(8SsX;VMTe<1ZPZ41-gB`vRtlip?43|SvminCoY z>%%lKXpOn>^@>>^4rRk^gHZ3EUBd=s)fv@`gS46H{S(O(#51Iih|~v3amYByt?NhNX6`eQGtc=b#3vN zDCQ!0-09dg5M*{Pva0Wfpbk^RnAE0`a#S@^VW|3v5M9&>cXU6vHqt|KATF}1*&e-G zswl)*^>Sw^O}_;HDK`?NNxcuX>(B9`sek8^xQ-;ObfU^!KJJJ$veJOX*Ep zzRw%|hBtr9$G;e5r-~x9Sm$B8LP-j7#Pw#=LYO%fgiZ`2#7Aw4dU}D-QRzAC7NF>E zAr4%I2m7&3W5vya{a7blt@hMZdVXrubFw~!86Kd7;*G{NW~{U59n{B|4<3RU>pUD* ztPWw&vbKSkAy{FZ7{S7v8YByAf0C?HK?RotFQQUMxa6qPQ#EA@FE#juw(;&hl{8?| zH4gta3a3(h+;9KjkY#_6o)_#{RL(I)MoxA)8IPPb-jks5>}fq$GNmvmo2ZwB{0z#4Bck%D$9$q28|-nR?*tY zYm=#)#uucWVXCu;pe1JWG+EO(EgIr@Zo0%P{XM; z{DqbX;qscJHoDkDwWPOPgb|j%OzqV3_LWXHw*QgLw1MY@b2=rCO3i$G_8YJ>$=LzQwkdvTv-9gjnSzZz zT`B`*eD_f&L2dMnC3bWKO4bN>K~B~P zg->Kh&@6Me4V#b3@FR)-xPE4fst#9B_SD%aZbx=XvR!GDZ*SsqK=;PpGVL;zF1_3` zTmzZ@@>es#r}avl)c?U% zmnxyt2^&>?+sH{bDo{36=uR@&9vAiKxS19FJRL0|dD3w-=t;-j?f9?T*JB_4pWgd# zzBihQ6^m#SHaon108oGi9RQKw^~=~zhK&aCr3@QkdN7KCh;^*kv0Ja0VWUAHih9h^ z6SV%G2BI=-ggiScV)$jCeOe5RdaSItLMx6SH{cGd@t7Jm+A6gsJ96ke*I%ZBjnGQ0 zdLHdS#vg8H>lCMNHgtvw8*$)rvnKsfzsx~&t13<(hPU$V8HTs={TYV0@(n_575loF z)GOQZR`@CtbF%Jgv?aWM=oEtPggl5y*^UnWESEox|pwQ29BP? zQ(U(7NDattwfyf%W7H(QPFoFBWL9NNT9%YN;|?=PQ+LF=rL*deWZZl5OrdAb@l4q{ zTv3_8Q$B}#awTHOfrtV&`bP+ z`C{j>Iz;hpq{gmDB8(MV&$(1;>ysqC7ncNGnhupln2Ed8oL!u6yUyrlUXO8UzmR-@5RqPFr{U3T&WiPv=1THn5J0;`*^QoZztq*o9=&$_h27xw-Gu#37$Vy2DYxl z45q#QHo&y=T?Tuy&vhB52Ab2B?*q*K@RvOQ+V@6%f-cmmYD5#-+9zu{X{p3$_^uI& zOMOD;3$({52~f94v)o2fDU-OI1~ z-Kz+SvI1TM+aposfU5oB zmZpJjD%x;A^E+?JwtvxZV4lwjdqERx?<-7q+yEVQ(l#yV^7~FN~92{Czm#x@EbJY zXw;{p?hpTcY%R&!gADJpY}AJd-(CYVwe`+5;uCxXsTi2Hd~1MMG4Sk9|DJF9_|Z%o zpek*Rf_iAnsdJ_cW4d&NIEQ@I4LxssLr&#jN}JXnBjMDklBV+XTnfl*jX%F9FP0NI zh-NfW0_!~{1TprqHK4(A9>?@HLkp18Hi9|RhVhb`-Us=WP~GPhsXYA}_lpCE*f9h- zbWUAm+K^&mwh6t^AgyXsmZCg;O-zu30N2F#X|J*>(8gE+DrDxRdYJd}-Pz*utl}It zsrlwo`BlyiQUq(^LV~Q7%=ZVaaE2w`R(ZH8ackewjhyAOf9ceF>AzbWE0w7IgGXtu z$wlYNzDvwzYjv~kxPIN29$$BLIZ9=JbGi6j`gLA{ZLsv~X-UdLHG<6TVol{O>A3UJ zD|;)>Ro-(1c6Sljv|H2~z&QfDnF#D7zV^TRsvis#RlSim0y`ejE-j=I3lvbmrsTkZ zX3CtHr%=bIl>W$5bq4Y!=M3f+i7btmFo&>Nv5LSDv`A?-NGdu5`RGKHQxDDKqZ8qR zD2-;h4x%71%xl@9?Si+FBQOx>Y6UkkN-`HUf9om&Ls<_DK2}7fH(i4)SVdr<_+i3} z=iW&Au99Sq->-6bz#kJ{E;%sZZ!`i^!)gWGC7>xEbhjm#Fkm&CST&EG*h>$A?Y0k3 zTt#D#>7JvoS8WtF8}hp|TW#S)!&x3T9WsBBt$k)n$k_9V6j?M-t^#CoOl#gV=+K>xk!S?2b&E|<~ z%!V8@YB_d~1-c-lZtQbq$PXI~BZPV0qgRay$$aX{kZ;%EffdQpUlr%BpwyBt#{8g; zOH@IrVC>hPE##FOAop<^avFUz<~kj(59(BbzHV*VQ`)a=>ruF*JO-cPRG}ixysbCN zoBvx4PW`Aae$D^>eL=6MVX4=X6m)I7quqosp6rR`D+*gyh1!UX`#hu#plQ9$vgu!gOHmzgTeR~#hArwVhyLDB&F zfG!As?KEiseLxpP+3@MkefoedIM}#P6;=cX`}UbmcgaCwe(?c|rtCPC(-Pz`8ae_FG;LBftM zk}cu?WM5TvU$=Zu)q6_)N1o@ZH+`fFWhl!2#d-K2HI>vTcG;Yw-Qze)r&53()hI83M{Tge_2OL$Vriu3tC<5UzUTQ1b2}QOBtw)o z<>!&7a1v;dIW__*u2@cPmpzpo!KPJ5HT72U2?2 zPi@4B!i_e{svSdR!LUL>Fj}{yELpYh)>3dxO-R=LTEAu04yqHaT-Yu^6x#?&c<;Z@ zamlg)o*RuRij(-a8C7q^9V{PQp{Z6{MF%H5Q{9)J2)mh`^cX@h9&-ww4J0N5xT3g zn=$GWr~&UHR%)<=9zu+6aRBtF);G{&aK7T@QedU>|aaXSV(2yp8FfA`^#D z8a{$WIK?+eKEgZ#hlR)~v_o@I9qDj8g*J4b)275l5$Pa~+L==zeM{-RM&DA}`zjzw z`--psf79=m*xGHPr!$wMpq3bcFx3x#L zW?t-+uL$vyjR=Z!SDG2x9s-1efG}xIRgz3jEUK1{up1(YIT)1|1#Fe?j?pUdI=fRU zYt5{5Nwi+8F6~>koTzNa93g?Nz$4I}y}CiDHiL~7f;h|;c`VvqRr+vqYEk>HrR^Wi ztCbG*9iMzb{~LSyK8>sW8Q{8kfJHNuVg2fqEcT?Y82J)54I^=@*|;&TiaQnM%-r8duv`BW?}2vQR5bRjOTsae}) zd5t27iVRWGpiDFV{16nIZA>By_6N1z#z9QP(sm*bXP}kJG`5MKp+lt!_ ze0QoJ&<%H(QKFPytY<{3ce zWh=xpNIo#*=Ac7^H*hT^%%0;Bjnqlx&PdqZAz{;QQEN12Briey7r`asuPOrxs-t&DQ`b%M!we!Huvh^XLystEEdRauYD9|jsAlTPH zsmYPyYtSl)F$qDw&Mp|VIR|oV(msVC&9VyyZO(#>GqoVivJ2)mP&8%Mf;7u6h)d(d zJ@$G4)olc6mP7D>BpU@6dmya!iZshb5RDm9UIIZWfyrM+%(6>@l+W8cS^QluDe-ILDXX@4ZTCVA`C+DPoKwn9U z9{P$I%wzF($vp}8%MvI>DK^tVJt+l)!8-^jqq+|DV@r5naR7QmEBfxX98b0*KxHRJ zu5LrM+T9NJ!Sz=3<*W1P)1@plzK;Gpo^wK7N_yL2<+m6$p6XIi8MwPfU5dR$BQ|A@ z#;4nq~?H0{ufBG$2PP#?Q1!3@y{(v9Vvu2FQMU)t&4z|NmxWnnNyHC8b5+i0Vb8BPdA+uWb)#piiB0AN@Qy%174n^aWm4a zOW8=iFrPX{;|O2jKQOtr-U|Ys1Kk{rAHY#0W)k_*&bYlCjRW1b=~Ms$+W0<4zUIO*;>iET1#U+8VH{At{yNuEqo^-vsY;Jpw)PxD`O@hbhe(%@Mh}? zgHV}UDDA4^LCi~iKvUuXgHl`Hsy1B6gYxlwXp*^Vt+$M+%NHz}k;>fX<2kJawb5by zVZE^*yk2->){A>j%XE%({$^T-IECqQP;EO0>U=8Oxxy$fG1~_;J~U>#vYiF;2FD={ zST?fRb`I9hWZP-e#`?==D%&|&J(FAGwl`2clk8tJeLn5!=Oz+?_#ZXMPfQb-8Q2n@ zy5U8bI=4@p7bXj)k_;{V+msf5war$%{M@ho{7;SmKpTa<4yJV0Z&xT-V~xogxh_!< zwhQh$e;^m!FOF>1C!xT0J4{gkZdm%vV0^Wzl~|EV%vU^6KvBB26{Cb8l~@rxfKQt% z?g1pWr=}8f!Q&d9zXWqh_ocC(i z;aHW!+Lc3P#wR^nKSP0QF8@1gu-CKkbXkQ8%-iNrf!j%C(fLoCH>1Jq5uTy6cfR;V z?@%!2?a#gIodpNO#)H_U7}@3Xr$Mqyf@C)frA@mpIWX#p;Xqjtbsd^?+AJk8mg_%hNsHhP z6b!UdsH_~G^S7b7qu?4%xXm@vl9m-QGzzDtAZkk+L0VE5#4IKh(ZlQ=FhyN^!)@2S z^UYo{GipXA7nZb^skV8xH9F%qtXO<%SB^b>pC~=t@w~V|02WA*c<>1+(t6p1G&N+S zK&q;-w$j*;%!OXKF z_WYc@m^fHzIeGa48I!Ep`5n#ne9q=BY}%7%?xx+M+1%ZZx%;SJ|NTGy-ZAtmsxHY2 zA{HX@JT!N<#X1odV<6AN-sVQILcfNvstWyDW6(W~6Z*%*=H!G>M!yD|g){m!*w;&) z=3i!ex~=z(Z>Z3(Qt%1Jg-(xKM!zsC@o3ac7%PI`C%(<71*O3eLsWfm>nVS)`av(1 z>GTD+_Ref)Z#S?m-*W&Z~l7wgr@M!J;nsGChM~v&+84ydMby z;PZ6GxMr8VOx(sSpU$bN!^)}CVAxJrkzMw~k^`0)fAkE7%`SV)@a_pKB-Lqw3X+bb zb7By{u?lrWBfgnmWDK%KO@AE)piehqCX^2#_R$Drb?kf{0cd?qG!a`+vtpfGKKrO% zRMpgFP!I*9J8jtOWej~)U02U72#qA4vI67hW_Mb?Pc7;RFk{F*oJ*|`XEXKa>aa&W zHh}{i{gIq{>*^y^ILFmz61)m+gs?4z3L{ByO=PD~0mv9!hLl8?w0MLXLUt6f!Ae4# zIQ~Y-jb$1f0PVBMlk8bOS3S;&_Pa~8PrF5}iJ4o*PE`nAK7US;+Owbb#>-_;bm+}! z&2)@qUvDi5V|UGRRJKJvA{)z&2%$Atm6e(D&@ zj+sWS1i*KFHbc|&`C=*nM2`e)lW7&b#Y0MT&R%SZJ zvcvXj-YZFpDmEtpaExP*i>Cj3)J)TisV(gCy2ie+(8<1Lo+DY}6*QJThcUCAzQJii zW~WoJTzZ$K@_fZ4vW|G25QDIK+#T_AZTN)cX;daI-`XFBIA8PL-|-p09=cPjt-Zk4 zn@lV#fp(phj7O}xa0t^B!P3{W;Z(M|Z-u(k1$P+ghGYZCh(P|v zsdt?z*9>u7aElqJGUsf&Id&R=s5^@w3V`L*oHNt*9#MC?Am_767}hsuhPu-Q(dny< z;Fz^`Xd8e;ce*4{c6_i4Zo*hwHyJo&Yh>rDF z+Jk?p^DE^$1oEYo)^vc{sb2GutbwZdX)&8xlniazznq%-+Fu+&1C;;MMfxYi>gDaP z$^rHd{e={rIL_iX7S}T^m+eDSo2;EeIV>WSm@+_!gAg}G9K=>4@G+-2N>Y(2j^cKT zqd=^UfWM?{7?)MW*dz3FN+FkyM^A56j6Fisy^_|abUfOFsyGw^^%v%LTz}hHB|lF| z86gNo1?2g0oV{GPWdo)y-)95<@q2#uQ+{pK1^~W-@(>%aYE^sIGNv{mxFLJxjo1Lp zqP}4pU_(RuW{q9X29%+pJ+sDY8!$9%tg-=Fvl~Tf((Ks=?6(W0>_fLqr=M1cY>H<0 zprv;*w4OO9d)!SI$#?M^cXd}sM4&ZBW!T3 zu6lcWg66!|>b6yt3Yb)!7ww>9K-bPf$AnoSX;q}ot3m=$ zFVtF<+o4rgPxAN%G?=FM9&SEMYJ#gA3B_g2A0X#EuGOQ?J zmkAm&vV4lI3fgQJf|BWQ!5u;n2`q?_Ko{-PiUOhdsdq=4_k)@v+OsSu?tuw{lvDjy zjC3@^7pE2o#aGx3-}WA*c|FNp9B*RhV3nzfV55LMVQ6Fbe3`LBk}S=1P(# zz)nGH%lc-tRID)nt(6pi&98HLAo&7fugzKvbhT!_JtYi4)1 zR+c1bDqJ##F|8a`OOpBifK!|?fY4kwba#{0x~jgw`;$&Kt)5cyvXe^D{|i-_j_cFq zdYb+iK=xhr>2y8HF&^7G5jixM(rh-G+!3Cn$x#bib*&51lVbq!WD-!*lElC#Kc{!3 zMTUrl+$l07ZS^SzK}=E=BO}PDV-Rjf3?dFj+LWKCAUnjl_NKap;X1wC5)zcXyLNK| zQZI=Bdns;9txsFNPpyCJPyOGYlG+nAVt2Lf@%tVwBlH15AoTi*HnLr&){9^y0_YUi zkO1|D3kJa#-0>DWpxSW3Aozke*b4F{yP%a>!4bxw169Jd3)h%o<}&dU99oiX%^)}L zyswxj!#GKx{xUfc5R_qSPPMEBD-dW0zHo)OG0eUFHWdi8E2LqowGdwCtCU(Nzf4>k zLm#2-l1i&eGDCErU(tp{jC~hxy^_qgr*u^#{n9}34G3g|R+%!;qP1$xe1neY3bE_N z$M#pscc{>y?HBM4TFHEi3Jn^_%cXI$I(v1G3Jn^DBeiQveUbm3L4$@~)b<|1XO0I1 z(Xhr|IJ>m9=CezUk*`U&3z0D!V4X$Pc8zzs&>E;U3B#w`!K|j+5!vYWAi0ir(_vBDG1U1=>X(F&dC|9 zB`c7Q-_!3;Cxk#{nPfXm(Ry_Oy_$|cULc&#$4j&yrW^-k%eKb#W>;XnydV@2IU5Mn z=jV2uU% z-&{*wY?qlk7u=x*TyZSS|Dj6Dt>DzBMNlyf)Yl$YHohQMVnaPjwb#3%RC+j-Tij|+jz;c>HGZe zb!Ajp_4l54wG3=}Rj)d?;^8?;iR31cDlSmy7)3gZj=?5?lk;_qJ-4@|W2PlG(#1GSxh@|#g`;p3ojs-vD7 z)ufKoz_r|%qR=+SpV!xv5pdwHuAV5gV31t_2)t4faBrqyfl4yg=DUXl3mNn^=& zs3g2g#@EXC44FmCI+Kc!oiYP0= z$=WF?pdli&G1cVGl>cosS2~N~pcGVn=CR#nf86IQ`rp2l(t9o2p%3aEVHlh;yytI#h*N^=?{dh;?9jv`ZF!aNq;Rl ziUi-*8-W0i4U{-_&j3H_EbkuKq7_7ZDh}{dy&CNn@;KUxXfFlw#T9o{hxC6!kUGm( z+^;s~C?WUMu1K9#1d$yAF3cuRUPHa2cxf&PcaFmYN%gWtk#8eeHF>TUM{DxjAVt_n z3K-(wXMH57hO=X?LM=H_V?MZYNbSL8`E*sO&IebHsy!&Vr3c;@fA!$BwVUB1<|uL>H6TM-XfR-7*+l9(_Vth@)BH@mjM5kUjl4;dbPX+ z_%|nqxT%)_OXj>PH`7ait8<@1UIK1E%1iK;lWzg1Ow@JkGTJ;@`A)VGwI6T*iyuN1 zx-#opDiVH(Y=>Jw&^G%qs{=vdbV3N=WsBowI~~6!XdLGU#*Ak?A3qENucgpF9S=~t zitiv$pP$=tegV~EbG^I31DTe?*Quhvb>k&%aJ?B&TW>EmSU*5)2vp~n?Kt6Pb+O4H z`ucdWsr*0))W_H1+GcaH$!^tVd9kU!KLqOI>+in-OrG!mW__`#+)4=4$8$TbA3s>$ z)W@p;Wcq8BP3+zH43zD?``Ek5$?HwhclYjNs|X``%}p1!O<$^KuV~|P-i_Qe->e+8 zx4rQ2;XBCgU6>8u_WTz;_ops!*YN+#@Xm^$j|mx*D+|8krROeRe&HQ2ymhTv@R1r{&VTYP zGK54ALm9>q`D76UPN9`)whMw(O)dz>2}Kk1j8= zaEaOgdM5Os)Zd5|sUchtlUcNl65g4NKLLVUz6^C#5d?R%UZd4xgy1v}22Ol}BrGYO z#*V4G;BNdlGV9=k{|!JQKKVZX8++oD@AJR0CqC)5&3UJ1VX#r5%;zG^BGO1tAO)m7 zx1m189`&itFL?RokUFWqLwlb#24MeY&U^MC-B$VsU6EY~Qu&ILhpV);+ZRA~eWKX|SZ0KigK+#|Evu2|(ifv55JAyjZQ z_%D9QI{$e@xtvG>E@^*BLCVY7Hz0-9HPI@gv;@U zu-a-V`%2nqU&ErNMb545c0=udn?+^Q_xayGnF&T6@w_||3mCn2gqh?RQt+#&SOotx zi#ggv^7XtV!lNcf8=3$nM=P`e)#6pOE8Ah#fsWkX#L)ZrwLm&>XXPZbS-(5FHB&mX zSx@BKL?zt!tl@}%96;*FW$E=sKCNyESCNrD$Qq~02x>E@xA*`Fs zQr3jxdCl_Ja{&70)0x1~+cnJ{85`hiDY}S!1q7g7+f-&9^#W{s6UmM{Q<-%j zvX<9dF3~cOf1$~dw%RsNmUV;eWPY#HVC!bp|Mru&!9L`UT2L4?eIRlDp*nNB^a>*1fA#T+Xo31xb~$N zFr)jqw|-$j*Mii}^M2DaHq#^3$6-{_huvD=%1rMN`bx;SSPKD1w!Ya+A3$iKiFLF>wJT;bJy-%< zndzYl;B2Nr{)9emTHn=DC_VBuW;2Z%24dF|&v#>Lq$k|7V3PBZYl_8w(U_@0y4lHn zy5{!f6d}t$G1YD#UOv?!T`tTmE&ujeTRlmQV!I`^zeu>+J2uZAC5=#(-XEz(7AG#^ zXRXokMC^TRqBi-?0^Ta|Tcgn#SoJp~^kb!4vuHNd%$5DQzx8=P^}~T5YKe?%Fe{ir z4cpX-Y179qY(ZJUItq|5wuviF@YObP9 zYQS5Qkj2`fRj9I8^`wR!#v>sx5Yyi=f!U%Dn*MCjccAUF>R~zyb>(!n=oXW}`l0}* zwyChwj7i+0qtP4ef?|DK0b;CN2wG&V@!GxN3 zOWV;%+dnv&gi|twFThFUQ!M)wnYb8Ar^cfm8$CBf-h4x3ve85q{N=x|oF2}sHydR- z60eFfo!KZ~zU{$d0}B7xUw-=2{*M3(wPCf>w7bEy3>rL;YBj8VYLrW#D|n)$5aiG^ z(v`2X3u5l74*dpIB1U}B;Mp$A=eZ!Ew4zUTm;eoCO{!b%)}^XK{3ij^7Qc6 zQBzBER7_{szQ(w!;XSuN#w=`LfVvDUt$oPI;S?)6MW$nE&BFE#u@G*R=q1rKT&|93 zicK^xmkxkaUr_~i%4zs4rSP#$+nqVL{E^dkxLyFyrI+YN!9tMMvh&5C`upTLfY&RI zHNsy)iRg`E^ZT>-)$UETwYJ_!bkzxfCoIp1%rAFj`8IeXl(_uRkN?K;Y1G@jwhux( zSgW7^K(&s`gs@iT7lQpdZtV@UA;`ePwm98L6AfFmuo833`XlQ&)Z-~}3e=}@5ypyH z$8Fae)(r@afYj&b6|;`pAto}_wH4y-*%e*c73L-!TWOk->l)Dgmj2KsUu3}G3c=G z-TeIL{LTPRwEe)-A>KqY*ytm5SJq>+A8PcGgsuw?HTqD8`Ki&>3`#q^^*B(xDr8l4 z4LEevVJ?W_Zzu^PE&(QQs|_oH)L|~TUv8DBbdM&Ywi$^!tO%;p$=c@yQWH|pR92)8 zbHU>y^cYcz6pfX%JtqF2^W%2~5qvwO0PUR|A|9Z*WJrZ7|C zh0M$<0w~?8$v?&e8k%Yh`G_>uV?O56Kd#+NNi<0lKC|MQG$SrD})4A8^ zW_pc&^SNL7)sOg~VDXU|G7e(cunRQ5g4>kztw& zA++cY8l5D{yo-cSn-I z<;UCuGm@{qWW9C1;iG|w+UlmIxL8@Wq7jgk9d4pkAV(wiFP6i&>dS6Cl1=ZW(bkjt z$RJtY{wX^lylCV(2GAENa!zi5fFl`wkw>$#ignR466&yv!Q>%kGZj5IX7rJZmLGLk zouEDOg*o`5s`3`9lYISr+mqdIz$E#3+zkNw!rlHB-p3Xip#ptDf%pCzPJ*pBVu3o5 z%j_iFos%%_7R^q=?UWyU*Pnal{?*v%s=g#xM|z&*f)%9b<-7AJW2R^h)k;QxQ!((m<1EV`X4kF0EOLc;vOHCv)mMQ`gLdl`hz;LWl>y_}(=m9TTo9QWL_7p95va8-o>aX>Q2F{H2wq67)3RNN_yoN4FS7(= zgRHpLIYXzqQTAYKm25PanXH;RR+I#cpZmDm9e}W+Mr@4{eo*^)$*O7ea9DA45s}kw z$7gFqR83{QtUT-mXC(3R^M5DJ#pPsBw`H%M#|BC~ zmcmzVC%w)WJ8cTQ;?dmG(yxnAL>y7JX7*f0NnHcvRfTX{%G=(-k>&f8_rLW4?|#4c zM&?P&wLJ6W)uvl8I*ap4=Ige0R^S^i+XWFm^d0+abo^+p#-(=f717v3R%uq_;-5r| z*)FRPa=}67Yq_)Dk21u3Eg`yJBL=-{;~)5cHS-jwmb>qQd$4Yc^4_}s3_$_cOmMft z&^;Ag$&7;ht*f$Ks5%>>f?{P&kHwO8*)HZs_sDy6T90kIt zdsIJ#H>}%rhobzO4?NM0JWbpF#Y=d5k+H1i__i2ivi2i|WGyqvD`jdI}St}`*7Hr!Xl;9;eS1+T31s+_{U zw(4iF3TqZr_J#DA_Q@c?k*=S-Vy=KIQvtUmO#LGCryFolN~1 zNJ`DkH@Dt=<8RD0n{Vv!6PnbU|7WxO7|rsuThxYybKT0#)UEu5zxtQ|hnK=E>p){S z%QoH^@vjiop>S-qfU%&Buw7=Bi{OznL{Vk3LxnbRzbr_z?1HfGjBionzd|uZBS_rM z1-F=IESTdCIH4M{I5o|(3+^$7Sb}xT2tpF8J~cyY7d#$GjZ2UhH6-nqp;>l8kW8>z z2iFLYQj2in)HKU32yS~qvcL(@|I>bJG0R0#M-O62)XcQ!7PIV<$HQ93^&#QZ41XUr z%VB*ZU>4KK9o-N7*El4{#Ufi7X4`lo@3X@Hj>q-p(P?a-J%^>14p~}Fs)ap6b|1eLszJ}sh)q+Eo$zr2XiSyr4&;b| zY_%`T87|-V6CakxI7#NtGh&_xKLCMJPxr<+urUo%@VWhNW8)wrv(D$iPi@{foNQ2A zTSJs)7ooJMIb3iDFI@8f7?#nQFTp3o1u^A`yq;Kb1?L%>Bd6-=w!5I!&rxaJ7`e2( zwWmJKovVv-?J6R4dha_yIq8aZwRFO9NT*|vF@@X{s|r9biJ(mTs3`nRnw+g&eYR0M0GO|)#@AH_uAJ4M5UQlw{@0x z1#=pDd8MeMhl{PQ{@`F}`m~@}Q_B?94Y~SbkPB6OA+N01?6rvOuvuF3Z>&gL=ht{# z!4C7(2Q(vTE-D1YJF*q`sFgO_(j6+x;Z_ULcf)(6aeQg(_ zIhsNjJR)g3VV1$Zu6d@v-1LcwR1jmXrg}$Ou9Wk17cAyZ<*%}OG0&x-T zI+~2z{7=r-fHa+jkRphPvQ89L*_wir?Lc*^eZFz-DqDkoWJ?tt4>C?E17%XhUcL|R z34U_`U>lIKw`ZrL;*w}B-WpWa-o{beu2#6|9aj4rlp)1v>W-V{3(;%1lM)R#@fPW# z@U)ox7S)<(8j6n_F}idVB`e>cdg8yj(MR8KdfQ>;@$2qV-!NK+c6W!e&1V1Z%)Tk5 z5zlNk`?r%P`rbeC+PA(KW?zFn&l6ew4B}a54@A3y2937M@V8I-sGUT&o3=HC zAhm-Ff@+o1gpoy9YM1XRhE!O4l8dk%c-i4Ir3Ud8cdH{N1B&26@Z(&-NVD&PHtq@N z;t{DQ32fsUY4%-kyIyDnj85bZ@hQ!I5fmjRrv`{EhBsCevtK0f^GQp^7Bwnr_LC&T z9G67g&*lqMS%OeZ%Q;+f)I=8~xcZ=`IGTIiH0SVJ|1H;P*uQjJwRDFE;9~IYpPU7x z&pt;;9iQ?Alq-(i%_mB9L-A~vn`D*F9?sBnW2P{45T+)j!+=%qt8|zEC}G!dK!rWG zvx(sPf`vp1$DR_WcYl)*o;Tgl!b!fd7XyRrt8>bfE-Bv`E zrIvCWQK6ycF9bz(`l;6kWzht^h!r!2pkU9w;&OwriS!OOYiYsArxs+<1=l;J^+Mb& z^Hj2+SYA5;V&a81ToNCrXHrpc3BXvca>Mz>zzcZ?xUi=5bySj) z88AuwGWfz=6BO!15Gu)hdjRAEVxR}LBGGXDtLyk(zfR|lN7sl@y87oRU+e}t4g&ud(F&QSy zW{{a+xD+t0nrDa=lWGB5j=L0S!BosU1hY$l{zuwX#MA4QG(BVsoLvgAaqH|BFonvB zS+H^`lKDq+qBZK-r3mxCg6GppW|tz&{~E4M^P6j#Wykyj7g2z~zxk;7S9AK{szUJ} zxEoMR_tk?-cLE+b`9a4GfRH-^{CK7I*Ux~|efbzh`;>{!i}%U+QpFK?aMij3xCVCy z_;Hdu;F!Mu1*ny&Rxf}-u?hqAfv7+p^2siM?wI6$ZJk1XQ?O=onz81BBf{b5VYqht zatXj-2VU$pD>go{-jTh$jYpWC@e527-%If|8jd^9xMt+_;kf`&uaYPMhXcG;`=d%< zYLaLmW|I`leY@XXXF8jtb#lSSU97=@->jJ)@(VOAlO!K&?lzdsSAQe0k)m?I*4!P@ z!^Q8;Z$v$iUq&S?^KXP+E=g&DAF4x~tAwV0odWyG*>whTD4}UD&Sm}LVAK5P^5R^U z7Y93gs$AqbhLFEF{Fq-H9OW+#Ki<%bgQfh%;m26jFUMaTT+Z-|^A^j7LC0w}%>Rn3 zheS<)Su4x|uWGGV^2CN;xn5qZ0ehBWboiMJccT~`0#zxAY=xSi0akjkQK*Dp$I$Hy}!?uD@}laX>yl zeB->iK3uH#>2fysIu)3>7Go8cvsqnia&h~5f3bm9iQf+b)%Ta}`2L&C#Rg?#<@;}z z7n`c`76SG0+)l^uE;hM8Y_q=DRNsHoe1F+a$K!hQ{oiaaHWm815qqD$aOVfhm!prD z2O{_N*YeG0p57#VdG9{9@NgD#Vr=5KE}K|tlPmkV*cM6j61UtRJXuw#|F#z%KI|VP zk8T3g>z5a(2tIu7B`NHPM)FE-^6K=pr>vgk*aoopvj6FIZwz=!vBEYngnEaAU?oS? zG0gft^L+@VrVGW zRFi7R!+a{)#CP2<6Ez+K$)DCZYoQUx<0RATps;~;gnXJp_ zZ-Tomeim7A516bGRH(`?5(S}fmT+!1a95I}#yFzd#t_GjFv4mS*A=O+{#%K4t4O!R zxi=Bz@`CbzVPX3|Q8ML(+(-7m=;@H2#fXgNvAl}+L?|ZP5z0w5a@v$P)MGChyt_)# z(^OMBtu3HqN+&GOkjJ~9PAFH8zS@ihBc$K;n(ur113x&>c6rx2Dy%e*fhwKoUDiyM z3Og*063>90$JhizGgT@K3qt!4pAh$NJP+g`s9oV-)Dy4zqHl!oc3hP?PGD z#fW*y=_(A6uq!d5cA}Z^Z;4e9TdvItbZ25$h4S~o6|`AVe>lXI8$TM5mlY8|pHe|t z?z%E@99Qdx5(TGYkS1`N+Jy^{Z!nxAU-e4x)%=La>+Emeez81CD7(i)Ra+3OnI7Z| z^mFjBqd{azO1@DROqMkf^x}~hNjwFOWO|e@@NN(Xh9n-7_IXlV-M$e(0XAy_!CI`) z4biNb9_EYVVxvtEh-f9%QhoRIG+!XmLz)zzT#G~JjZ<9R&%W4m08{?wrDb9FzN9N)5{TM z6{v}t#|o4L!jEYt=>V`)RVc2e0FvqA;}y0FC~OT|W5!yALJCZqC`uaGR$=aRenEv3 z3JZbyg1H^%2WpL}^yzp&k=P$7E(EIMWjl^*sb{zrx({{fS~%-yZ_i@v@zc$Mxp>52zNXc>2PCwd>=# z9mnGb%bWUm71u>m2xSv{0kLCZJiKqrM8IAk=w*|DJZ>d;c^BN<-Nbd2rGS>F&B|vf zNbu$J!%%P$^oY=%mIhj$yn2R$?+vV;<>(N7&6mCI2mf{S5@=(GL&n~Fb_;}$YlMH& z8Mx$(F+%!wGMGEQ=6>qk5Y zlB&Q34`6SUa1#9t;xFbeBMy;E;sK*W#CAV;jLo;HS_lW(CaV_0VY*2^tA2Jv{!h?N z(qDDSTcMj&muwaN{^A1Zzq$oDg#$Trim7WXup_zgUrq!UcoyZHsYx5+sHo9RU|SfbCM_PK?HDnc?UNxS$2Sa6vcd z*K1a!BTxjD?*M`r5Y>KbIsz^Tx@Q(iQ4kYRn-#?oa7nPz;b)=bis|P1Yl|b`lHg>qsW)AduqvQRVPZuSC# zg8DWGmfydw7huosh>5v2E9hhZd{(FkXY$gWv2hhf2I0;YbgN-+muT(gw7 zUEk)W6{;wQ^1(HQ{6qYY|Fi#%J@M)G6iA$d=N|%q@6Xh~6)q(ME)%XL+YvF;D4ixG zB7VTjoeKmjfMuXKWSVn&ZOt)l`996@zdroKpYUnnx9LJ10H;tpjgW?K;o%4w1m{C6#|$!K^uK&^=)ctuV;fm;oF-BB(Ni zo3j%hs#?2c0A~wt7GDN}t6gWbM-AYhy;Rd5%jF1w1KusH3Cs}$#aeLa`VA=+`faue zXVKxK^$xuN^<_$$#wF2Tsx1I;T_sTx-+a^?qmmJoQ|Zj+Uvplf-v?8-$GtKpCG20E zLE_wEJy*W|H`HQ$@_qg{_Vj(CR4Nkafn;VDsGFZ7A0^hWc$NAOe&%z2=nqXVqsDAP zjkcnymd==$-yV(|cF;?8v~>-IAe}K6w2m_hg0xQbKnPN$x*(8lV&X`yA!D!cXb4iJ zy5QinL#k94G+I5ZxMc+}1HxF5D%Ay{d#Tk(akH^OO(95?>Vl{kN81%t>J~~(ar+Py zm0BdB#HG#&W#-bmpGkn~GU^>_7-UV5`>4y9)}%@;Yl1pJ0`cwoC?#Xnn$_I_q+xa8 zE1Qy$*37pD^;+5ig>1F)79`2){%Efh`H$Ly>rpkW+Bt&eN?YrOr;)wpp{H4?QHy$< zwB|XMju!7G) zvx8DC0@%R3+jCd&Ih))Bk3u<`gm%@M89qOt(nfp+VEmmKH4=u!Z^^NjJUgN=E%uI| zNV?EnGT`&;51$cR&X`6%s4ecg0BXO_fzNfN#}%&W_4ZGuaqI7Y;RVb|^z&-tZYPOu zkM-;YJh@5qX}4(h0;V^yox=~fd-vb_;L#2#G##A^sN4@~He@6O$LSeiJgWHdh{S_2P?`Dw-jG$V?dN=b!;z` zM-`BYtw{lCYkQ>NEy{$+?R!o-2h=vm*rel80ale6EB8%Yuxv*<2xZn7OP7uZyGd1M zEOprF`kT6J!Rli<{dppvBvtO`2h{dRpKD4dV5`*a{xXd$-Bepiw4~3)LCELr_$hGW@`mhJffg(2=zyS`1`- zMQ|Tc;Xo;}+7>T;Pysc3WJ)ehLQ=FJHG-nT{M48vDFs6SYAt0Q*C;B?1fh0#jaU)a z+J0+MVI~MoxRHWje2~hbOo5~-%p{R+B6S9dOZTD`D@mz;cgYRtQU!TkEihE2rd5(> z)PEriKH)?YuE|04jieYD|3aW4MQ{Yz7bz<0-#L)E!9WHBNW^K;#~Ce1_;rK# zL8^rI*Xdr>^+uFuC)YoNop%RznywqUMXi0C!OoijJD>U7PyFal83#K#B@4y{T~?bG zC8HH`kgU`SqZ-wckG2cWLZV#Y1uG40ginU=mjZt&=$fGuR18knRbQNw9)9*O}*;}?OlBB$+lNboTU{r_o3J>nk|(Wv%Mm&mb5RO7?v9^<{#PEY&{Ni{2J0BQ?B;tCu;Wp+^ zus#t{^l}gUu8z~{fDVN(8kaj|V}!E(;r41}Evq4z!);U;ZRONpQLvix5KPWD!g17? zO4LcD57(F@*(%)L9mybp@RW=rjbIM9!3j(PB*dHyWSeiD!|lD4!&7iWSfc)Uns9s1 zi7Q-E(aH#8on^y9W6b_Q3X+n5Yg_c73b(E+?A^nIfEFz(t+90STY0%HoYm~cyQ9P)82d=SdIop4WTf&eNz^ct)4BJW40*TD5= zJ_`irz?bs%%gdgwpWAW$LedhOit;+{ipW1{07U)(brDfWVgLjV5C#>;HBi~>MgEgf z*-6W{rk1Ge(;xS~KXDaR{#xtfX2L3ez+Zv?lS)$r3T@3L9}X7;LpwVKQE3W*P?ekQ zvWUwCgNOG3E+^=WTo+0;fvAe7X>48iT}-)_^W!|-ZR+MA4BQyxRPQgpC` zevh)W+E>gDAe0HLg1DbM$@YX>rSUi$9ALaR z=pX2MsUWT>>Al?&@u>K-8}?+?-$qogU*!&Nzxdtod<8bFe0f&(6-jWaL-Er?*SuZ+ z;+oGjuiqLbm^woVV)M-_51k`mf=3LZ5yJ>F99UgysaTN?i3?%?4P6{I zawBqU1nH0zL76y4K}4DAG{uS%3%cZfO&$w;8_YFB09T(|91@o_G7Y3B7g{r!uTo;c zA_>1rz(sVhOYX0d%y$QcIoh6xK{pMn;Uq&Z=1(nV3Ix-?zM49|{UvYz&f(zeL4OLa z-E7(=)=1zRw*zex4>oOzo9f^j+c6OEop0Lh`9GnJo#AcH22M{k-FP-|+AV714>q!Jr9HAHXpiHSM;Ax%G|*gS|=3W(a1O z7fg9HTsZY+VP!BONFCuT21NlTy=f7D2xgcUEe@n6HJXiit&fEM?-e0b*k%>)8bW?D+IWrY6c}LdwUQbH?a~Ss9P7Z;f z!V9=26|X(JvlsB>b`egyMY9($-BCNICg|CJ@@GElAH|$Cy&0_+5H`Cfhnww8*lbk0 zGVPgjxY^81Pxgj|?dEW^7f=i=h;TYN-0THlNRipXr4D4vwlI4EVG0Wf;ij+|<2sna zWyZmdLuc5@6z-^XEk;RgpqbMKOfN$G;Qy&TCMOd0EBJMRpLsb8a#Se&IX~W>rp7z*_U-3Xe{%6XfB$s>VfJgd$%Co~ z=TB!1SFp~$`O`Vh85}E}H5@q0w5Sf1nbtrM`Y3C-!THl!!(lzIC_!M*1VlN`L5dPh z&ny!`b?9(8i@0nexoZkvMm3x&wnYvL`sj95%-W-y4!%aesOaP39f^x+RGfzi{uiL( ztm1A^#a(-rf6#rRfMS7u=&fN3vb}^|3SS zg2uE6VnL+Zup;;cw1_lLO=IeUHdhpasHAVd42`J^f+pYQH={tQ{W3JBMR2iJ!cay_ ztYpexMnFTCG#(SyyMegAl_Y3bByn;8e3V>E?yg#s{+>%lzv2oNr`4L14YURb(c!1t z4Z!DSTCAXMOa%EnZ1BHfN8^+4^S`ktKKVZX8++oDUix6CAAaY8>Is2Ks*{%%`;_X^ zYOF|gEn7m8^&JzEAQzVl<5B27GANVJN+jce;(>rAzA!rp&S?w~Y&n+GpC?c~naX*7 ztYOdcxv1XMSYz7qt%;G*8Xx)H|NPI6)^9EsEWJaA)xvA8qnwXuN~tAWuy&k+>rIEJ zgzC`+F>Kjdu@2p`KgJp^h}JIchdKb#7$P(|fcHbH_752T+;)KpG;39iJ7>~IKU9a- zgX*!I+H2BDsjne~?SfIDdUQb)8FDNDo-*j$PB&Dp-?RY&kc95-R6Ev$CQKnQ^Mesc zTr+AIk^kT$?`KEfv-KkZ!0JfmyTh_g?F9gVB$acuW_5cGD|T(Mf|6gYk<9l8*)|TA zM9yo4YD-8~b*8jmew{FgRQUMrV3g5Zmj9fiYMlB|^) zqa9PBo8yz3kG@VRU3Gt%g2qcUQN^M1i5Crs$y&F`dXE2l7Wcg<_C`;mpb$h*S+UC_ z8j77o4wYg>f`Tn{(J>`YRdqn6STR7j8t4nfdQQ=V*1;#m4A`b(%7Or%mUMn@hbCsn z6>v$6OvAg#bPZJTIRlMh*z$5Z<=PcHAg1){17gCj3x~uZre>2iX)fM;RgPISl{8|g zTT41uc-%~d$D6+MpMCeY1@fuoHlEw@`atw?u*_nKGRB3_8|V5!+PflH=K8ErZXmYF zc3C5z3&zYG(5GpIM+nl|xgfNY&CJ2TzqW3a>Z%BqnK{d&fr_yry%85g;f9XPfuGa) zGE_+}h|Un3n6rcv)_fVN`Vd@e-RSNBu(T1ZiZ^v=H!SiV?HuirRqf%kfS6UE?&iVT6*Rt}(7^tE=8?fth!1g2rZ1#AM z*nv)RXvS6V4p*Iai)K@OJGkmse&Czl^POX57&qT@UrHyp3ykR%>lP`+I!U?UpkqWh zBR%&hUOe5-o|BXVr4UNyY!~v>U^6>SwF}-#FS}Z?W`1z7o^CW7`{)1cf1BO4c{DqD zixZDL%yl#A+eka&ea=xJlK;ypidAeRqDTOEHNi1-c2(uq0YLc_McCOHu>|{Eg`E(n z!dBT%$2WbGs2UuO)4R+bD0Yaj7h&uOGYSExbbg{rX;Xsy6!vnrzd`o_mg3heVK075 z0O>#$zd!`%qn)OJRY1%=NFf!T3&ASBIH2E*INlx_STW2Htm6w|Sf=C( zqdA+4tm6x(=-+L&Hjyr^$w$^Uq0KR^lxIf~O7ne2;|tYWO|^#nBWltrwMjLoJNh{7 zxwlb}t~dQ}v%A)xW%a9_k|*h@oMPFw3=;Ge{cqpO@o$t$u%YWs{|o&&hZi>(UH~>s z?>S&Y{JM$j1E%FJJHe*vPkWa})N`Ny{KWs~S2v#<_>9u@don#nRKTU8wqvapbyJ>Y zA^8Y_Re@>aWmdt(8Xc^)prj*AaJN_~V?4%a9JCe1P6i-{`m>yR11-j?FA&2awOy|$ z@UpE4&U?(`z%`bjz1F3-rAs1AGrbKd7DxVbLv=IhebBNT3< z^a4ZQ2@9Y5ixcz@0TX{)NA%B(txONxZ@kgf^vuXDYE8tP2DzCu$i0vF=>Pl|16xsh z-G(Ww?t;N#tN=p+gL5hkQYs@5QpgahESTIEQK}8Nz4oGTY@jtilxV<`XKyRc>}tCK%uQS_WCn8ZCAAgS-104CLg7 z$f~98>naUX8J|@8PDuxQ3Kj&ZNkE1GGpYVS8N?ED(+;x3khqWprueZmsv?{VU=i@HpnkSK0VCe zh2qJA5?h#{weV07G(?S{#1>xLmIhIErg~{?VUnOJIz84)l%CgZA#5?u!JfHZRVCW+ zU@vhT%XJP7_L2wPB?kw4N$h8m!-Ksf_%lghX8Mg#5ZA4k%Xi3_8S%q!bht>qLsC#w zekHX_c)tOkwNHoE8u>Rm5DbG6IWEDCF6L%(9h@ri2Ei)ELv2M<1*eDPn9lyivf~w@ zt*)@Sxx{(cp!lTcx6-&0r(W&-g1j_Nj?gQ@zBrzTbh|I1d%ZMH$-_f&Ym|}|cU$Qu zRlVr|XzD6QfLgN(SO zg&|N~zih|xV#v~Fl_OxgCB014-lM*Axw|*P6lj>I5B!KJ=vbOLg?@J_^tsyY@@)^^ z{=(&hL^koliWQm)_!fvSv>zt1 zXme0}nF>t>Z!_~&KvTh@(0*<8cnqNhClgEUAi3I!Esv9rhIwk-#uN03 z@o(6=uz^?B6RamdQF^hQm;Dny@`62!c20UqCN72&!^x#N#Jl+rFJZ6gEtXspjQ)(h zJ`mDcI5-GDsaf$sKZ4`7_=InN?p^N;&5f;4+V^CitLu8#6pcS)ukka#3iyNn#_PW4 z8~^wy_NwI<{gody%8o(~7Ig4+c0pi}r>iO|>~*N9B4e*+<_T7IYbrAK`Y0t8#b~Hm z>Lb4>BK+Y0g;AMohx82RPOS11`rqcn%_gM=E!^=U$7%2yP>h!1EOj^{PSfhN2<*^R zgt$Liu5`RYC0{{)ZS9&Miuw2^E|prg2;?5Qb`95CwTz*5t)jqJ2qaxUeYiM39ktlf zAIAaAXPy>R#GZc?rl3v^J>TMjVMY~%QRa4j(D}r|o8R;iKlDN0A9_V=*gU6W6>ae0 zoxJpj-$~qF+hsfiE;z_zodsXM2T1&LF2DMzU{L9JQuXVLkRNs8>DK92819@ zpbPGnm^Z}89nj-i)5sx6=covh7L0-@_LV7edBv>6Fy53rE?jXV?~ZFx|O zn73q;5aQI85`#Ae{2wcB79eyHspB&FaSZ8gs5f;e92KI##dcld{sFQ zFxA{XW&Xvh2bU)x2p9IuGbE8i=+!wcTEP&IuN&tdTve_cHct-@b#3N1F~`6{W7>LojpCv7pQ|^(KsbR5ZXQ`>0!P&tT$xc;4|v{6KT!#G+%5n z0$3%{$J$vl+2jk12w_16&(3jY%~P75=L>iPNTTt<-!O#9l4m}pm*pwFe2||~Yz;l7 z*zHd#KMp;m*k3)R+|Kk4xPttYa`T3t(zl#^Oxb)<6C6l!UGnxj^#XI+D#sUV$x}tJ zwccFNOO0r2EpT~6E9>0__@~kV%ZqizNCAO5ZN}{gXx2!x?ep>5i**$qL7+aq4v#jN z!wW@G(thjx#Rlug1w)`ZUbf@>8(c3y>Nx&*u>ti?I-Ytj6`0_F>iX+?6MeiqKD)2K zmTx}u^oG)|SMFmA#VjRU!zO;~vWcZO03J%pkc(|lE*AeVc(TfodD{yQ9}2Ei5-;HZ z5$G#=z6d&&HzrpW>`uB;3{i$-IiSd)S@$~UICW@2A{~oVn6aF)#u<@k%J5{JkRo*H zU#aJ?va33Z7b=<5IOB_#FTUf&%NO1%0I7Vn@|8~JTo($dKIC_wd{^i>PPr@na^-^i zep()4)64k7>in{4cZJgY9)=GqSp15=EA+~L`?G)Wr+#=ekGLhft>h8m$%=~-iLyG6 zxLCpCW&6g;=mSGAWgt+-y#TWz1>tPBD+(~>`7}i1mcl%APAw|d1dTnA?Hd~#ITEL) zA7fic;VoDN3I(*qT(RcMh|;wc_aL?q=LADU#Nmllo^g1&AUZ~z+L8apB9dNzsKf2_o52fyRH{+PRe=7-s z7p20JKnr)i{coS^t;;=*!czRsUhXruwA}*5p_+2(_(-oZvX0_&hM})ED+_VTX3q^E zR$DSBAz6Hrypr%q>Sw3x2qZVaoq+H-UIj_WpZ|*A_ZfdO`qb6yZgI9Wx@09&Iy2~y zaWTwWBxr)Q zHuMny4xn4S6->wkz!>yRir@})vCTCmVB#s94-Fy$cI=yRUux0)8qu4A{UV4a_-4fh zXTu0pm0WdD!;Bpv_QMo%y-IKcv}>jS37H(5YrX{^R_E;1-C2WuS&Iiph^38KujUSbK6Pe_kxqd~@ zI-B5T&?-1sal$ei!b~(gVK^)$nM%9z{#VF09y({<0#J};}DWPiEF6=;w zO%@j!;nVzTLg=*aG$6?~mO)WO#j)Gzk>leNYNn&tgbY2OuR%218HS<Zjk!3T>t zfCm&rk_9MLBZGFrr0?5PB9gw2MAHsIlKbgeH6ivglsCK{bMJb9cv98_ch$_y^A@1d z(5>bGYG+2w(dfAMy`BHCiwG!;R85Fg93u^1!hO zV2}xKtDRCR6m5@N9RkodnJkAJF{BYK3yRG}0F%Rw!4YOT+!!2TmeQaIiO}|`p#et2 zv~E1TLk~>Q|ECmIxWrCLK^1Ns_GE}*^t44+VY_A(Za_j4yFf3M3?ROEPF3SNf!oTO zXlAd22&BJrxUom*21t|@4J2I7iCsw6_vhec7Y7`YCC~E-)K*)mLJCmaJ<$CDU+Q*m zm=Z>MvR&8JECF2HimRPBCOScvEU1@+ec2D;=jO!C=D5eucD)OKU^e06jfDwq)5b9A z2sP3H(%qM`fNi&*q?yBJvrWB9n<7@ILmO^~kyb=79gk4wtma7}L`=sc)Ty$tBXa>- zzD4C!{@xOkf@Y?d8)#LXC#?+7b*ugyV-8D9T!ka(j=RPOGXPr*u;j_&uLg&K@u$-n3hi9%KaS0#~ z$-W~BoM2t@q%ayRUy+2AVzBlY*rN$a+hsIyMG&*e5RkK{5{^K#BK-*$M9fSRh{%1h zmcan|)buA@5TtJKH@G4YR$A38NR{J)J6xh*0dRhH?U$iHQ3P>mD!4{$-mEC_rAq=} z#7YDM)@z}W$mbS+qDUfPX^o9Mq`pc?NV+6_JOOx7Bxn41wu?oIGypDnK)H$jL`Tor zCdqtzj>`p7u>3J$JTz&WB2%3LBUfxRq8?}$$W=EHb{UO zYa+efSu@`vkP@;$5Z4K^q0V*YdxY88;GkyV3&ugzXCL)@iht2V(5H?_H)|V?$JG>{ zN0&KwmhA0$LFzKqlk2=3t_z*Ce$C9{@K{(J>~%U}pH6}&Q!(0U%hS?jY=#)^*Z)U< z`r9Wo>g_m2jg5LB`h>;{#xAWz_x?LHv%nGvn_8EmnOe*RQEx(hM_#d|rIoygNpoZ_ zxLdN6gM!EeX+|pqsl$rkg0o~G2>vnA-}xG;!d&ox`Z?r(*wMFa=GjbAH%WcmS)*Q2 zbGI5sx%1?I@7XmQ$*+2W2#&YlI;m{(vE!?9P8_C(-185qN zsR7yXa$&@V0nB8yCAwx->^jI8N}YR;t{EsIbv0wf42GrFKW44#6*CxynZ}-^hY!~X zrg#}D4}6bQKwBKru9(5F)Q5vXSj~#!yxJv0=UF2TfwoSbocO;>nuf;7F>kZ|fy8-r z$sMTf?J_ZxvORmgJJH>2w}-m}8M=1Ou@_o_$Lt0H^r-CT z6B@?@^xouLus*(qh1usjv+#O3>R^Vh!V?|%E6B89BPf?l!e zB&jxVRL8?>p3tdJH5zxpJtkjB*p8{E)_od+bjyn1(0F*Mm@?MYLW0im@a?I2r+mfT zW=&Wc8L$lo#fzgCrxxRDE28jV_iS*z#gR`Cfb;V%I6tbWFfMHko%}Fx6k&4wy zl3ue*qA?jw&I|*rTcwiBw+H?DgkP{G2Do(2Ufmy^8;jCoAiC<^$B!gFke_`Ah+Tgr zVD9yIAN9l==ej{b)E|!~nW8s&SikeFhk!8GMf|Vs=r4Fx&%Q6u+^4uz`jP2!9cXok z#Q#DUHAP=q>zWeCZP8Yzm=($lH!k{4wYf8;sQH|wjPauuvm(Qtt9OYEpOZ1Z)k*Rs zG9t=|f(!({GhJ>eO=H;qO0QB=r8;7LTk|+)m2YM$%6I;YPx^oV{=Zp@vNeu$7sIh1 zfJmAwKJM2GP3_t)BrY@b1HSeRaC`uB3$(|G2@Syt`B}jUQNg{z^C6h4prV|uht4KB zgCu^@ew^dMTXAE>6u={{_cogy8c&*Q%;8_O*}!jV1v4-gZ8lI(9S|*7sii)fMW<<~ zs!}VBHmD5ml=0QT+`$@c;MK|Z$sJ2om0C2~Y~brO-@K|)i$yRHc-d%BKHpG)FSj{ohGiGQQiP~?e%g7nZ|Y>}sz z1RIk7#?$OSJ|xpqe*yOs(=g~=s;fZ`{a{i`kNq&`kF@I8ffvX9N_*A8#3vJ2^CK#i zb1sQo97`_2BUD(T@`>rI@V}F4%8}CHp1jjmI0H>*7@fRozrC*N-V7<4= zu68jCy~iB#~5R%=dsk--4uxP5t%8=4|{q400LFEak!5qy4hp1*jJJLE;STzQdkSX;rt zfAX5tAT*K}&X2y$7kPlf2sAHv9ix_W4Mv=*e+8U8h$AC10ObuRchUjik*Q`pL7+V# zhZ5@}DR%0Zwy zUbfTmtBY-Bh}|5am8;_gVu%ag)}i1Q*PHMEc6qS{su7_i1nTp1JI;?EEN|-LRf>Q0 z*YeG0p57+E?%sWDNs-qQR3d=nu!-NgFdJa0?KXvR_p#V^m;Yh#WEJ98Sc3|;jVGWr zA}X#*L#h0Fc=kqTGYf$+SkH|4JM%asND z?g|f?DU1@a6pOjO`#Zk>oBl+k_7v;$*sdUd%LPI@glvc&Y>;JOgc+a&2jd1#2NV;q zSuH;ZL9sxN;THH94fX1og$@G(GR@pn)rW;_NVD7MT`jhSx_ z;R=mV275(mJA%91Anb$>bHrE@tvrYo#k#p5hG>fe1>$9+90)-vy0A^qsP5=$K~bYf ztpm17)1|Mu+9_EkT(Smig)^Svow5?l zAgYSb%k=py_*Q%-k7E3<`cVDN#4h81RThmw_962(%RgNKxU~<#`W&%Q-zTn};w1xa z@mrUs;+7U(T85I*RJ=TlF57amm&7jP%1deyUfB*S+m_-Y3cK4DBV2FxatJ_IZl#1q z$;*=Mxc-(FUtZX73nX0fSA`5mOE0NlrVe0pHv5%fuX$>+`S4^(SdrcWv}~cqz zZ=p!4+K>I1ulmZL4>ovDkI-sZS#wNqBLgE~2@TI9gA*%SRC9i5z2 z?RLdh3&tC0R%o?+R<)7RL%7-sW>p(0J*g>R036>stJ;*(L&Pp|We8TP7HUzIGSDY! zH3?-01zM@v<&w<6P>Z0P=2eE|S!z+WjR8y*jqYiB1=U_9JS`z>L6h1LlmX}|-Q~KG zf3GVO$2Ho}wV?mS3px*-IxqbuKxq*8A==MR^mDlds=jmuM}P)P+S7pZ&7yYJHN*L2E67X zxFq{ljSN=xyim$$b|5x~?ua_UFsj8=bM?`~h&m|xBrBM%<`P+53R=rP4EtUx)JGAc z%lG?t`7+A2h2m;HQ6f>rxc>6L&|~xLjq|)p2|tn^lNclPn6$N@pN6+b{#-qFl0o@q znMf4HDXDb95y~S}d6@msL!qU%CgO6e48be7MME<^H+L&TkML#JK7aRTPWX;~#N8@C z^T;jY0Z)2!_rLe)fBOBtI-0xH?z=rTF8~d(z!(&&;f(v*lg?nkZV1!4Ui{#-gW!?0 zd{_A9IetJ|j;0C@_jUttCp+A4d_#^O(230~5(QV%9}}MW8{d%Q2h^~g27hw=Q0yt{ z_nH~-hzbO9HvoobwA|rZ>}fuC9Y5e(K$7vpQF4csWQJ-0j#>6@b(GffN;2Oaw!Z_- z;+k8*o+`=e_Gl}#KuR*OH-}CVfBU1c15lwb)n+L`Q6-sg5b~=8-B@Rcs*dIcNzT~U zv|D79p)mrfpp3dTE6P@pLE*;?NhmGUb;?0B4;^E;-qgQTL&Ss?^!& z%@&qiAv1+^81(oYm(|`)k-4&gz>U+9q^;ag&u&vqba|(>v8_B0h3HkBW1oi(@2%Ec zkHW6z)aLIPdc`su_AMk7s|A(j{_aQV?|$ZKNqqYgdLLVPK1Z_&01dCe`Oc9of5M(+ z{3~~B*OMb^`{~Ef@;TTtvOGoe@&vUOzxFH7{IvkJ)QNMW)#ZWE`M1U#Dbj;Li$aaHE9M{%VIu_@y0smslNDZ4I8AYN+Ot+&K@H*m^(YVD z#lOw&wAP&jZLY-Jjq|?<+VC*u`T+%_G88X{H$m|&b`Ouf%J8eLtPaQ-ZkRow(@`Nn zD8DM0P`j9p=R=dCq&5{G>|6PQ#ZO7cb32Y_ad@s!U@8FnBVjMqR2hn=HxtK;%aS(b z=ZTfnCmQn*TI!I6XFfcJ$e)m95;owY}WnL2a; ziCP#b15@Dg0TnuR)_xCa_8M=>It#U@q{JW`2TJ5dumYx#w&jwPWWQj&q&$^&C*c_$ z4D8x1fZaEe>4}7*D_H1`3`c+A=d{mN?wXphR zUV$2^0QvX+LbbD9PhIPAkFp)m%yXmp%{Q8#?=enOkB>q7{>ESbx^I0Zw6F3IwH$Ru zpAK3#d~?2xnOcp3ve6f1GP@PRoLZ2tw+jN9M}P`liLtU0spb`_eO(YX62xm1#8fQ$ zpjnXG*9DRN)ILOv!;ly~3sU>KAW|1(s09NWa1rXtEJ*EJ1W{fqs!}@|YC&pW7qn}{ zip#y|)V!i-Uzbcg^eZXutt6QSbxD-25XK-+8wZxvCl|=qCDA9S13vc0qoiW0HS^s8 z7DcC;JZAOD3{#qz?w9Lo8{cZTaTCel z_LS=R85o*@q36Hoxj!XjB;8cv4}SD}e&x-PO5CF;eEV#PWMm5+NYEBg1_XxiK8m(f zLE`rUJ(wVh*?|X95JdF`#Frv7eh;97A8`{t-+A1|Ci6qV_V z9v)2g@r(omZb{GFvFzgwjvdQB-r&@+?Bn5#H#>Ap)PZUytIpDm8!lIHU89TN=5R6h zdHnBOuJHO-ZMnjXkK7$TVyf^=y+7k4H-nFS=BIt-Pku_+&i>ilWj+H)q)@?3Pc;T) zONH}+ZapgekeLLefF{z#!W{V!qlThxY7vnjn9Q+oBmzwp%ikG68H zIhBm8I}4QLz^LSY9WkZeFoTY0KwS{rY^}!8+YuD%vMrWADJBNv4Dh{PQB0|=XfzAL z<73m?Vns2fF1Tm2F>EecxiB?zsFh19HYuovFE~=TXUBg}6iMJ#;`c=RUj1;4Ivg5OHyEQ>aGGrOCc@*89eRipUQF$~(xX!GYoD8- zLVXPir=|PYg7mu4Z)G1c$55;^?vyl@|Jr{wRJq>_250PCaq|gvX|90c^A;f9BM%0b z=|$1%08$@3iur=&xrtTFiJ$$-u?ZeFqJk7`%jJ+U2)dsGVvI(|XKfS40Bk=k zflyX9VGKZz)1Er(n!}DvMAS;C&}y}3rD~jM2%1^A!n305HwLJj)tda!UX?MBDWguBT<1|L2&(I>?hpw4 ztp9k`uqTZggHZW(|84yHqnHA&lK)fP>`89hs#{Pn*8foDVT z3N{3Dbb5!;srsZNwz@T@b98z$(dj3><#+wDPmK;8wOyVhEtYJ}sLP^Ltwswwn&Tf# z&|v%{(P@XtG+VrINJgU5#6O6s0ga239grsEE18K3!+F@OyOCs$PQ!UX)qU$XRSpSCS(d~9?V076^(hK@w1={9mSfhZiTJfU z%dyg@LHxSfbt#FmK1IKg6Tgz*JS+22-4|LdQfwN0OzY=S*_>gsfAK*a_}%wLJ&GiGii5S5R54Bq>0C^j*>*mg0#CXILOLEyITZ@SXpRyU2u>=g?85k z2MJVYcZ=X4e+rTLBKZjXDa8pdAJnnua4-9GnMl+zFwZ*vIhoJ7hGooGSs?s(U#gH2 zV~SVM68rK#c7ntBa-xR|=Ko^d_>}keTtEoQCrTimXscJsn`iX&_Pb&j5`s>7?m!dP zK6e5`7fH`vqV)l3%=!t^a3$x%8Z3%b6+SIn{A=J&%bm<dGf5sU*2r823EO#0l54O*<{@qvY(}$@mXp9Q5~XWQ*~?S! zz%Gd?e)#|i*Qx_@W_6A0>G1Ca}uPLI5=m>3D8OW)@TSFy${YyBHFPDSqkv5U7rq z?Q}e@7qyQl`GkU4-+MiV1a1Hf#uvs|!1{P@$MN{V@}>;?)OdKcxp!Y?`jyRRo|ch+ zt}pImD-&~K6TfwtI)|k&05G!3Tx^ooo}o z4jiqb>5HH@ke`s7ygJ>uDXV8WA{0OUk+1vb6IeXg3Ta}nLT89@_LSg-u!M&!o(rjC z$<4Kur?g%u(H(oFHM8uu>m-Y(P7uy6RWdEKJsfcb<<1cd0%gRC$+A4PgO8?+co=%y z3MRt>w{KFjBnJgs1wqMr0SmAZi~^gOduz|mZ#%SWDk;n z2N51*(Fbr+mdJRAKF~CK=%WrB65gko#SUvixl7-5=!-jFIrFBEJ+j@kVZbfS#c9J)Xp@<* z;Yr|Yu*Wz2x(b;KkFh3Hm`wdXZFxG3E%p09`J;d5Yo3d=mik$)fX(YdDWFjlv-4S- zvce(DP9r#NbT$UjHHP}x#jBjg0Ih+v668`D}&1lW3MFIN{xdTIlx|iVMseR>1icM5fqq`im z$dH8tYqz4;rnrw&WJ14EIwj|OwAkDdc7rWp&pa(*u)jwPior@>qGz%9P z=2X_*rLv~oqSj8#C3!bflK1f8Cw$n8VQZDkv2Y^^!xn%<_2xk*1eRB|j7Ius!H#V` zwF4olRCfb@7t0tdrpAqAD+2x^)dwk$7E&FS1!-%2MGORH;}isKmSz^Dt#v_QdB(1d zx^m5C#5K~^y5JsD+)*h{me-BU%E~L!*1F(v#{mQ|^C%IKLisF6TkC>0tBM4(Ya0a< zrxsi5lE?LyW9%Wh*B*hqqy#%IX#?G%0P<-a_npPbP9YB3}>WRJzv! z>-X@z%B0Gf71lT(t5CInV&{`Sb@K;4>ti2$f3Om&8`Oz%(Os+g^d~qqB6X#(lNi7# z{eC&XuAz>Q>55i~X&ZSIquWzm3X>q^D7TURw^?+u zOdQ9RQjqIL)c*?bzs!kb4kxP;$x{81^12;t4~~14NY=i=lz5>N7l~N3BxCwnO5C6X zzDguRpi1P*b{x-XW;v0J;b~`0GehOUGAEKz;epIYN*qC;I=^hk`6aKEHYLwqa=Q#; zuQR1_T8wn<3iN5qw;>qwyZ`a;z5LPdjTU1y`fd2I+mj)HK73D9z#qt+Ud(@zs1))had}t$=96k(=oyg(C5!qO2w8dm#Nz-H(K8FuT7_%o9 z57QDAPS8zRFozGAWTOKjL11MyQ}L~<@WJvl3|+c0P8B|2d>OwtC6AIT$tRa*)PG78 z^PirMA#b-!4vxo=T!~8#&c~1zW0xErkWt+r?e@~|PMc7Dqv{SJH6@(l2(pCUq>{|H zCBdwPw4BE00qZ&X4TLUz8%;}k*8UKz3yro) z7+~Ir5(N=NHG>jbcT;IyzWvF}fH@*tjg<`F39G@$!8N(;r5?n(O#Kh2-n+nTw`X->loa9s6E3}ow&-W+CH3^B z&;6nhpmPevjAFl;PR)a7k!i~XKO}>+9hRi@f?*R;+2t0@ z9@|ST*aQQ~V8-8yGs};~J^$tVzVh>aGSVjFOa`U%R?&&*YL&py7}8EQ)QachRBFy| zgqUK`P)p5W>xa@h9F|rA6^9mI$X47TjFFa!F^WHG4qtJ<0Ra**85ZI8ln3G+`HIUe z2xuv|0V|$hGI3~egG`Wxv?_>Dsc$3J2goFmR3aY4xz$^npd3p|+~a47x-{k4!ypYC zJd`A*(7GLc!6*S36M!LxXN9t;+?~UU^>$#NTJe`<$+OT=bKkEgQWkLs|4*In+{!zu zKvir!BJidBUzJ6bm#k!4h13XqbrhDb9`6;D>a=sWN%7QnWZ?j=wPCgMq*2B{mxMDC zA}7-zFRUw5R7#{b9qj`^$abkx0-@0E2~@4UCTDcv0AiXeCGM|MV%jb0&DC5faXXa~ zU--G-^;^I5V_MU%@*F$V>E!CuS?Z5ucZK-@rgxI19`>76&pz|GD(m;vW^9i-F{;OkkfgJ$7+%QqS=zWg@Ibx(EB8rp5H5+C2NNO@E$N& ztsH&&Lxkql^bldIe~8fgI`JW5m#jTR&q~AWjZe`-q`BY(q-a$bA#=3a1DG;^EA0Tc z;gUQT5!Qluf;_i`yLU|`jPNw)`A8*v3F3cy4 zxLmqc` zjzjJ1iaD-E?;c0lQ4k1tCn%1Pt%!L7R%-;li~i6#ZbZUK8ZWRU(wf>f=@)W(lqI3M zYNAJvU4wb7Wq$`;pWIMza8d+2lJvCA;Th>g^GP%JhiN^$J(cpnf5Azj(3gz)a)Gl17WzF<7U!f`s zPdlLiu)Oq-z3Nnl7mj${<&`_KlqTWD7)Dz6p}8q~jhu#8wjq zNWe`O=4SPgHsTRD{4j$AGf43MM>j?KGf1$wYS0b+@t0rttj(_k{gEaey(rF7kk3VF z%W^mxO5Q#zvjDq)K}Gr z592F(;U3FtwB0CH6c@(NvDLsJS-D+Sqn61(M81ct_#i)T9{_0ZNl8Ru^ z^4l$`PNuKv4&ionlz^oatmM)bv<$PRhvU+=60sD?e0O5%$m($9-6@jg_Ov3HwbHc~ zNr{*2Jkha+i%2khy)@F3xa`{f(|*yOEPY5DU=Ve^K^M4r!}5E2@9M{S3^J1PQGw>n z-4t)A*m!Y`eD`>)+&&_S_@vTfOd&q5n&@n8s2 z%eWw*VjPhxVwQ0)NGsri+ru834N3-teKyr3R^;>01hpIyTJyL!Z4`oh6kHI)c42p@ zUcv9ADmw)E47s4um4Y&EwFiSWZC?nA6>v#Bqa?utEi6c}PmQFu+%|>u$ZUdB^GK`R*K7AgJ3)F2lT&I~?X46DS72dcvGgX1Qhdg{h>)phUA|h&1N} zJZhwtGok9>2FJm4ufFKBWQZ^egz+1eTq3XE9XqEzc<`-wEy^E|&+@E27K4Wok81da zF`RxMHkrn(Sv797KZMoOeV#d1jX}-lOi_i<^rA>piceFdCaF%V+}j? z!AGQ)zTHj9XS3+XYpiFZ{OtBldsee$Wk)L-W~2PV7hxvbgDDJSD07>@Ft%I}CjLLa z{TF`pHv~+q9?}3HXmS4rdEzb#EFowM=U1eIuYqNaKwCHue#TBP2cQP5f^7#p(S2B% z~rU$-nb|yHJ_dV-F)${zNod@@`+Re_8k zuEK^D@uZSpWyDq7#6VmTFjsafa8+K?WUY{HI-nq+>3~v7mJv@rL}54wh_CRL zAN+wI4G>k)Sl5w;&7u&^$Joo%V7`X-6_kJkE|xAyUE&x@C+}+ribrIEG4CD;GF1T~ zC{LuXxBwHM#~(<@;u730!=|qs+jA;2M2LILDkON_aX_ zJ_pXSq~K8sbF-iXF18}(tFUT_?c^x1>wa}frJ>fBK@%kxHh(XEO-ZG}HH0;yLR2pj z243}&`350-harl%oJVjc_Gwn>b+JnUOA^-;*|++*Nk+sax1i5bS zJ|Cty{w-H=X%@3t8Ei6b)aLI^FasJ9>Pva4m6>7M`-5psbDghsq_!3@)aJO@^pnCc2mkmbir zGLR9WplH4XTO1Hvz8xubiHJx(dC27dc3+p(21fAF%UQ^-@~Q?6{C+1Q@Tw7M6?N)$bn`8=I(ylt2%+ zt3PN*$J7R<%2^kW=@9*z>G_Sic=(OOx_I#i{TG3wN!MH~5A?J0b6M*50o{{Gmyc18 z_FwoIp{zYWM%c`cQIx~`9pt}p$K+G~YUFE?QTGeajFJjbto_Nosj18DNnb0{s9iPCPs^nmpihe1}DQJD*(78=#!G=dhDv9%9svn&kk{_042$=a&noP@S__Ea``i2UD^ z%EW$L4M2h`s3DRL=OuH7!S4X&bqWVGNffxWPCE)99re%tG|j*9SOkS)G&7806LmYJiC@o&N5wdp?n-ipJEua{1zPNl%bLbdqiIJ!N(VGCx9 zC)V&8vUt;O(QNVV#^QbNPkzGZy(d_@^kxh!o(5E~co;LqurGAKRt>n3h(;+?R`r6} z;vo^wbgvdn^@7>rfrgd^)HIDc0V^LmTRb#-u@9BTR!g$8V77R>C3sQ9G;X%m(rc%W z=rCJ6Af?(d3i?w?G{=f(EuPvpo8s5mw+w!Iz0wKWl)q{#g&mSl76Mud`tj}#M_9O_ z8!1ql4c>>X!J8VMJejg4SoYU{{tx{4d9Vy6KT`U`z6usxW;7@zJkSjZrXuQ(vwao& zBQk#!1a(lK*-H^NdU(QOY;DV>n?c#%l0eOnkoR@Kq9|bb}>qB+pqXQ#p zuloVZs6kCzdQNDVSbXAqP!|mVYMj9`7~8A$gLks92=!{gS$u}GxessTU2SzW$W)?w za|X-s&Nmw18-kn}EPIpiOol9P<$-oT`z?RvL!TK<8EP&25}f^RLnoT{cQdGqC>Fgn zK%#@9Pc5Yi2DDV3DC#K|{Zvs;wdmldq+x@F8yL%y{yJ6tQ!V<2g9u>JQKZ_N-;(}1 zRVGx@UnlE?6ic$CRuFlP6be;~{uGr$zeX(jiEw95fBo99=u=~(CrjNhHu~58$#Y-$ zIZ2aB@vnn0ULl{z&9;N8d(os;$dCT6jqu-qcNJ`@wdh_nsR_c1XWRkZj7fHM{c{(A zXi^i5GXCvG_{y`OXi`6PbVck2oMoa(O%SR|K^Wu`225n4N!vMYlsS33UP*am?h6o2 z+RhQVeEt~lkfA#vw*`2qo=Zkc1m+#}k`f#FSw>5Q4mQsRS54}YttA3D)u7QK-<@tt{HKL&A3hccyLt*^0bg_F3RVY1~Cu%>T|AQ?@`=0Knncgc37GoE^a4bHdB48P4G ze-ClB(6e!Qc4b-#{Ggs-vO?9D>xQ_-yYl+X02S(2{JmE`=Rb-(E&yL>8+T203t;vh zqdwI&MQ;@J02tRqkeZjTY!loHjX8_HSH_GUf>fw3xL;sqsJMNAx3yq51gTJ);2NaZ zJT$uJL|NrSQ=z)xZnr@v7+urFW^j&Fs4j>{4K(mv5fEDcW2jJFaJM3Jwje`m=<8 zhxHDXWSwNbJD6|HdTLyv;|`~%{Kv724Pf8B&qtrh8(ZRV!o^jTN9fWHx-yhK} zE(uaPpZT#8YPceq?-05p!EnMg7rVi&lwLSr^RQVlX5x8jOLtk5Mz2YtFxV0 zBkyG>CIO<6?XX_iJCKC#N|7-w^+~bHqJ9W>744M1zDJeX#A5QDbzu}CW0&-@C-^7iQw{i3XtNG@BvuO zAy2wdd#5$ilYRxxOGLMP&l)XFl1xwf6+9HOfKzficzcB1&yNlcY`sd@!`MvHn&lp8 zuQtvTSY&_Y!BElOvnzI{OH2waDA+>z?d2YA@XqRaZmjIwk3Q`^L!+%bHXK|n54yDG z+9w|m8U4BK*>zce&me*qi(mV`{+@AWl}%Igo$`Bz9rJqz>GGa&`>x-!6Fs|VgXBAc zQX$+k)GTmLG9sF&Sr`OB7=#ShzU{EW2&huzuof3Ta7fvX5Q&43;rq7zIq12Nr$C@a zT-;9kqh~aer*P17;ix_{SQ*`!u&e3#J9c{JA1q#dI)84*@r7F@HnlS}mcyi^O+iUF z9ZIT`m0}09hi*#IyUt~bif?itg_^cMF4CUH*$JM0+T1go-!7f!Ux2N4yCMdPed!|ZHq&hZVpV6uZUS7 zr{WSSD_`<-TtYqOk|*L4>jHO4@Dd_hLk|!+mOc^{HfWbbPC_enkr6VoRr?CTZHbC7 zP(~@xw@V~kdfz+wis+|XF(zX~xU`-LUvrvY*SxyG@g=Sh|7)ZNy#*Z}qI8jo?w7r` z>a$gk&HXjq%TRmUND)=b3(Hs6=vE(`M)Nki^NCGLoQjkl&ZH|(N4g@R-qsxfOr=V% zJ$lfQWlu~W-6r;h^L#nnWeaoc8mHP$$jw#B(KDMk{ceTp}WW(ge*Y) z8SxvNQ}psn*KeSL_k~x5vJP8`96-KvSGRsOg*V_5^7G&J4X^)F$}?%gsxM~Xqz$R% zLY_oOb92s^L8zyUPgXtTY&q7^7MrnbISrVvNQOJO11NSy`K*FR_7#jG9Sj#F1ug6> zW^x}kdrkI)AT>=B1UEWhR}r*fC?Uv*tO*KDF9k8EQ~QNNkPe0m?oSpT(7|xY(}f3g zFkF(XY)_heR|DxFOlP>8A>;?Omv>am#RKI3!qmp6{5?O%H}OeNay+r_uap1#V9ql= z8+j&sj&IPFIZ!NYFa;hMC!3*G_1EOg@)XU>Got#9pYqlJ-SbfntLHgTc>5&_Z&2`r zA%z-**blNilfS0WNvvaH27a-nC!RH9R(QzuASc2V!JW~U9A$-fTpmHkNI|sO^@3U9 z9gwLOSRI;CNW$}>v%*7%F(6$+fg4mYb?2BB9!6l2dYgg{s>iR+3J>koihtwRqcE)g z>QcRhY67Y;EQzHDl3C&Hq@I|Pi`B3yitv+P^W$oxF%2r12G&R-DwhQIXPpulMp=)3 zarD!)lWNzl&)OBKe(6ZIaV zu$PSjmULR6u39VXLC+Hx!`c&lM&HrT%=&IJG1Ro>$JFT$f71uQ^s~;=6yAR4HD)M4 zRj~(ZCzaZg7L~D{cddvD62G8Nt;0l{}jD;TQZ?ix#Ey32?nF7 zFd;{ZJP^zCOCC*ZMkYV%*^cnwm&A|0)RB0R?exy~vvDqDJAwy?{(*GDm(Iq)`NEF2 z#2-g`mrU82egdhLPCrM|jG@A0bnfL)%(T*=feM?a4&39^fl0+-y&0IHnA@2;@bCZf z$N%8(f7`hVIMmPxi3xHV)>h|$zV?;aR!X>_7LHA0xsoSWz@aWhsc(;~qdDHOV$LOC zmb}{B?S5DZmUBG^q#Cra4Rv5T*)CR0pfBPKlp9IK4LVl(Ixw12|1oHQLzNnA?v^A` z_%XSVCFgpqe;a}r+CIp(lw8kxqa-HVCC4+=B})ZdBPT?*1u$5aJZp4moSM%sAJllV z+RQ#-Ogp7~%MC7yVBGnadKlktXmkOO)=V4`cxvN zCdg7L7oMv@c~~x{GF~s(Zkf_lAL*PRyE-1xTEie3l6Vw5$@EZP9Z<`qMPI0q(l*t2 zR!hO#e$V=KK7%-q{uz|PRJP+48ei@CM}gMh(Mr$t)p550YQQx?(y5)D>FDXfzS?4# zq`d)n49i3K?swIKw>5tV0%`p8VbNDgVqP)Q7AJa(RBC{R0w4>tceZFI*IJ=mveyu>#O&r6w6;lk(l&s-=bBz^B;4Z2$>x;@z(ze0*#rZ>^oWE?x z32PBrI$^2V%KLY}A3NmVkD9VlV~4t{O^Hn)P>;{;I6i9f@}@ps%~jU|Ki|aUg=F_p z$~)Z? z%#z&EA!0WIW-oSv$!x)Mf*&Y{-Yh0|BY-SN;pDI|*%t%n9nxmvVxje`J9M&HNW`JJ zKm`~3-o;8L3za;Q!lEHs?E7Ecbt8cGnI`Lqi3tO9olF+&ImZk0EH|sJo~61KD7aqh z><5g>vU!*B!%N-G7K5y;Mn-2}W#=-x72xD1`=^*z)SZ`Wbk<<1muAU0uaL&*A~k;j z!H5}aZlmty2Gj9m-KSMWE0o5IfUWA#-rN~4?ikZ^MipeflH4Rk$tSsQ0Z7@_PTpq`Yo?zIh3X_Z1SdkDGUcF-b~ zJp`K%fkSUW`2bs$@t=&=AhM)AhnYsFB$K4s{ACZ}aM*&M=?2?dJcKQpvP2Lq-_=a& z1VsC3h@|%vq=$gqb2;=xd&;h(Wc%tYKunk{Y1&7(N{B790M*jsW7l`h>5EBD9)S0e4nm@Zs37|n2{lGg*Pce>;JIWi6QC@OC^D~z{ zsjJ;eJYw8=)CCND!}J{E=ttId5q8l@k@@i~01X}?_KNap0{&B;CisTwNxnj7E{YK# zd1Pv?yc^S=JAe}Txx;3r%fe=U?yz}_qfD`yR|W86_uK*c@aK*npZd8wfpx%#Dy&z^ zXp)?`fltrwmIMK45j!#7woBDV;xbaZ0R@=n40CL1k_fpjdPQch$q518XnSslcA%9s{)6;%{J4G zxu5OTs}H>L>Wgo@_-ZuvwTl?2QpSBkaELyQ%e4i^?h1D?2blL{?q?&e_M5)^AAQDm zeoXV4wI;x;FTG~x`rt6?=eoM>jXlIsBxkf=u! zw8BQXI!F&{X`vkq6`~TJn;?itwEqh}kr1Zl;vP|$zT)z*6mSVMv;|iS!Lv>Yy+m_G z0WIaNrEH03>AvcDXT&A@#0wm1PrSgv^;WIC_?;$RZUmJ2x#|Z_#>34X^jCfUNeLv{hlNReS#czO5h5x)sj)sd_?NPZ69G%Egm z6TUY#QR)Ld1{Zd?2@Ta;Sar00b9P~K>)p*Gdrx%b(NC8`#pV%m>-ATzUwWU+P!aE3 zVACe)K4uk^9zo%hn0!~pT~lBFoU7YTcy?iN#~PEx4R?hu?1v8D{O)i6xNu>a-FlBx zGB}?;NH5u;59M~m>|5C3OlmJKtn9{@QV2Iup1a;yU5~8+KT>=E6GRUp@LmScV3JbA zECj^`@D+o2e`TO*2#O19f?(!U4lRJs1I~YOVg1nS0}6?tX@SH^?^6CT;==l&wKx%2 z9r|tPd1Xp_q91;F!1bv$hubC0M<=K*nx7=RXE`=nP!9z4@{-ET+0GJNalAkv^B1?T zNQ#SQlGa;EXq-h=_2#IH=0_JQQvPz}+v{yS>rS~7oq<9)&;}G{A3fDc!L1(Y#ym?k z=f_GZ-!U-R#T-Dy>GS~NeFE3%@rL7y^ny6;xX_w2h^JP1YHafg#BU}Ef)K4*OOojU z$C}`Dlvf62fCI_&gkParl&>pZE-=pxB-0~)g&J5T$V0iHkYbmoEIs2_82_LoAM%(-*Y7W~aad1SucyduLsFfsfl@w?-Nf5KB zVnHFSgf`_o^F4yI!8Z(R9@Ylhr!|ufLULijcpK;>rW0y9%O$71HwY5)dxOpXy+N3e z-WzOZ}>6xutn|-cpu={LM)fO<{pQpTa0v0Lz>~m z!!o5E6gy48Yggpg5z(>84-Y|d)5W5t{ka`+0V>DvD8%vU_Lu4hty4?;^I1y!186Tu zIo$pdrU2Q7ZAtrcJNEBtCg~gJFhy$s^UsmjIF?g9IGsO=1WTAAXmUEfY{&6YS%;#& z*q=py1-O^Gyu3f(y0rh(646OfV>&)6`AXsg%yQ-W$#xuHaQ)cS$IGLKhc%c0w4T%@ zuyi4A2`pX0l(vbbKxvn13b7cN<i*J1HH=ga)1)ME$2B#!zwm-1O3cec`_t`1QZTJ8R zN9kN;oHK!!&+T@c+X63akEVS{g27uGKzv_a1ApAoYGK#c9|&v4sOgd!@&_<4JR)ra2X{T zD3GOJg$p6II&mqd`Bj(Fxv6hcU8~MRVkDFQ>%Nvv4LsmJMc4z9wzhGb{A~{J_Wz>l zbe^erS;2)=?1bIdD6H9iY@!A>Om#8FwK_0^P%Tm7H%Kc9$MC8`Wk9nOHY}lQ0hx(N z=;$O9R;-@bIOx@K>6XA9KhyDjPy#O^xhZV z_a$MYl&5yWEh3sY@fK0^&A3IHOF!yGw#tWgnM;}S@D_33XPuJmvwzcH`pLhM%(TSm z1AuY8WxECe#+5KZWF#O1jDQqKS3!Vrf!S;o>`Ku+r^Nxt6o3&l6P;iR?g8$#$(}lu zEFU`IFSO^JzeDs4eU9CV34VdmMO&{3Vmh<7lsH_VoUgV8Xm7#kI|48QyR2wn0$(tG zk4?P-1|DUOQ3f?SfbqZyZ(I^1jk+Z(z_>gBACWb8s{s^Q07lFw6*vj~odaaG0*uEs z;9oa245$E^aBr`?PW!)%uLs4Y! z=-e^##w%a?`U_w5;*|xBZj|8wggrKc-JF#Xi!JHGTej;4`Hovl_tIv&u6J*W>vaj$ z>JR_HzxbU$cy6R$n{WkM0>RiL!c%?^3&!E2k=cOedfih&S>n)x<>MaZWH2$vAfn5% z#-TaVL(qI|7x-8 z_G6A9-;&CGMD~M;hc*0O$b2G(%1FkRCC^&cX8T6^G~>IKmE2i9oyNEmTQ#{#{%_2< z=I{CWNo8U`u9B#-Tn+fY2$5&1tlOu`imG$XyXm8h4twc`a4dX6Y(^A&Vr)jy5}Qp} zo_c@c^1X>5y7-&_&O86ZZwX@69cz(VU4(9-#$M4y%*S4VVij*CNZm!y2lzEX)J?$I zhTsNOoSh)U78g8XXabZGSA?_O2~xee;BK=fRV(!18Vt~#Ak|w4u9Rv8^%0g}wy&(T zw+VUy1^?|=q}diszZ{52&En0?A*50k37vCsNp=_EafbH&#=kLse~m8BiMP-}@5QC}17dK(lyN z_cFMXN3}nA*(+MKLMh94*Sl$iHL;uN@;)3&x*P))^7t<8*Gg$l^k0>%pEhtsnh?!( z7tsc)K8yWP<8Z(IU0@Z+Y2v4>X4T6RaHkZvUJiHK(|&1KG*w?uTYgMm|J+ai(pSIx zJjIFdtRmZej^YI5(gHJqmktDV)Cl7Z%ov`^(l4br(c-HRq&{fP!60`Q2loi(5_KL! zkowvML6l8Xf%FN8?$jL(LAs+Zh;n~uUuajYUIc<`l?z7(Qz9rlAwM*ilK5P~pE9K~C^|k85AsI^1 z*{T>jFPm!5{|jZ9_IrkPwd>|yXT$HFb@fwq9*pYh-Ju7VG}lY^U9#-Zai^wztD(``fRd`xibc*lZOYK9$81Hj|u6s#z>4<<%@!pGtb7 zES9x|B7ug99DO}~&SD)`Yh&C6O&AZIQ%O0CbvUBKlkNp9gFRLKrJT{Tzj#18<{EWAR63Kf@bzZteaF%Ic<(2)9|pVl zE>^NY_Gag(k4rVqOo8lxyiF=oY9LzbjnA;H4GOF;B?{>#eP2w7k z9gf21moK~Up!wy*d^x*Ha9wNa4}6}sHXSU)Y(EI|8A$WCXe^h%wfbfE78G%$L_;7gTWA!(*cy-Hnkl^`+k zbM*4K@9_|uR2RT4@tQBEv(eh4J$o0=u6Hr*7R_kO-P9KSU!VPB4?gKE+A@`t04@MZ zh2aYv(R|-y1UZ~XRnG>#06ARtW|TbmwK05-Pb{{mQl=m}mwWss2lJT6LV$oFI;w|Z zj&q1!VtFJoESA^Vo>?%5@+WByawHEDC??;Di~u?mPau9wL0b|sGExaFyixYMcgQqJ zsT2?g8E%u$QoIX01e^*I`3o|#8lo5(3)?T3tbxKM5?+RSi6oihYaaGw=!Ya|^9NU5 z+d2F^Vba92LJ0r}_Nk@QZ_hUR3<2B|E$M*zI)b9C0XQNJLPPT}h;EkS?2P9XNlIE%Ozy1Zd5wsEr$q5_w8 zMCo2*evW)|?O2O#nRgVP&sl$G##-(XYnh>!Gu=AOw7oSNs#MXP@n2`>=x&^&@BfXT z_U((4iO05{l6mM1_4owVa_2Rt#c&Hul1-z^ zwxZOA2x()k_@M3e6?a=yW@{12&d>t;3SbURuL~k;p_P1~JvGLr5TtYDf~+1CpJ%(* zIYRl+G`udz!HW>YIU>=dFiEUfDqVIcGuIw16ebQN69qkrLCIz*F3)->$+*~$j(L-W zG;s9gYOiMBkABwd)8-`uof0hRuWLg+CZQN=h40qV^Q`lKVZ+BhnO%3>!to5>o_n9) zNyi4BedJI8j8x!;+X=Yfo18W!HR(78M(m^)U1p^%>*%z+$wuisN$rkHOeQQ(L*wt( zg*J(m-aqqoAN#SNm>N_icQhbzKE?VV*Iw)3PqRKquxWxP$sFYVnBe{tM}x#NCU}yf zK|&c9JjKu;!KR<%QxG(aD=Jksd7i{=PLm*|6_2Y&xob{_5xumy$|bvqh?j+#N=p|a z(~0mFv)con#0-kUq@>|*kuR@tKX`0?zmwfrgPlhput8_-J&_xxSLqxfBne6{rt~_ zZB$g;V-3&H9WiKK@YUS{=~+SB>?`>3w2dxEUt7^oq)<<#c~7QLa~hG@rlnB#U6;+f z-$mQl4!u~C!$2~qgSH{s#wG|#e2ENp%cIq+$B!v#UhjeeldTMz&lya{8opw5L4iWE zPaKytZ|hh>uji`2kR{C<9ZQRqmD0somNYL|MXh6LeY66(B$@9{bS$l4k;-j68`P&EmdTLZ6($`)BHelRmyV6+HU`D^M+(IjoF6g<|h?AbWO=%>pYyMCbyua;S}qt8|MtK2JAUq0!tC#*e#Ap! z-9qjY9Ogm`1R`Y{1!P~D*>}Mm>ZK&Zw+AsG;Vuk%kQM1jFeG+C5HTqU1hTJE29^b> z2wV^$1neVnacEUWLb9$%v+shi<*XNDXD=$BJ3*R#7eombbHqYLxTNvRho;$Yf`=9R zt|5q-<_d$_SNJhB`!0xHVpfq;aA6ZmLr~1VOQQA-*AJ4oPC}TSk1b~3CDCqvM7|J` z=;>3iHmymBu}Oka5!p>_Ig!s=HhgZEws$LUIpctL~Re0NNzc94*ckQnpfW9_KmP zK~Ph?kSIM2aTzcPKtQGJ-^ZDRLXA9pgU$n>tC9cfzD|c4D+GU92SN8g=t=Q35-r6D zO3;Vx^Sp4uy8`DBtDkTJzS3z^u&lrdWM25?Rao{|&tAZ@>jg}^MRV|fH^Kim{^3vg z%AYzr-Oy)(AHcG~vY5ZQn!+wBZnGfN zwAEqD7-@2TzG1d|ZSl?k`r-v3$J~cRTdcEElBLAN}F$CpoN0UNXJo zn?1bQ`V47Tpsi`lJcR2HgAthu)cbjE$#kGznvB^gzbHMy7!JVMn4E+NE*nBaA$j3r z6eC5{7padCzP-iANJoe8F8i_aV}vOsj%OB3)ec>X=o4TSo~C|6FxA|! zgCFTD7MF`hz=HJw>wiPfE*kT_3fa3+^$;TOcwJ4;Wi{ ztVqq$1i`d`b3~`)9&MU%R`NOK;yPey#EG;3kPdtnti^R0m?$XK7958C^%0NG4~~+5 z6!P=nGLX7kGZ)uwaU}(JL(Tn=aEcL$uL(X^_DZr0Z!;kI5|7R$F?tWfV?+|;@5(Pa z>!+#boyWiV@HhR&Iu9}aO}B4kMhJ)N=3;$aBF#;dKXA6WeRwSaXIwD<*SYbsnZM`f zF`4)qC5F%!?oMk!oS`pP&*j3sr-#16r;zSNduWj@6JX4ph+=Z;+>kKtlMCsn_DfC7 zgym^9{N)RyR$Kr-6N={Lsha=#-(I}ul}JkH&-7XZe^Wz0jP4?V)hM~~Eh4JZKFmIcW%Hoj8#d@~#0S>@PNO8KC=Dioq_pDSio zDWnFtR{qaa-;lWFB)4g3wfK}*ZD$-`cb_Pcw4rN3{}=gd)a#Y{IVm1U%3)Cd*9W{P zFf8$l1bC^PxeNGMu!pCzwL>F;eo?_*-%o`Q=Q2)JoNsC81~tqj?c@T;L^!6GCju^M zHorU(V2}J6l{CZWDTcWoF4vizBvPT}^%bXA0iGgKq2=`zPx7cRC~`r}O@yr%0C_W@kD=vt!Q+vQ zyUc39R%@@XeP!hUE{KamMqxnGsO{6}DFjOuAP2sS!vR3tx}B0FEw8V+)!Yl#93y67 zP~?&)h*{3sXfdBYzq(ny_CddnoIStr|I8$N^zqD3WfG4JH2-d*{olFc=uSG0{IPzB z{-fJyF|ze$L;mb$^rzjT*^u8&Mt||gf9z9V57svIs@#yb$j>wS_fL|4*lcuK^Kr8f z&XOGP<`5c2_hvTzf^NdtN+x)V`aUgcx8Di-oI_~D?#2`k|D*>ka|n$tXl8ebL~2Q4 z4)ZIzc?u9H=q5PM$c#IV2uQx#Z_Y_bK*A%JJjwcB(9P4d{~3Kgi8Bamn;-oI&@kEI zQ19Vp<~9kpJ3nN!R&eNs*k4Xj$*PExxyiBYPeX*E3;f%OxgXR{a!jyEC#=96k&K+q z)NQv<-IkEk^oj#H6=Ju0LQZEKVa5?&dKm*YfqA!#tbT+!X(33#$%jc?qPa_xAzLu<9su% z0AYI6EhV-PsXdDG2M=tiYa+;$evVuohuP7Sm$E<7d5VnPO$))BCxhC;C-m6i+I&=CqQ2E>|F zS}q7km`&9X!~nAX&=NB>Nr1irydE*FqyO1j7?pK(9J-q=((;|tQMVK3NQ^O+AAh4LVww}6>Rb(Si`5`d%;|N9V#d|o zC&i3vko^-VW_zh_a74XeW6q9FN9<6*s>9g5xc1eTuD|HLFTAgI;zDYwahrCF7$M}E znmIdqJK53iKm6$D|I>5X(c2$|(ZZjx2^Vw_Jog786V{fp2O3qB;z@YorQ=9J6{=K% zq7!D2%e>?4=*h7a^iKWPoE}?|v!kaot~on;I^$Y$*C#WsIeGmw8P{fGuTRBklnO&# zx}@7zVT{#?kFh*fH^H{bB#L{D-yHDpe}(3wR1G1VIUP>vSc??!fwJ(D_y(_qX>saBi9e-3I`7Z-J@ywQK5dF~@9`U_ zv(Bt3FTMWKE7y+%Q-gL9?2K`UeLN=I?PRaX3t#rem0NKLK8nPvTKLw0F=FDS3FdYk zfjPT+{r*QUzjU4M1GdsFDql^xMQCf8aEp{R<=_7JANbyXCwfQJE4vvfSZXhFj_Y$Q z8b|@caIGwg_%?^4ffRs`r5|rGjuc?dMIT$I(BZk5F@ZR^2LK9EAR`+juHPK`xIQBV zU^qeKErLf>Q&%*jAO&lVpr9bQo|Pglesw_#FaV5QCeX_}o!pQwWI+l56zGVrBc{3c zzq=p>0AGzi8tf^&lo8dVZ#dtb<7P_&X~zHLSId(39si4sr^V0zHKT4HPW64L2x5Qx zkY(jhjQ#DyYwalET8w?-xtxyudyG{u(}Yoq5lc31P`eCJ^%Antr>0K*f8ocDC=_Abz0~+i0Je7!#u9%N311p_jslQx(m$<$DN>isrZeFQ4(lzc=6;B?fV>JEI9f+wFz} z$&u&UFN`EDs7J&v&_;gr#)6xKg2DP0g5tHApyf`m;`RVew@m$Ev&LNT0I!9$VI-WZ z93iS`{2W0`Lgz&UjlwPtEnb_g2%|xqhum;FcQjZ>D$5P>;l^^aS>xx|a}=-5&vCt1 zX1K!^6m^}TdTl0&3#plN(BB(rV4S3SZNBF60O}V0oFz$8^1(0Da;n^J8k8~co<#h5 zG{K@Pjm;g`ou;K)ZgQ8h`!*&Me>3OG5t9C2yrgqu*=2~$-87cjlYObqn+wA3uOMvN zE$Z#YoI1Ik)XCd_@DmeKm^uDBuS-TT8jNr)aX)KOX>!B> zxiwXbSBn;!P&Q>MnC+>olSTNsT2R|Mn}rCQ7@zu;M?*UXlJ~5qPDF! zTiQrKNZ#TZ%1unWMYE-yUPp3CZsN~<;kSI(?};i#y&1iwH3W)~I>_BS16nMtHZ!9} zd$AobCuK_uJ4_OE3W8q~D@L_)Exn#Qzec!VM3dTkBMs&^lEH`#a>=>#OWcRYK0)Tg zDwsD11fW~v%XBXqGSN<@&7;k3z!>9bkcmB0M zeXjfgp*(?f#1k95k>U_UeqSO5E85>LP}VPk7~Iy^APA7|f~`^F*Hrn#4mi3rN}vuw zhQ^dq1Z==Y1Hop72^4Oaj!_sqF)WlorKBEoce+qQg~rM%bm_epW9;)W z0>9``3wIX$Ut|FK7BpdgOEy%DWVWG_*9)Ty6yu_(pGN+v$|GRpGwMJm=8~F6b~^xc z3#S1!n3p&mJyV`wEa5bugYF)iC#GgSr&VwCF*UQEU;j5h@t^z7D6dc$yw7?9Swy24 zlbM*3pnz&5>qJ>wt`3~MI=BndI1Nw@LD2>-D2YU-9+6t?6NOT%*0O&K4EAEe^+?v? zoc*g=&pkS|L~DTW^WGnCM%%mKV%#?i|C(htdGw~^F zOMZ@T;?oqFDm`Sp$Z^jMyk4e)PXe#Z2}40&9{1QYd?~a#S%v8fe`fhH75155@=d$f z!r#0J(h92f0ef$Ow7|BfNEL?LX(_9P6Ues|NJ~c!E2n!en3G4Cb+{D23!VQ`9Gi1O zIe7$D9Zuj=^7lT$m6Jyph{Qq5WMDr-)sFdmSdoI@f$v~9sxXI&j3u54E+se4_*_jM zZK1I>6}CfTaz*l_aKqO;t~tDs2j41-LRmAR|DY|DiWQ#bLNslW%y)+*#F{n(Rr}!R z<@UgNRKh1TF<+SEQcY-THuc`9R$ZE=v@*G-1$D1XJjAbbpMguM!k4z;Owp`UWNy^H z?l}6tbJNW`pN*y)d#TQ-$0x4Oowod#_Wh0@{Q95z^tXM)M_`f-gH5f!^Mry`<9XQ} zIAK?-0hKA=?c>~AxQ1p1lKam18N$*34I?W!vz5ef2gF;e;i7GwExS-TXN$0{vKOF@W(6Pbb;@8^ zpRrFAKN%17X$|eNOppdNHbSnFRse*MAOS!emp}q53HsCifGA2K1_bH>xgB|kH9ABJ zB(dl8v2?-^DErHH+F#m6)A2DutQ2Cb1?Gz%EZea^E?7Pty*v(SJpYb0zxF5eA+}I? z(F!rTP3+5;<>I7?Eq|%`nkyjhuL5$~E$Ur>xdQTbDj>h_Tfgz2`IkSsxlsz}xf^Bh z9vSsXpM-ZGGv(D*28J$5&mi>FGwO92AxJyzE20Py@Eei6);JY{g2VZW3q)}|^Z{XA z58u;D`-+F%k$wl99{5oVi*uHNZj=kQc2zL=4$={#Q0>sW-Bzi45kDJsZ=9pxaGp5W zz`dm5MbHadO(h+I+I`?luJ%kSNq4D$g+($aO^{X5FcR$?HkmA~nUf}HrQL`t!2p?8 zDF1M+4fOL|9^gIk5^a!)=_T{+IqVK(;9}B#DZ|^!dFK1G!)=jBA8cX6e%XA3wwRJE zS82Q6OL0#*&vJ)wujS#uFP27 z0r4fX_tGU)kg&O|-}Gq{Dnb*HybmN)E~&zx_moLAkf6E9dlD}^IWyubh4-SvR`tzN z!rn!AFSwTQv@Um7>=nP%U73t^>gk?YzBjc@*Q`GKw>v3L97n2j4LV8w*MX&Gi5;7uJ+Q-mVZw*6WW~sGl9Oh zlV;)w^(+_jxaZvZ`qN8peS2JTC?L-1+e{!>5x zebF(c$+|nV!<8@~3yPZYN`M8N0G=bVUqVKc1;r4U;C{7% zw+bDH;a!9NXE6jWxRIG%s5ZfosW$V98ZY=sqP9%hy5Nq($?qh^5V)k|&)BWJ+6ZTE zSySSLcJwt0-=wd3VS}0NDnB@@HdR>-6I7zk^h?7B(>P((W3?tA^q+j{QNix(w7gNl zHP`;J^TS>{8W_Ulj-9e{9F5Yc8OznA|BI}YG+%|b&|a(LMXS{dNDN+cVO@cxz29c4 zr=^m^`R+Ol6IMLB&jz3;-|ujnr4}!3%Dd`b^g~=N6kRFkw?!sLdFAv$g;Slr-SwLj zd|qayyaeWq&pSw9%?RNAA%LcS&fKCtESV9&+d%+-@ooR$XP*lM5RnIB54Y&Lg(T)4 zFq9Z-OtDf!WM3hx$2YzS?l$Z%X5AbHNr=S?L56lNxLQk+boQEleezQQ@u zqBlWI3lnF8ZDb4<^NM28U2?z001a8P+38qP5~(hUazg%YdI<|@z%FajqPrx*X=N$_ zp9;!ux})d2gJ_YY{#bLd6V~{$X1+ZKOaYOLd03)muwRo}+>Z`i50gdYJXgZ4U)C%) zXd(E399{T*i)6V&KnUR%k|72i@cq&AEi$AMP8Vjd)SG_Rn`utOiBcPNUd*XEjTwd; z5iZE%ODk>EiMk8ITm2;m4L!}#amQxZ^o78smNSbVk?nq$`_pV_@{G{tbP2s2;klA5 zy|iiTDU+ofxrOi|>bC(u7S<{S{KB)7?eJ^_K24i)d77gGwDpMqL3_#0n8tzkGQl~b zIrVq=%<{eEB_Q}8eEugq`oxF>HHqhdpr!P3`OOhP5%D4W%B)5cMD7OA80?IsQmP=; zk_$%p4e||r)dsba3r6|Pigu>1NTuY0QGSD7;=WjhI;jcz$VVt!F)#VYP$jwG{&-|X zIB=;wCY^MLrqyskD}IFTS}&x9Ca+k^Z+7bg%`dea#zb~Ymhu~@buE6xh?PZu@KSz* zbF)I7^=4QAL#yHEiQZ{qrW+KitR%24=b3L0*td2nxdMpTpJ%>5)^E*H8D|Z#!{N~o z>P)TA+ruKYG4JxP_!kfh1rB&Df(`ve%Ny(DLh*Av^uY0Hn<#;^F%5B=-E61Gum zT-`QW#VZg^Zwxrcb+O0)XdB6Op~*5^1DcYT*;hQSq&`%+E>!u3An%V0X08hm1ZOr2 za)tTIiY{YCv5id zA!o08mEXlcvN=U2_M>zv!yKyW0ln2cjia|s+zwSO-c;J;i<|g#rOe{;43#~*mulY` zSG_-6b=ocJE!d2!-VUz%s~`Odf8(>yc>#O4^)NIT)`Rw8g|FNwD6VQ->`OUHPZISXbncHBO5as~50C)GbR|xlY*Ov(}X+r&+4@L9JaN zf4W`MnEJOtlU;A|%y3@oQEaQUD~thtVH4w1zjAyt_UXJWom-rrg%)Rfre|9^vq#cD zdi|B_m)?g-VAn4~#mST|nG@D#dAXhbABK@I}zvjz8{Ev zKT!6S=~eB(Z`x$5|Kfum@%hVhwiI&=2$m5ASxX^&4g_<|mPjE{x7bB@#g=Xs#vO#& z2fgJhwp8o-acE@2G+z~VD%(;tGwApMFdz>IowF^)cm@D|602%d8}>|RB-r{WHd-<3 zNm~l0qwdEPTZ+-wQcDClSEx^7#j_StOx9zY+M*ja&pn+r)wGP(q4kM#BzFh92R_tO_b!Pa-W2`0E126?xxHhCbZ{X z>J92{9uNHtOog20-pq06-7qgNKl3a8w?|Rmqhl zJitOTXr7>EbV=}v8JiB6ZD`{2X_nTF9ZH4}FUv2NNs~n~-=5uu;~(fYtPX-F6iFH- zKRPnc@O`-E7=2^DL0|>aUxZ75`tR;M1$MNj$cAY1JdZ-RQI1ZipdI~iP#!kS)Ub@Q zeZ@R+xkm?%x8ps6L!@4vOFo5v(>#w<&@7?0x+9mSNOiBV2~K12ySY3{Wzf!qCC@iY zk0nQCoojG9#%}hfyi$i240}VgWiY%Ul>VOKb?#dL(G5|&A+7ff_er+HeIOBYDL%fm z1yHD#(+MN1TfCt&H9aIy$lefI3NIp1kgR|DIr36BZwUSl^yuZtyFJyHdOTBWf~GCs zTWi(?ee&P`L%-|)h$u}HsBUSzqya5uH#6yQM^mW? zcVS>ISE=?+U8+*&Waj-PGpF66-Ym_@%-cz3{^R$(|0n*`S<{Z$tH3Pb)2z^5AhD0# zwHwQQ*Ql@Q1hZ)eE1goXjFHI|(~gO<0&ap!Zotu&O*@PgsccZoxz)$8Ivw(BI15AZAVPg?J?I3CL&hF z_ppIu!+$cCNB~m>@hlIpSxJHUNp8p-jwM0ZCRJ1g$&@BA$2^6VRk2y&x+szb??w7r zYnd>;kT<7DW;7m-1UpHH&0<1EFDa3f9Uax?Ag_QVU==I8jvKB?$@Yr~-tr=WPPX2k zNsdAavoDS8hYo`*mVJuwE4;5nciKKtIhE}D_^IQ2Z=W1Orby2g`UKeE)ASEL#>$8m z$(EFW?fWjdU5s{ZV-yH8?=R0!im$ny_U|EEBxNsxZ0~Xm4hSOBdk=>PSN9NoB}`VM zHSJ=O*lCF86E~7fTfUE638?<`-+TUr|K!||oV`X}q{dlVk&5%Vm@0RQn3#RDN3A5G z@DLPT;S4dDTp;>%Y^05(hFlO$aZoDKqAqb#drF3Z-co)g`N-j=rw-o`LMOU9xgARrF@p zdAd4EFyrD1#ZXbkB4wXRDQIFcltNE)1Fcnhxpl%?kfXEg(9c9=QU=DEbD`}MqGQmR|Vq0iYX7eo(7NkV9q&)dyoGmNwZS@+fWOgaUiR+mQHAiNGO9=_ zS400+$J@QX%1P4WRp~<&rJ0^|JOH_(4R303F9w>Rx))jimo$mgi)BsHxfhZ&N^e%5 z?|>#M?!~$KxLs9bD37~DvC!1S$;hQ?ueG$1UH(?vlX&S{J*N)tFLf~O7WJBOP95A% z>fpbB*RTGuzZrOh76W=!fLAd`H}vs%&tuX~PCuhx;^!E>T2g3U8;z-1TrhgI4%)Gu z3(=``T=3+iGP)!#czRG7y%m>?9;~rJWmM)a8BJJ&L1mUYaLMSxA}#`_JSxYnD!?Uo ztqW@h=FeV|#@{7ll-z1Pl%(}{$sNXFA`ycgu;prBd$A{5f3>Gw@n9#jEhhbX=XXMiuIh8o6=6;;+ou1){%SQ0@<@Lp7FgU^<)BzlE zOX!3xf2o5pHEfu+d~Y0yPJGh0{?DKO?qAnNhv-5#D|VE_YUJa_d-M2NH zVh8b-0?qc-9T5>X9qC%NQ=cC;ihahJAKu5f_0*`8UT)}l;eKj#Ms;(7-ktlFUVPzI z2`*}L61LvB@Py&u;eB87s5K00pR9`c5+hP3TR!9ceV;p^QG}!zA`Jh&R7zSz}wzj_G(MF$B{sVmsb10lN(F4OcESV79 z8S#I+MP<{!^MA)=^n5F3>=<#69na&npONv}_LQG7F@x0ej2_=3daRoD%q^O|fV=5l z{>Lx=>94yBA;?e@y0i^X3gLmNpoDi?b1%?R5xN&1MA)CkGSJ3-BLuw&=*zE3-A>&8IEHN(8-8_Y3c>UIcoQ^Es4oRAm$ej1Vr53 zuhGw!|X@by5 zq%IU9DT68*(VRi0yOV1pddwCn(=rEBFv_|^&T?wWSvugQlps>>koHIPTT;f-<(iH^ z?0PN5AgEHms0_W&Aj{=xSz9=VXwkhqZEG*U8Ld7W-sxH$f%WZ%-_vfNqUEGp zv|JF~|3`0s;ced$wTJ4cy5aS>Ey8cSfqe{I!tcdV$??ag{p9$rh2LXCd~*05QT1x) zML{hFF5$Peq6}4U!Lw~EVHv4r0d)?PlwMlD?`XH>gcXI?jx_%s!bV&-)9lNA184&#%DuKsU)6Q6YH z$sz1aUU``%mfTO2KZ#*YM9{+Kak(Y6C;C!LIsqpa5O&(~y%nGa`;(uxT>s{`oy$); z#XZ>dZL*3dp=y3|uTzmp2ZAi2y!0VR4d!XB?E*y*+COnL?GS=FKZ%iQge1{A0?e(? z{gwRWVr>+E*hSlAW5t}GG|CLDh)x%tV=mN4DGaa)2&fZ83$zca(;huGSnly7)MxNZ`#Y4FOEh5a(PMO)wgoz+ z^7xU*2EHE}M)HD=&G`YmpM)~`zgRauuO;cMbZ&PpP|DfdD@=bQFpDP&qucsNFK2VF zc9^Z8j}*q_Dveh>q*Cu~n^znbu4}H*7tlXb>3PO^?l~TqZ%F@4;VPvE`U(IuX~{T- z;b_B|($UisjjOaZNi=xh&U1R*p402{oMOwKQ%Lx8%8xfcr}#D(7I8B@r&zE&r`*1v zQ0R%C)A#n+aeGi7_Pt6o9~20qO6)G%VR5_27$bQ=mJpFO#^mswj9#z744xe)<)j@j zw5OCmuW2??C@$M^{5ANi_IZCy-YLc65UBfeJNDl!uGYDaWWB%I;QKgX2$cP0JMEA2 z1zgqoP}aw*O@R_N)O_juWjproW*DKt9nuX7(5vN}&%a~CuU!i~#1>$OmbAwvu65hQ zQkx7TJj7xfv@o<@GP0B2e6Zroy#J*Se4r9G2MZ|yh4un9K~GrUAUAn+dO=fG&vNvX z9(?LMzu|XAtf&3kUdd(M+)N>oF_1I*j>aEsU%_P2y13wRy@uZ-G$1-PD36Pyr{scZ zUfUYYbt_kIA7(^ z)4dh-6}l!@G5O64$SSvU2J%-;zLU`-fUU|tyw-dX`2%O3+lNbs^2C97?BtJ_y2sNl z(e&cMLpGGeI}7Io4J7HER{%M6m~;Rq`YMW2)3Bq+XB`8lqB1C7+9A@zrI#`&<9xGH zW-nXOk>YnG9VOeLqv&hImR_9DNz!=ckK{u_vq+ZcgS5C++D=$!K2-=tAcr()~H zt6v!3L#ZsUzWBA*LTbYDR1_1Jr)XZDdgYJ4_!VFK2ckk$0X@f%4Bqo0q0r5Md}<~^ z^@Z^lK#tCetsAfKUjjzF96V_-Hxd#gnh zA*hd+Es4R>4C*MUv0DB$C3$l=Ncaay)Q|L?z!FpV(GQ>v@=BB8(W&)a63@8y0t03n z{2+-bToO~al>;AnDXZB@zbM}yC}|yRO|HW}!=*i>_SME@3qY8=-+gvJt{elys&>3b6xpD6%+t2YIx@NMMJo zR>2dC;nJ}`sg6n;;awBUN)9X?A1n>UNT4PZuSPom>PU+j2rHqN$E^f;oKX68xgD^# zC^Y;uJ1`fM++Q)tv|H5M(z&Gcc1lWr{MY}9pZoI>=V)x`agIfbXhiXOtEe#SK~$JxLcO-7|*bsLr?@W)Raq_krZN0vaMY2p2Pai&y0g`3JC*JpW*(0ZMQ) zv^vll1#h+wOe^_wYPpdH?Px^gn-i3V^oZGNm+GY1p`RW4mtKCc4TF5^&V~H>f9u=6 z^iKu1h`ujFfKjj^A;=Sw%&-z2z=$aw!lrounI?-JvS7B2VQtq#q%GUV?Qu`|3~(T7 zLVA-YxjM)0+$_V68~=%`5-n1*EplZ6vEzzcjD_mXG22EA65%vV7*WuZ_ef*`7?)_| zQo*fEZOXs80F0P{#WW0-l!EeleG0&cL7W6uMH2L=oup*a9Jt$YU?wHkWTfpRv*!Z* zlW8T99KgR7U~C=X&mU|_^W83z>aq(|!HsH_68 z4>|91vyX8Ts+U(ElZn4ka;6q37^KwEM^v{$C3;E5qeZ<2IowWB4PD-}DKD=FIS+}5 z?w=rxvB&pPmtlfO!q~)viZjcPg)u+ydq3}Y{<(A5OQwOc-ck#kEXQnH;3nR&_XQ*% zGxmav_hLF@hP=|MDSmClJa`xZbG4e28GIQeV=e$+o~04KQ+3vpLoeU;XMgoy`KE9$ zb)g)mO>#{@84ez5jcq1D99!JWCb@%CtCAZe$Lj?Wv@j$wXI&(LloJ;#M=y8hu!RRG zNI6Cn_LBMb?6<2O)&!;we*D3j<^G^#SC0-x-Flu+>|Q4QJ>)EqFHbmis`pW0Y9D$y z{8GPCmbr^6B*(D)Ux4U+U616PQ_8rn%TdTBDgK#BKciPq=wI54b;bc4^iAHquKtKG z{gto$+>dMB9_k18Pyhm47L>Du$eE-)-s_=&C3)zH87Spt4vI4rfU1|9`@eIjd9tlL zLjgbl7IJ8m`vcvs5pjXZp?^eQ9uVgC2z`M9_Gl@W$a(7>aPg}%6o9#HD4t=6jVxcS zjX}M=)b?7~j(#;1Oi>aQRz0v$pnwS5aU}=Ac!mN{H>#OMly7xwp4FDrd8*SMwYr=~ zm{R6{OU-c7OJaY2Y~a3cdi4$3MLZJcM=!5=bRB!Xz+lq(FL)6NU9G6F{GB-3+!wOeCAxByak z5C%^|da!YH9N#2m{#|~ja*fs%9x9HtK^gCHDPw4hWO}r(cHkgVPza)Z-b<=%JRn9= zN&S$+elwU=p)RpL+vqx37Ht$!vL%4Cx-*vDH9~lO%6w= zLykI84+eie9dO-sI>>gQL1;gq@o7;j8cFavQ8 za7wmg|85XF`bcWyi8PYr(-FfIr!(jj*GBkzir~%&8}q< zAF``v-!{?5k`&&9huCVus2S&`3v*KxZd-X%4pf}5)_l@P6{Ar6iMmvV3%>s93)io` z^!iJA#37fyev!U}{GS^jj%fROC(KQ|ejx8EWR5}_*?vQ1jePl~>oBdCt;!1wVkd3lkh_-&*6{4!mvNu6L z&vSBZ!LdurrFqtNPxKZ|yDQw4zoYHSU#3^L9rDBPuCVatGrsOe{;MxY-3u}w&(R;B zkGNYd$k_kwRT;06(i2tiRsCWveuTW+cS*zZsUMeCR# za*A+dXeO3u`0WHm5BQ3UHRlO(#ib1ijzf!v@N;Yv7tuaNUp=3rXdyqeR|}GiyBCy* z!dHxmi^%VFD{4exl4<1P%9_FABsHS&HDlzW@^qB(h+-G~G~39<^+AqaB=g-tkF}3n zT#A2IB+Kn-BNta3CfN;V%=afoE~3X?VZ8K<@(n^8w2fR`Zl&%suKNW(pLu*9^%QT< zd_DK{vVW!iod-5_=)|+iBK)jk-h5zN&*;!a8RZkk8{Ylt@n38o$leBmk&7s*nEK1! z2BD|oZ9t%STe2PA0vrIeFQgL&6~`s1L`)h$DN@=W?rxz7)3J%+t;lv9U!b$JDQ|Fd zA`nJF5nNtTX%BnYthpWGgwye+FkDZ&MKtlbwQp`mxSe){&-m#sP@^}acQE!V zP9Uc7KJJ8OI&6bMmTnfaCqghH3lQW$U@2rKWIaTv0fsD-uylS`@#+9 znq>LzB(sBYSRDvd@gi*`5tT2JwnzFe0<}0mIz-Tfp}RY&(3>9}uA+c+xJrPb>pj;L zi~sf&xntx!wfMRmJ>Mhxv3j1XjZA;dk_x@G^CXig&vT{BYWW#f=*`a)%rJW2;jh(a z^Q_Ax&dXy5$QHo^1eZy_vrZKHJ>m@MUmfcTY`9@!*?m&q$iH$vm*zt~26b9sSMf=Y zG1kGU3;KYlIHBvE1JgFaI*ze-ux@X|XwzQ!E{@7wP9ew-`&LbDy-Y~Tz_$@>Ejc4_ zt}by#fUH4vrTyU?-7EAay`*t`LT?3n5*Cuw+u5^{@U;(TN-vK)Q_sIcfI3$^q#1#y z=yl=!CiVqtH+xL$?P8JjIKdtTS?e^jxx6R_J9=lBwG(|_-m z{+sW4J_>u3W6+bu#yAMJY@zu?wrq!wFol^olrOmpg3tijG$@HZQns2bv#*Sp!UcC2 zYA(5*{Q`_O-HNpAE_f6&LavBL&wfREUM>hC2Rc+J2gmFX&40zA>3O-}Zgm9FAJa;3 z0D3`sUM>huLT0`x2v+s(9BI{E5J_cHx=NzTs^#O*;(58G5z|3(y|K2|BuUT9C82e} zlZrL>a6dGqog@kExFq^jZB_~{9c@V)J~7F zJ+xiJ@T$4bWN($~Z5(OgLixW~FFxh(M-D84da9La)%wLaSP%bu53aT|01Y^Kq!uUn z0P1W8zR(jwz`;F@!1RO&Ps=$jT&7{e;bdOMFCnBsX@B?xmjF7#j2J$EULN-W9%2)4 z&*f&_p8ZP!m6G-urx9X=@2}RG<$ExINcm6y*}wYrzafxvtr7A-ww2;}cg=CXM#Bl; zL;I?$?FPRp=ui>yZjoNs5F`W{$oh&PY-SlC1+_>a7xz(}x*%dr=m>goYXlbEp{Y(? zuoYAuQG%cfIu1>B>Vm=Dx?4+kO+GZ$X%lSRtthThG(T3PI(5O_5}ALhKD>QWb&yvS zb=oBHa}jvqc|2;$Az#Q+CmDRO8%)u~?=EXnowhX_AuQN2HH?nalo}G33`SVg3iVa2 z63Dt_Fv6lqs@})RidXg25ZPAkc|J^|{?j#bgcd5$qcdHvaBbeL0hm*S2m^;+9Y=^oUz~P9 z$@eqtKB8{pbQIrk?Tbd;^u~ie09Q99&jh(4?$z0oQ3WqI4vg_(OIq! zj!}>^tHE-zL;E^F21;Kx#wj~7VBe>vhzv1vjV5ET2H31!h^buUJITOg}%dCb> zMoNw0g6Iz5p|Ro~Cn-iXR-}*Mg79-#pNlHSl``Vx73m|mAbQx=@MD1Vtbk^BE7C`B zLGxp{;!#4byrTFBF1Z&9a2^{gs+G2{SQE;S%Oww(9wjg?I(7{}Pm)yZF1cOPgJB@G z(0NmF^t1Ln?C=Czt_FKu0-YO-cpvMi*tqFd8*c!sZ78{R7~}ZVuN>cueWLVfBi>PI zs8LTgSwe<{Dyo$aBgnqBLNTXcd>CQb4y!kC0whPA4tUp20M2)pjW|NOQo*QIuW|me z9p^8uWZIO(M>68+C5I8m)kPJq6x)(W6%Nmn1X%W@&qlnBRX$ZFHwBct^`TJHZqaPS z?`9~}U;E&<{lY6B)0%AbW(ZIHzyeed`3A6^5g2sk|1<8n#bbQ&41NJjYkew%v8jTodvf(<@B#w_h7b2Hw z|L*s9jVNolav2L^V@$zO529N$q%sw7q)qo*aS4x zHn9|jsRWAu5Ji=krjq_}@?Zs(Z`J%QdmZKcb2G*pSKj1M6UK8hM&DgSzxS=#jPc&r z|M$Q8i>X#pLOsveTkqs0qa;pDh!BOOeVb^~Ix!(aG>-{d%^)-OL%LMLN9`PMo)B@? zp>#jeW_|kRvTJiL-k_(WIg2d&c;U-UcK`D3&5L=0bz&E;0yom3Xy(33S`egOXy{Ih6{Re#faX!naH6N5ymR)cO^sZ7+nhUR6fc4Rq$)&|%bgvsb{ z`jXa_&tva~jCN8z>T7~?PGK@sh14~t-C%fU`37UtZZP~Z?ckLQ=lC1$wAQK*zjnOp((%;S!_#vq2_za4mmqDs7(+aw|qc(Lel=+4i{p; zDYP`3?E}^oIbp5xw`u70?z%o?|(F&ah>~d*-_lG;H)zG@t^s$Kl9B| zWi;L{vqNnR$`{dQi-rpY_Pp4-w#%e8+k?#%3_;NebVlBTibIquNh``>i3wYR3WcEP zRueQA5S==x1MLqjE}#qU(8?nj#0^vhR?Phn5|R3$y~7s;QBpjV1w~7nAPP&7IHVdx z(I!{SeG_8Ne8tTU&9xLu1tvu%V0~BSTb3=>B66TFjbJ$m1Q8en7Q41}Cd+W;#LE1xK5&fl0X+~iQ zGt*tUI+*T?3+~jw1I*u&g1-u;5=p%2;5L!UWN@kWCCoI2z9JkT{011XGR~qM7 zilR4!Whl5@S;12XQd#+mXsm%Jg^-GuM~%A+USwX?I^{&0r`hzITb}Xbc2^hoF3px? z3uDd>P8arn!%&WWqSUFx>pW}>bx;>oJ4-cOzys>ACgVw0*B`n!dDz%oEqs52ee9N> z@o#41c{j%MLm&FO4}NVlXzI=Ajpyx8i#Q<8h6STd1Y=ZZ6SMJbjY8;AlF8J$vnCr) zG;lJIB|8v?;&dx!;iV^b=o2DdrwRrl~~^;PmUfH0M#X*X7;Gs;+$A%X%)|( zS-9=Qs36Odosd`2oiqOf?QmzG-GKYQ-Pf{d9>HT<@jm8}j-*`XhN4?+C?YdG5)v9v z%OJq?S|h$r-|ikvjjrv9KVy9c?L-rnr^RxA=FIZFaU%%(_|N?8+a|Pnu1O*TH41R9 zk3m=g>Mt~O1X)}d{$Nf-r+9KyL!Ln>W{H!mh|ktQoRk&w0p!lmw>UI{m0p8q#SF$j za_&KJj~C)tIW6R!^CjnpZ4}dAD6D zb35jg4K8F+%)mAwz=I>}td)~-fr?_@t#`_tvqdZCKr-JQ*g)34@;o_iy8fV|m_gU1 z5HRkdHKzE{&&Fx4m{r$KXb(w>wAaYG#sCY|L%dnN_F)Gscypw){};&TteJ07GtaG_ zbE~Hn5|tiT$FY0+Hn)1-POInN|ET9a`6oX5OqU4A3qYR>i@sa98S=v0+UjW}1{6FD zdP3|$TX}h zNH4Dm;x|Z?w*z^f22imgeFPT-s~t&m*mu(Z>dA&u>`9A1)V5jEfNp%)p_y(7EmXW&Xr@OjxF*=^e`?Gz?_)@>HKayl0|?K`=6-mG_5MPP;|3!Mhu@ z@>Bldw?6mfsWM4&>wR%LxQdh|4%s$gLCw|647xyM$06-R$(ig2X<``~N z(ZJw=Elr~5EJ%arD*_2*WC{Yd9rl62%Yrm`O;8E*DTsMVogfXK3v!ktY%M9>P#@I^ z(%`uuW_b~Wgy0J8cSZ25!Ru^X?Uch@u444s6eEY<+TJz(I`MT1ETvygttmcsV-mHC z(!RaMx0fBEN}o#RDV}SW5;M6tvktxkcqIlmM{BqA!MNJ*fC7jlo+Jf9Y!PRF@HJb? zc8|6MMQV~H*&mwZ8q9%mBeA;DcO}X6{9b{+O9}Uovo`X=B$*!It33+k^tnVArKX^h zWO{lG19h6`}P(dqf>2{ zea-wlJX6$O6p8nJf>d_lNTCG2)XZxQd@iz=602Bl&^C!8c|wgxQn;@d58iRtZIk`L zF0@USi4xN3m>fyjjvtH}RAqh{3*SqVg^sXFKX`D#^7TVb9-5>%qFRvFHt{VQCmld% zf!Z2WtD?(@r(>2_Q$v_=g?cp?=D;g#y0C5D{O!7)28N2Ivnts6tv6&&UY$Og$3w#j zOb%Vp*$z1Z^B?-fzwntK5#>gzM+ZJgo`2dKhNQz0YlEs?EXWos6|?>BcO?~`d=L~C z_bVn1j<92`BuB`xcGEqTn!z;xb4Ujg>Zfb56vn3PtYjYqmVj9X2rkjR)tzJZK`_IW z!+j`-^6(-!$#|%W9v10_6`5c()LpoA^m6uAMjRSBgDEmM`W$n}4O3Y9&tNKVJLC>s zroCb6rXe>d0l9uVJvV4CN@$5uaB~jFr^kA?&Qdh(7R}-K^jmZZyZcXGef(FyoJ^rq zs(bN}cbJsHeG1vyS5|rJg6g&asOI3lUQkS-b&aHsqFWl=hk6%|f`NJhA7X!KF@<($ zvixaH(8v@VF@+|0atMyNL;hn<&cG3m%p_0Gz{!n5CuZPWWQWz1TGdWB=8nct?RD*j z*1zSHkHs91Km?SIplmMPYDip-4`*_}WC7g^!CMf#~)q`M|uY=VjKau|GCJH>2w zrz`c_x4Wv7&bUUi-JO1mF0s3x{&Ro%Z~gO8w5#I^JOuT@9bjSO$TWi1n}Y(v_LbRP z7rgzcDkq1f5D)T0qq>)*!EF3r4`!gy?l!^Y9vv|%D6KJhL=5e&3j&6{d9VrXt_z-? zYeKv0k~T|^$T|m{5Y)G?9OQM$?S8LyTn7wH=r6g{hPmX^%u1orOA&F`Y@^n8CEYI5 z?DJ@BtGiy>#TvFYK25RFdbG(?Qf7+ZTcr3E65q_FQ4q8fjj8r5pRoZ0Gd*E>+6{ll z&Me=X7$S6k_g(+>^KXB9D~r(rNe@e`ToNGZg0(5cice2TY2>M6!%rBNv=tGx=!zpV zO^DFB;C{Dcw1HLyqzg|?NdW%Q zVogCDT@r}-USRz#m`C~!U770QlJI<3M~~X?#(I+0OjY7Y_pazHQ4+05IL}Qe%8;my6^W0b+ zq5q<@=z}@}^+iKQH@|4u^!}mtqTz3rP4)%6U>)D!&Ej)z-?SGES>f`cK{6Mvb2GhY zkS;G8x9|EzJCSE5w*+ogW1A&6*J*ArWk!aCI3y zEc2X&Obm=(m*hE-Q3NuWj*q-+Ayd*8-gE)W5gvO?3Gh?~U>S_bvG1A5x!P8>7 z&E{$Y4G|aoc(p0?x)4B)v+R(Mzu8@FwrT&(`f5}1#1N?ab32{z;cAn~+j#-eDnR^?5{Q@`vQS_d~T=XGa`yjB{Ob`WxZN$6W?wTKUre=A->%%+vfA{ z*s|QkRl-ATHDM%{x#_~(6a=)bye);6#g)AEO!9ESF$OXEw{7B+ZUAj*`#PcSO}n;% zPu!J^vN{N&(Gz3TZsu-#>G};E?S)sRe!Ph5d28W=$E|1<)Pc3sM=n;1&b!;SaD~U=O#1 zhAUR2Gvb1&T|jFcvmn)>3!-;gy}%us^)y`*E7BEdg7E0X5yJEdP^;8KQ$f1m0j{0+ zYdcJFs^>V(XK^Ok4xGs)Z|7O>1a@qN#`4ZaWP`T{nJ6N42!eRL z+6XPx^A)X!p1o)5gNDlX6@ZUy@DMJLQWM}SW*;fxR|sZu+1nfp=5;-7&6sIMs*Vxl3C82Fm8q%-1g| zJ@ie|X+OcCDkbjO&`X}gB>^E}><=Q<-CFx3Lh`I#rU6w;7`^Vz$__uc?bTfzjw423 zf4Q`PL3Z~Ei@l*_zufKw4mZ#_!zxG;_Vy@(c zAOGb2zZo4>8kM_>v>1JQuH^P7$~XR}u|e@vk$#RRlPU?GgbF>8RH2IW6;BRzPXID? z(?Y@Cv6=2vk-p^VneN0kT=GP=0^oT9P%3Mr+Amv#Fgp#Huen1vljNO1NFqRY2761c z1QUF<9~-=p#`T=mOaL;1zZHKwVd13^r^K3P6|^cyQ_k0&lGZOB>Gg!l`JN%&FC)1X z$E_%?W4J|E+eSuz$BCKUWca^bq}FXxDy2_Gf~vWBhy+gwg_UNZ1gf5H9_pH3u!&xx z%fl*r(wmxmFils_Vb%SGRnu-!Z|`Q>k=vmi`Jw;zC;zj55I%#}feg#fz&R!X2Ixon zswr9}FNMMjisoxZB0Hpk5r5_KD&mMPh@_@cdhA!I5bXqMlbRp`6|H>RkgKb%NT0z4 zce@Sgkr7rMF$T30q|e}jNPM8@N}?r>HmEDoXK+EpXPimJ4ycuJM%q^}#`GDQAdAUF z5R4|8@(w}iF?LBrQ>3B9l8c3PsV7PL3{4Vgc1b0(;!n#(k|ccwmpty^3rNC!u@(EC zuetaPE@`~koW-*iV6;f)+k-k8CdVPUcP_eg^n8B~yX8jO#P;wPH8r0k>kYy^mo<+| zp*t%_&vyuZ2#{bN{m6#8dWXa?v|qGY5$ff6Vu(jCneWj7VXOz!)-~+pcF4b%fND+R~b;MGoK;@D%+8=RDQ^`KdRG91{wk-11j5T z|1RYYUj(WuJ1cy4va`bN*q^P;IfKj$Jid>Vv*uLe{AD}#m->>lDVzZQ1K5?L8dmv zzJl4Ov*Us&3sYwYHF1MhYN;U6mQ@f$pBS7uzPW*1l%cH%E3Xw{@FC10i$iBZ75wZqD_)`J zH%o!e^OAxg`@z9J3#wE~0?#2NUL-|1`q5VakwtQeKEEP)){Lu-y%3^MLs;A5Mz8=! z4ft>Ob(rk?!H+vL4X4uR93KJ}T4t-I#Hq<@>Ey4lTJW_nB{a+|d+JJ|k~m|@Ov-k$ zYcNZBjyg%7i1)r6)Kh8H7s?kp=g>rCI@Cw8amhISI zaI~~3?`kvCxLR;xyfO;Bsp(Zln|k^dwNG_(sztXK`sb)HJi)M>H z{T5x475?bo{eS-(-xwBM-7B}~9wqKj`~>qtR08$mU6h#N3v^y^qyq#u91U3m&m12k zmIR>|3>LtK0ftb*<2}b&Bj@@5v-d7QyQNuK*o2BE2qZwvs1#)#ETu9v{_@=~f#B#2 zI5Dk~(=CXBKK9IXkB6cEKGWR}1Ex%ZRT3;ESO`)?6cM=@HCCZ8gqnCsNh;jNNn}bG zG(l%XWrCK0lqo6lJnQnV^}c(5d++Z*|No!UJ-eoQ{%@bX_Ph7@?Y-CaSk_mjA4w*HV0LP zSiWAdN?)K1rUtjl}x&qtGT&L&l!*BID0oh3?m);2KN$SAc0&ep7vl|A#-fYnc+F0Lt;wCKm z9C*KQc~9yjgxnGtL?vJ!0mChipP-Zj8C0X2pLuse235PJAcL;mOwWP$<=gJn2rU72 z-41x`%fI6%zVb&#yEtXo6Ra=0IMotFp_*pewh)jE%zvbrcEQ29XeGsCoY5aVg)YYU|5) z=ZNnsnRc|xb*6k($OQDcB6%U-XfH*e>N@lN*{(67TfY$})imF|x6}|;V=Da?{sMFsTXD%P_^x^tg`eFXJoFO{^pjQ{ zoksvBC2@DeFdt3a#ltKa_p;_t=V{f^c|fTQj}yB@H+ZV+2T!sEzUCgZ(s=18sRX|9 z;63$Z)zOKxn7#3sPp{b1be-w3zd&(R%UkFt#q-~sJw5iIIi>(#`6Z^!wUYTB;hodj zdgyz__=RT8e2RiG>UqSbXydy&!f>AAjp{vzYw?Da*@XZh6sB16_+WPbEc41Bcrluf7Q zUjti;e=P!?7a1%0i=4Vp<3;8_-r$Qo(1p5gno3DtTQx! zVg`$#d(&fbWx;MQh6Y-57wX)FS{{-nY&#uU4@7i)M6b6un27Vg@lW3SQ}6k=N7#7= zr}^f{VQ`vnj_U@e`6dU7EjF5lBsWIuKqZ|4u*Fg#M_5{NpxG};?i2k$I)rqzHl5*{ z$$J7BIP)n}RuV)bIsK7!SnhEVvY;%O zbyz67Ef#N9%sLDOA1`?aLzk&N^(rTo4<Ugzgaa^ zIt&>pNhG1c&_E$^>a4@iCCLs%oO+`O*t}w;!!YaCD3UNyx0A(60U+aO=8<@nU5cbC zH-(N$d|#1d)hUOx=jlaNU7q0S>-QIl5*OBVL>(2W!T@#Jhjx@rzp`u&wRO2va~(=U-r9x>Wja3Y|MACldKmgg7D2V(gA~@JEg|tG2i?3av=`- zjy3USMXE4g(RjN6fm;Bz-Uw2K6~PVoUFq_J7{v;$ag9`AE_g)PB4No6g(uZ@E;wC{ zs0ZBuyu`z$D_lOfUmU)#d@}$0LCI^_KX{$S`^ZE1MyoI$0-Ga_pvUoC#|!A#Qqe%E zepzMoMLnm$op|g_1c}5$m4WYMHfa7*WRJu|l_-Gjs=BZ`cyK-`>G-zC9hsG?0wl%Z ze7$@w(($<7Dl@Y?FHu6XmR6(fii!b#D`3yhcf#%2jO6~@F+RXX`AD!_({53l>zO;o zucu>t^I5;{FMLwu%d|zoA=jm&N1;7XPK&jm401=0BowWoXNQ((SO?e}0HL&P+tz@o zlS-Wl0>n%UMi93%kcS}klAoH`ZOLwdm`bR%^QmdUTyTr|$O3*k09@2|9Z^#iK_%8^ zd(uWS^m#=(;Vy_dBYQ;2M}Ev|V?u!_=wO8mCQhE9ie4YZzAUb{dl0%=P4* z78kjKt(p3qnP)yQ+mEJ!2~3U^L_yGHwR~kEU|%wCGtK91Ciantugo>f<0G1yISAmTpZ%J@`JR6hB$Yb+&TC0N8#9JzS`X_1rC(;`Ip#!c zqi&fq3n~CGBTH*c78KiPf-yuB>ex!*k=2^{{$Pj@!I@Zdy#h|MIeT@3luVNaNkA8xJE?J( ze8^jBKKm8v8sIVIwCIDoRiCzojs#{i+59-J#<_AgzKqweSr#bsZww{qII*|*{T z-oyR#tJ;AX)XfKA<3f5cRKnclAt_en=B_mZuKRRc|vK;P&7Ere{WOQEMXR zOyu=sBJcm~SFe6ea93(!+@mcklOu>S>&dQC*3xoKKEnY}Kxg|HyX-gYE>God%|!Z& z2w-StDTpFjBS>%F1qVTcG_x)^2pXiBb-_U_AkAzM9Kr(9%(~zp7EsJ=ksJa8R$ZmT zXu#Z6I*bO)cV`$4NH55*^I_0{YT3PQ%=!%-0{b`c~nsL4Ub*=$cBfz0$)jLNF?uykRj^ssEF^9xQp z1zE7#RXJ1%o}!^jw&Qq#g{MvNk3Gl%Jyd$*;S%82-CG9}nv(Ea&ivM|&Wx<-rP)VF ztem$uHs~up=U08syTV7%nBIK^i||vTb&a{-Rf??6$nF;iooV_Hpg~Yzj;-O1tjGtnUt4?x|H*feXC--dlj+PE*~qhEcu_~P%9lr;6;q4aHFHKb@~j}3 z?yOnepOR+on>{$!~eo2 zHhom;Ut|YF9@1owTL%J0wd!aVYKQCq@Hq>M4S(R_90+7ZbA6d%e^p-=7a*UXFL*k? z&|@YC0MI}$zXT3cg{K-}wZ;#YmlGO|0*HbGl3so|Aoz8`F()YsIv{8gyTu&roTRwL zB!w!d*#UVZ4#->o?l=F(pY^e&MOv|I?tmDQ?e%C*CZ99s7J_Rwuk>L(WjHzxcJ>G4}jbs>Y2>3|f$ z^^OgToDtle2uK~|f&jXr#7aRlLA2kRy4?kf1A?KB#sy=$Sd?WH>5^Ma1jD(>x4G?n z8*xBfk{yi-OGfopotm^J4TDR9{ze(EcPr(x%wJqe!Y+wnWVk$>9hhkAtw?L;+p}M@ zp^}pD=G3i7lGXhI)23eM0olgp(GUm3&u*>h`0f}(qRv-Zv${i=86vlm3_tA;FIL3Y zEW<^RCjyGQMxu*>amm9HtV{BZj{6Q~T?&3KiM!1fP~IbuqE>58a+K8DIh~wo-6h?& z=Ju(*o7uez7t5>Dy5z$R9FsL3Se4o~bWB-aK_~u=SA)`y`$=6?U7JTywCELmGYTQ7HDI#&R zPRiiUU2MTgEPhk7iJz7)_1U94?A;i#(VbHE>_*+58#V0~&9$DJLDv1^=AGa8+Q{Q* zQqA3{lKLlPj1I+d=VPmEm$^|cXj7e~)?=PW2KP63HURtcwBn!z1F=uBk0@>*#ZR1byU2MU-atC4p7)`(+ zcKGZdq#*t@5!xeZOPh9!W(VPB9E7`H@IQR|HlX+Ft+|8Xp(jw_oc+l>i#BNGP@X2p z1yRSaJj(_ga$?qOmlb+kF!C&bcDF%y700hZysM|)G*Pe8IQfbxE21+k^EKuuKC&Vx zjI^ z8mDr0A7qLtEloOTG%ui*bHtbzqb$;(xznRwnO5Abx&K89zv+%stDfTiPCIu~U|~O8 z6}L+&D8dT-46%&xXJNeFxx3%hk6Nq-NYix;a}U^3-l+r z2{bIOzee1I)&>!?{tch-zW;g^m~}N~u0PGRA!Y$91eF*lb2{vo*@d4RU=7AiIlvkW zhjM^57?D%~)=)r_1FXS#BnMaz6OKfZF*2JeLg*u-<01ZE6I=K{``>1FTKHB&4_8+H z7fK7yB4Whgybwx@q(j6+BpremuO_X4dt9NkI$DlTQ79cY-R7Bq)S6Y1wS~3Gb~?W4 z{h^kvXv?+i8dYi8j^n8`GfE9Q^(>T}7}r=%f1XHI3YVw7u25R|b)~f)H3k-Wk-NM_ z?#?+od3)K(Irw;#^NoJlm;B7Pet#HG^=RFAx_|phDDtM@qg#^A!N*|vv-1|KxC8d8Mm)@@5D<^dxfd$?tegEM|h z40IL=v2$yXeWt%%xlbnkjndtUW1_8^BM(#{&*|qCqLO69>7rz$)?*ZT%trk7jJT<- zGo!b;o$Pwr$+jQ!@*n*EF?QL~P6nP;!)v>@tx$0fm7_~+wF1r5>2|Wx{6!jCO}fR3 z{Sh!*)v#i&999wV0+Fp01bb*`a|9fXTD!%nCQg6q$s7SkpBBJSZS5{cz|p3)T!IR# z{?=7HS+r?kkVgHrM-8s#?V4<#*el)B1j~IUH>_Ja?y>92>VkU^!quFKlJv{*vY=N| z_}R8`AtbE8J%S<20X83{WSiO~;XKU(dA4ccRtK*$HH|lI`MxyXYk%{fdc&WKGKenZ zk%%n|SHp1<$75%hXHMfqzHiC-IQ8qC3-OWf18qYqn3Y(`_iaJz(5l6(!~!QFV_|D; zmz7u~1`j$LG^@p|#E$FLM${tsg$!hCKL~0%S&8j1nnDHlixG-!AYp~}g42Ln1zO=G zj>{DUh<*SKQma8FiBoP)K~#WINh?ZmnF2R;$v{->5E3ZavT=ECIHVW1GYTNvdS0;^*ButM zsYXd1W?jw4GpSzX5lYEW05dBfqh$B$1G27Go{2afxyG}?l82@ll3ZvyKN@N(TQkxC z)23SG=keSo`+;t=NA~7*^*jFGXTS4(qgf+Rze&p>^jrs5olDjk$S=csa@{xul+gYO z$lum(sS7-N0v=Umivy4!ZI3nho4VQs2ie7Q)@YDjoOjz-G(kXV4_j-yvt6dEU2wN# zs~`00?syQVIDhM^HQ=y7%b`kwU%mM@b;%kHGqNToD%mi&IJxL*KfA{4Jo^eA9POIb z-PtS+#*dlTb!mN6uTm`~9eQqe;3-$vwVi3$%zze$=uRsV6|MVVHzfVg%xhjA>T!SD z>W`?{tWx=0CJl+|OGVYjRMlDK8f12=`jjxCr4!z?N|y3eQmH86oI`3L)v)Bv%g+-k zRgnKPT>Vu@1Zyg4&Lt(l;O)^4{Ee@9`*(i+I9&aaQ4^J<(-ABH3|w;1REJ?ptCj*0&bZ=~-NrG}{v=P>LV!>}GWI~**B zvlHE(HyN|RBR{(z&feD%>($Ax&BdzQD^^XrMQx69E>>MnvFaCJ_mf}oJ)?8Pf>z+T zsDn-|R(Tu?j@=b{vw({S++DMi5%K2S!EV1+IQd5;CniE5ZMUUzZ$`u zJJ>p{07CxGm&v(ZBRJO-p-20CO-isky- zRJnsAy81L90V=5$YhULMRx8l4!^D$5$H0Mc$k%F-gaa5o46#r&!l&4`F7Oz^j&4 zU~1~y-meiat#tv|ZSdSE6KJKU8iXP;tpt2kBFK6s zBQO`-VVnsHcAPeb01!$d3sOH8!5x$X1@|yt+I$@=GX8SGJunGkL-vTnXuk4_)Q&C) z7JID#?m<4^u1MwRg2&~O4EZ=Uh7B|QoL8i7bU~}RLXWLBMtpC(#)pXMJAcigpNC=o zH*`jP@_qg{_QWUO=YL~Qe3CD4d5Ch{aAY^n4?8E9EiO&U1X>QE_v)Arz5mNb%WL8Jf>5jVMCt;LJG6^Krw*5WuyANW2G#mYb$KoEWVM3X z1KV$R^b}d+QuUU7wd{Z)3a7$^;9=Pr-dp)!?){;>)@g6e?pJaCFgLhc3adcb?x>*< z2O_B2QL9|!;;7;47)Hz;HOs-dONTC9MQk7_vCLYM%y$R*GUnjmOoN7_b`vK#f1-g@ zdtO(0O;>|QH>&S?eZ0_#@ky8L^X*h`Vz7~Y$bMuT&nxKyxnXj}sxHtVG?&-r@>)HT z6w*y`7Diz%s9V%_P98q{QHl6E{=V!I#%WkUq1WHi;^P2*1c4_dCbTjuIBpA z*{|!#e!cy_|CGP*wm>=R&2W^XTaTlRE)=qd?#%njcmQW7Nv++|(Q#pBvS~L~2ohEv zI+iP&c7sF05M#kM6Ov6kkP1xLND`>SqmWHI;L^;(KybA)fMr}`Htir}Aae!6hvjnF z+Tm>4L1BK7pb6xg5|CuCqRM_9z#}erNW?e|F#`;C?zbv<7)*8UV36wU7p60-PqXQg zgx1+FW#Hy-xowC#M@_pLOaqG3`iU(pA9Q!HI=Q9hUp1sTfn)z-Qv@FvB(cao*bZT0 z`Vcg_phFSm((IqQ(-Fgn_kH3;3`a_{VZTPhjv82c+mRZ>uN#z3oSXvKD!+D(r3QhZ0_%W>7|gG8c9uCzAuvcg!ljQPyQo;W>5lrSGR@He}N1=GyceQSpGGb z@~LiJFs9WaU#y_H5Tv?w!96B6fvpE=9Sp108bS#22DqS2GC;-%=^BOhg&@^!5d^WF zWR$i@YBSo)lUS*4U2rec332M}28E19km}Y2cf0Lc)Gbr*s&3=dRJSg;2R)D^$6*_c zsxAaY-MZv%iI|rf9Fwa|-6ly1+RMlR+LsJK9u=PY)0TiqJdIsUtz`0tzl>399&8=`YU$8dW2 z$cA$IPz6+6K!~pu7u#j!BNyE6X_e?_tX9I0muC`-#j{Dn*8Gdu3Jkhh6^a$b;F;iV zdjOz-#U4bKO3537V()y#&6;BxIEi@!6G?Rug5r>v;2xI-vy4-3K#JQ4ijHbmJYWDs zdyV3dxFBk3Fy9-L*|x?n9H&-?#3bQ-(a=+JX&6wK95uCSIin(#&8_YWEMn~ItE!s~ z?gUvpD*tyXKDrK>SevV*RH~a$|0`TebEED#&1>SXCd(=zLoucBQLjW@We@6zp6*nq zW+3710STwwqS=(*3~%mF-}|Aj|Jh_pCA?~5`#q-WNNkVT-Nw~KsF!2=?eU<4jF2+y zgzPzZyvl&YMJH~~p(E0SFy0b2Z!I|+*I32&>jMWpBTPXGQo(#7m}C1b;C3R2o^9z> zj}TKE#_cd93CKQ=6}L+$ur_LykjbJ}2v}?RNM(VM#{he#1DMr4|7DP*>`U87isZ?8UHv5ln1$Ejjn$7;rnEkhY z@B2REH%A3keXvdhjU>5*u>gK|!dNl;9!n~v03zr$f%0`2TSd?aHpNyQ)(ChT!775@ z0Y@bpJjkDyn3!8~Qb96=wJ08~m$&dO)AVve98W`OrC41Xh-BWM(Xnbt;J zyi;?UPhCaOM-a-0g8bQ)BWlG3+d5|_qWG#G+0C7``ZP3fED0ey*(#MxK7=H{Fo zl~?v$HzF+8?fxoh*j@QF-9X;((8=KQ^5a608nW-Q7e*Gz>)IiSWXHC&)|P)}{v=m>?cs1?k2t*>)b=azt_Qnt;% z8XuO5>AN~hdtkM^C>DA$Na5xmJQ&LWv} zqqmnEHN`Vx^;)wuml?08%=k6${ml1%Z3Ic$@$R|NLMZ~64uCyK)ZIEGuwivLP6Tbo zFkG3Og{IxFF>z#ese@_ST@a;G%@CrW!jL+)3(f*fdl6i6{ybBjD4r_9H3S(Xxgfin z#k7OTG7hMY2f8TF?jbzt95OcIL@{%>TtCrM)$Ae&)Mz7a$Mp+U zbJ~>jMg(DLu;?QmJ32M$vRCR%^Tw#_$G0C?Oi;}ed5#UQkGW<&^u^V??_-|(=!(PDOuv45Uf@6c6C5D zPUxtFF07gyU908+lI2P@53?*Y>hj+b^j-rj%lY;Uuq=U#>A z@f5W?MW&;gT@|-~fnJ$a^Q@YILO<2ncM9N0)%+cw{*V9Kgh`TnlJw{#NiAB3?#VCz zaV1^S6x?9UJ(DvbnBV=NG~9bWJOs094rh3~k>=-9UNcG+WT}DHLxWhWNV95&`;A;Z z{ZX(ZX;LaqU3txD30KE;x!Y>eE(Eh`-lCYHrv~x9rr2C?RFM_klE`e5W7rJGkUd#@ zgqG;+qFA$AHdS?=%T$w3dTQl38zqL5N{E7CGm3Ks?;f)clXIullW^`j&Cc*HD{o8) zlaeUXC6u&HLCcL$QDhrZ|o?|(8HxwX3F1r0B9?}5BRS24(7K_yWaxog%~qr-sUngkJb-JI6NR}9uz zz{oYxWV>LmXkA?JxCVe+PK_#mE10ngC=d`H#)xZ;+)#aLY8GD+?Csh^zCG?cOdM1? zE||DI@U@#28JfA^5wo@wEwBRQyb-Lh3P>hm*cPqt3Rl@mR#=5KzB#|nZUy{TE6F6Z zpS`q^@33S`?;#4`B}=m!FbiEwMZP`2>@&Z{vvc52{bNed<7@6$2uwv1BPcuHJ>MXH z5&e~p+EW*Zj!{2>R$Z;G^^H9+7S_vgHdgltVvSCHB#VSt{~WP?S*PsKi`?1tFxh%w z!*6UuCzbWNC-HAQMh%3SpGWFq#a$^toq<-Je!l=UV_=XcznH! zX}4(hE^fxV_}H&|=f}P-Vpj$DyLVx5e<1u8WcVOW3~dQtMcZYus|y;J6a+T_Pd0)y zUoIGYGRL(Ic8eA1UASQMoPkeW?OX`bc)4I91;x^qrVT-Q7cNL6Ecx6cQpD;sgrI~p z*7t=lUWwUJnlm>f1VwwBpi$YdIgN1j`sWevqMUny??YP*Dr3WS#*$Sl8NlZ_r${b4 zO?jFXwX=i5M!U?nD}u|LrZa|*e)hxaV5pbPO49OGds@Cd@PcqxaCWRIfT|mvS!yJ>NjXpL#M!q+ne%BKR^1+AiO49OcVsmKT&CNa1M>Fa&c% zx?OKHdIa}lheWc9NP(jOH#2nce!1>UWvQ7Q_p7CpG{FvuhNLDY%n>OX8+2MKL%ud< zuOdF zeBFm*w#JFp&Mih^(APq1rx2#+jzn?vtj*9g<&@UWg>oLTwX^M96gRJ|xL219{_9ao zNJaqy1t?yg38MRyL=C(~5C=jBk5cEGTB! z1Tp4{iAYi&fkwDlQQdHt1gcq(8mODvxQ_TX>V}&nT3@xv36c%X)TsJXJrXoZx^3yO zp0pU1h^Q2P@c%-`$R}OnvB3#93J>Yg!b;c{xwX2&Iz3;&z-L={Z#5;&?dJXXWq2>c(>V^Mt|^6!ZD{ zFMF&7$vL%- z*7V5F2}+J+$SItZyF zDq%xsM77x~)-ECjiDWHcfZ5W#J?0;FSaqt1YJ-Xe4H%O(ZJ?ARDg;twPXNphnKE^P z;?z|{g;6M^umw0UZP?-fM-J%=PbxQFN~OL#fp zUfsKSFWBSp(K;|6;G?Ja?-fo5ELoTz@Nt@nc2B|o_E~V>=YRWT;@>DW1WUl^m-JL$ zScQwh`a)P0tNWWC>x(@wt5S{vkj6%4#zUx>d%bHHyR}o7z|C#n6iwrpB$!R`JTtM0 zHjwtg)@aWp4-0t0A_+$2_B9pYfL+YR4t}n5E(1Z-p`cPsfvpL06DCX13W^cdZR$g- zAufq&%O;5zt9iCHDlC$K?L56k7`Qk{vNZpmir+9vjGR8mbwW~H_ewI~Bg|A%n@)qFV(p!Kn_j&8L00;P!&5z!P9B%=RjDIa}0sr+8zXflxG%U1_ zW+nWu(-|cPQrd8n$J+tB2>v7;0FSZCE@(k0xyaO`5zb@mFls>Q_`?Ou>Cpu}GM9M0 zzFr{>2vpe#*-q!j_3rcWs|!B*>HPAx$Mvtd9mk799h>Xj1)uV`9DJQB zS+f?y6w7UJy%~7C-d=35ew-fy)%DAEoN%+c*uZwg36B?>3LOQ3`gm@q<2M(Z+y%Z_ zUTmuGziGa|Y^US57wg;wzFA*vs)%DFwmp4eZpZQX!Sbd)ULG;r)nBV@V($i{iBKUr zJdJW9_HOpExxrrCN`ML%+}qv6?@*T7$bIn5Dy{Rj`_DaBj}7z&LEH*Bc;#&=g6?&l zkej?Z-Nz}bXF0lb|MItd?EmpAqwc6vX5FQ;nX};a1GS#GOURa~*I>IWXXJwLKFF~} zC6%|3aQsQ=!KugU@tSBy>OX7^Ah2EmB zjltT@?;|;*B8ij3m{D>qWz;+-TUCAt4UYba9=%Itv zO?6$!xw#+$IX|Ut4{T_#Dp(HP*tA1d+Oc2nQs4QDI?e6a@? zzuIru@y^-PqkI9xr?F3RaAJF%=~=!&m4uRb@=%^`pXDmZ)rb}E(E(HP+K0Ica)H6c z(@uMf5_?B7JOTDMC57y5%9ozg;PKG6lk|DJlJ zd_VtQRVI$(DtJhT;zfZ=M);v4^iufJY0ePik`6$Hqly>CDijisrsE}k%O`9CEU7t! zts?|6$14aa&Wl&2B9qRK0I!PI5P(+kmTaf<^R14}HNwp*eA|qLuWf*d__?akNI;dU z@lAlWmVh*_AMqyQxAgl#puT=?#|3xdRm5P`_aBQ_alLrwt9Z4F_Yr_r=a=m`KYp-$ zIr?}N*F}TK3shmQ=Mr8;f#VrR387bT70D_1^C2?I-RKYX1l#f#kq zyOjnFMa$%Ro`*$?JLOo9NlzVG2G{dY)-3J}POKlQU7Vg~FC`DZlDe$H3zec<`PI1f z@(Y*u-zsx~<*Svibh13Rz_lYflP@s&uH^W+`-{ch>z6AR-1pP+5UU>Xht>K~_Z+7V zwc?Q;%5V0HzbkaW|Lg}o_1o{oSSBseIxgCQ3pOK&2o!ZiGrEIsp9=!tz^I!25-9wL zk72uz$e`Qeg25=d-x!E51nIT7V50TZf=WI$ot7f#te)^6Y;a+$NWvn6%%`pI6yyNx{siOzq$=Y&kfxb-i)a}i#Vtza*^TpwhpBsu zc);Th?)Zg&|1MV8HY!{gj_2NHm| z0)|CXs#)(ONmc1A3bZ7?_^9<(18S_V#U_>yy1Re&k=o^s`PM`FVD)`Q zR;Bw4xGoRSrhPb^jVwl zPFSe~=R|R<6-=3a6t^7Ci84o z66K!)nc3~PKrw84eUP-cC|<-+%#E8s&&M8si^Edpror>USeH(fDLu{s&)oM_!_xDd z;F*2Zu=GGDcqXc03KX#mgJ)X9Gj)(CuTw|M#UlX8REEWMI*@bIt=CqSs~slKz~{sR z2%o$20OraSI)Rk4^Z-(o`T>0E$r_%y73eXnHHutqEv|-V?vE(z$wR#m{*(Ad(;jL7 zAWJ3eL`_T`zw%I{PIksajrH4yn*Zc2M0kF?JRmpwP!H9_+9n<;d8HDIcvPuGgAx_G zY@#NDWXV|!VGmu?dRPpmbQ#6<6N6b{5T$67zHnQ_;kzA~Bp@uM*b8hb2I1U!LNS%; z_e0I9DiL*RTEK+fYEA25F_^gCeE*5TtQ>fNDyuIn+tm-2e?NV^JQH_Uf332Ky#RQs z1HT1^5_nVh=Q(EwsL7&{6+`L52B~ymZi=QYD=X5|H>-S6sY;dFiTjW0Fnbi&EtHJO zl?A)`nz=;gwY0fJ*57n>I(2%hg-e#m{I{yLQSyXfRU!ko5Q}N(B;PGS-_aD)h(NFdK?Vi909~WLu_@xdy5!*86seB5 zJ63vs*TG zp<7J}T6YUV3+-+}mTDdVbsow};+=3XG^laQeixhYSKWo^Y3nX~=UFPRqJ*F+r8yy( zD1&dWZTly_`Vah-?;oq|u^R?~JlXD7k{XNR(Mh&@j=rr6b}8YH^xcjtqOylnODjkR z!RuDRR=7aVbF(5H1Q!JKk2bBpR+uY$gxCmm>=Bi%d&PYhnz=_tNl)ikx49{`3H5>6qV#NQ@Z~LVmefJofC5O;U#q6+#(S?gK`J(^xLz(=){9)#JAk7_`+q+8mIp+jXK`4HZ1aFKU)sR^dM*tKGmLtE_o#(EJ!8E=tXGc=;Bb!9?RH zzxSu!{zoH@(Cc)r0K00wwd(cn_lu4mNR?RN{ajapfM!SqVQ>Kpoa~zI*5b1YDwCc7 z?2~{aYnH5ncH4te^zPAzU-y}|zyj&lXM63YLz0tKP-s8t@UInbs=+4hhl!N!x2}N& zMg1+2oNRP?1uO{t2U_#`>x}9@jR3p?dpbf;y?935wNrI{jy!-b|=_{e-$n z874fReyh9{f8qMmiSgKUlqx;=5%ZcuKkvx0`~)y&EGGf#cvGoLhOlm|^{ zHp+vRF}=2I5EYcEj3YVx6JY?YP?Aj9#&SaZ8-*V7mIF=43rh$=aswG#8h1XYw>A3)d#w5FnqE zYy*hA8UjGL2W(_ek}AqPPAJ=9LkVBboHiU$T)}~*H9WzAWjh@&$;HT3UTZ&_vD>Bi zHoeP;Zw0G8$G5M#ZnoKmU%u^L&A>B&ah<{(aE=ZC;17Poul>hqn6l*7J4xp~VnV4> z0e#U%qm>Qc4Dcmp8xH6O`{R(X+ANoCo;JPrD4>xqPJ&FQleGuN+R{BSZo`xrjRHB^ zSln#GkryZVBkNuOn>K>khVPe4B+f;UgD{+x*Ey_ar;RzAJM=qa5Qe}! z)*YJG%G?#>1TK`;isg_sjMENdK`P_a)L1bDSiS>!A}Od( zOuv1jXPDP?Edck%$HXA4s(y4sv$|yA?*)-=r&eBfYh%u!0(-D}?YCgfQjm~_G&_|pfBW&i! z2%FdV7-2PkjQFv6jL;J8j}bpU^kX#8qD=fu?l?t=KAa*@5KP46NJ4xx4>nw3IAJw4 z2CTr9U)D~&X?cFp@(n$maw;P&(w&}Yq0!C9%X<_#nPyCl_E5+DIK=t88K~`nL;DFZNIf=8Ga*mfBWY~*gSBHaoX#MoOSW(7%#D&-KQTj7Ej zwadxnLcTmQ8aR4HEr5>|`AGSS$2F-=u_A$Mv>f@%@ELGH%-Pj>?b|JzMQcIHgu5iC z_sR?f@X?}F-AJ-d=#t0fiqKV@9E3C4*kQZ81;iz{=*z)n%4lGjS(Y!e>JbI897yW8 zCW--_v*+8h->qyaGWb)p`z4({-=7_Z=7^JqJC2Up{EbG9rg}GBh*@&Ly4|F*GrK_H z>ZIcgZmVb5J}UgKQk;R#Ao}cHAlM^ogVMRz#^$Ljvb3)-o|oy3qL zM~et6yHGl-Y-O3GcXJHhcQ8*t@OV5LdOcQ58VFreN1(Swa5+dp!I`P*3V@@o{noX6 zp-g);@Ni`-V{9?GUnP2Xw1oy(a%;hjtE?#~eEJR|Zlq(ffw!34*92-`$#A#Jm2l|i zpGabImxM#bPFVh!I;STjN1X;*QMJNRyca_oJIrb5i?a2|-cr5n>IJ7ac3d`9`c`mx zR*_Q!(dNd&`m zJ@sUE7{L0@?c7gfffmm%m6fTn8<@o9^#X8x8t zYu!%U-^>*?x09U**ORnWO6^J_+B2>ohe|;KLU;VSiBJ-r=9Nax+LL}lo8}DaqZl$m zmtdX)vqq}y>BYz`nq&Ft-qSeg)b$V@)R3EV6*)$K@ z@NAj~ZFn}#uk={{JcFyY(5Ri9|J{hPbzcqR(|@~$5Sd0j|JPXW;t`C=#Br1yhVsn= z*Uqb4X^u%Km&X-)u?=jDF_b_Yxt{X}Ac72s`J%euehJDDMsYg~NY~&s1ZhfL z5Uj{#SORC$(tEQ*kfyWnRw%~osS^od^b!$wfw>@OTaCoj2Dm`k$abZYYnmcN z0u_sEnPQ06C#L~BQILP`*}*O}2dtjp2b9tdEue&EYoYL82-SVe2#C()KA!=s|Oy73j6-V?=sYI|dqj>cF;+?X=$~1)2zStNxV{)+|zlWIAEO zcM&^IrU+@{X8CzatO;KO%|*8sY)$|WQ(OD%F%rwRik*!c|M2+vf9`XC*=t^d`Fg@H z23+FeX$MMdWeacLigPmnm}Fu|5&yQ!Qb;bi1F8{>0TA5aClgOQ1gVr<5X{_o&yhkp ztdrD?m%lL?^<7Q*+3vp`6 zESTVSB|Hg;FAzRZd+q&X_|{ZP<#d|bl(r@YuYt0OC>C_qw!e^ObxaZiLsY>ZS4iAdzvZa%R9)DN>?(Rq zb<#jeP6cu`NRHK2`?@S1N;^K->zH9(exLg`_BM@GF9^Gu6Hz;-+K?+!|BGm&O@xWQ zOZugg$&v=fq|l%c&r)bY@|}){LaQ==IxjagxNJwV47dhVU*dQuNbuyQ3l6G<{!ghpwQJjV=9gLUZ8dK3+j{*t2<4nq%7X zeVXIv{`zn!^Qm>u6-{3_H#FRn4|>q_6}5esUX*M$9V6xxQO<2^|Wkjb*MH=UWD!3e*#&M_JEK`e-)Rli=Gpl_# zm)U_Q&Hsf;?)yZ^!Gh>aC<$k;((ohBne;;?6)B-ps7RrVJU?2s=lNU}IrpQ^{iye! zfA)f2_p5s-!smVP&wprqSXv=|UauwGj`08ui`Iez37FJmW>rkJkseuT)V44b0k69F z?uZK*HslrM)mILp*YB3-@sxb({(yu-Ehqt)34&wmAig^W7IjFKBx_=VuzUvr2VuR= zR5kJ9Tu|H%N#&9UDW7_h4OBz4NK^~2{9$PW>Diey@|;|VcyP(wNyaS9T^fhBMM6^( zBl=vTza#Cv@%ccL^9*`*BbK%=TKJ{E{0Sd=QzULtHbUaIRFh;2p_dY&BiM%%U9l39={$OUniKrTx`wrMvjQU$r- zVRyhBH)_8XuA&j73UWb23ncqNkqF&pR-YCq?B}s-#EMXZ zhIlM1%CqK6+7C&|m9)g?uPxDnOMIGCnBxkL*tc>ooFsMJ09bga}DXBT9q zT_>{dfKSR#gzmm-%~74IE`PA&&<9W9L}rvjr@Lz3zV5klTsZdG@$cE4&Tov2csOjdV75i=T~neVn3FF-MY2+Gc4Q2mdNLlk}qr4$*|(_um$rM6;%l4zP4=GyZYs|@)?Ewe^5!^4V zs23~N$(J?Sf2kz5n0v{K%uCkE7qRXli6-M#l65dU1+ESxZ?YyDpfz8e&Yn|asDgoN zlwWAK?0lh$VPp?{gDBqZYSjTPN@1g%9h{?LbMTFtHP2Sjs5?koyq3`UEQXgX9`e>*&d-%clbwOb%jwcz* z>P^BWP!S@Dz|(-}-`1= z>(qQ1QS2_c-2jU%s&&0U>rZ`=qS!-nX~Y(*t?*Uks}w)kC2^Hn+T?&JUS=hk?~e8O z5;U=wp;MJ)zCEDATBuE119H}`neWf>uv>_kBnqS>nQu^Ov;rby+xeBMI|PbY+)5;R zk*BF2j>*O%DS5LLbisSAgevuit`#%}CS5?Edw*IQ;0(Q@eOreBI77bx_VgXkZf`HaSe<8a9f*{4g#)_h9{M17u0Oi^66-&x^v$t>{Rup4k zf{`+YVr;*)8UvGzoH5q!NJ{R`B}>v6tcSF}@k>rth^je)%}=+ZhN|1I$O-eUi<}hR zObK+2lt6b$`UAkz+1{_cDd#G@xnO#G1=DG_s5Kh%q}=P7l>764>Yd*^UadfeUtDcg zE85gK4X6`bgkO~0AD6gG^<@eU(Irzs=M`J6D6!OoT}F>zoxfkb zkGWd0M!36K3EN(dLx3Simr#mh=4ypAP_!nAT|2+x78wqi$ zQ_o#TwPI}CN)V@$*oC2HtVnh0E0$`-5stm8l@O#lb-{h9Rv;synkobt$GG6GR4dSI zqcz~Iu|OfV`PwI{6;}MsKTrh~jcNsExzI9IlKJjL zwPJ$_F|A}SCPlRZ6JXohbD~Oq<2I;_Ohm{;_L_T z>rs!QS^>)_wfV(dt#|^udsT7yNOdCTtoX)9l1Ums)23u0O3sNHxcwDEFnj;!5Nsxk zV_5z23zzrb3M8tK-CjNm;=3`12-;Z+7WgV`KzYlnfjnJy2_E~nQ=4*&;2_`ZYmLA{Ik>f$rlCfWeKdw2==uKMnyJ_D_O@(<31HmDEK zX=`y`!vEH;f^CgXW@|i=beT8VMLa_suF+{Qh=V`4*M(`Y^-fp;kIy<~tU0TDi&x3X(3Ku-0fU7O+E6{_8$qGTb6fU?$8X4XUsBgi# zp^9HG36$D*+UWk;NGPhc7A-2{e*j-5%5#l2-edkTc()PAMhh``WEX~30!VJ%m~(# zWm%hOXFu}A5f7D=D-aWdDS=*Nx*h4szBr<@0qJ-GjPWEw66gz#lYV-EcSXE0Ula5& z%IdsDn?>ibNze8L7_$gA!m|zMz9X3)?hBL+2;PDuie>8IrL(7}`(lq_!tyBY00>jB zF-fM!`vQ<)bb;X;?T#F*Tz_|goA@`{E>-{u@a&s~VWwkEY2_)BNEHfxcemdf(l<$_ z$NPfTK`_CDbZ7*SBh$c*%QfvqxEy;CAmIHK_xfIh%Xks^F~0~nG;`!| zQ>zjuUj&F)T1;L9Zss~h^CIAU5A`Cv<>Z@iw*!#ckNJH^$wn5UX=azI7O{4Iy=+H1 zX^rvo$Ogypn8{wzaTUCw%O!N4&1!!Vz|KAzj@ctLQ*`PZupJXQI4 z3BLjnw&r#mFMzSwT<z_;Cyi|)_0!3nEDO$Q952i(zt#ckgtEUx!7d>waxNkQ+WRERnV)W>r>t{*>G-qc)V$u8=z<(qGM<0fg!J9n{#OlE;bV-vr1*~C(toSD3f z#Wqmo1ub%S@MIN|`fc~0d#+AMML5BLq9mS*;B(KsC{Ej$+~u<-*v(RDYO9QY;&~)9 z);Y(iL!Wz=4vG9v^Idm968n$MxoED1`1;e%B*m!m$T7G=EhH z%GRNx8Jtsqx0nJ{bu)5{XjUJ^ElRfCE&GWp?V5KU@VHkM-}Dv#&(HnJ=$lpypm$U_ zB5=1SvXN#Qi7ABx*)B@~x*+TXZ6)pb&YBQHkY?HiF-t@dj(c!DsAUU5nrRn2?AK%@ z7Iq1Ak^!W|3@4JPZFVH7ihWI}eDfw? z&}+$2Gfgv^Z03o&UdhMxrae6SS5h8soBgkv^?r^z*~e6eeTa^-KFjVa`rkeoJzEXb zbTjSd+5gUF`iaNGOxv@3LbIMU(^W{`AC6N8`>QgtJVmpKVHC4@`{#Y$_x*}eAyIe2 z)uTBV!+m5qiWzJV%Tdf=C{aZ*LtS4viW%(s%2CW<(^nP6JVehIUtoRX?_DOUFeLOl zWMRy@%6slFJ^wZ@zAfcR*0lPAtx*VL=`IG5|M*;iH2Mje#XBeOxq#*nN(_;Z8~waF zJ{abxMFS+|r7^sU$RyimlDqYReG@Q-Fm|1IFg4^|92P*&YMpDjRJg6jZpL})p79Pt zxTL&rKqrBsjFV(~dM^M^)oGCEbeEz*b)D()y#Q+!37lYJ_XH0$acg>hFSh7L&_hR&E4>IQ;ZCODn^#=h>=k$z{?vkI%^$OZe3~#sTk1I7COZQ)H*8U zt|ZU%@iYPH{J7p+TS0AMxELYn_BdXe(PL9H5}u5|tA(AiiM=QUfNu_oDe{yYS=T6= ztb-t3f!gSTd%K(X9m`UvVWiE<>X$5Q$I2f^@^aUW5?vmg5AJwPQ)uXcY*L0 z@y(ow$C&S`&>>|Nf6#iWDrHx76d%#+EsxNJ{nB^*reFK?*g%Wp0Z<$P`2da3LI>ys zSe>0iYG~Sl1_CI8(PqX%&p$qFPLhhh~>q7%X81+8X=WOR&>%TUnj2#cM$6a*i^OSR` z3*Smo+f`;yQhR2*t)L7eqs%1rlgyiuu28VwM=0J2H$V+UyES2bjpsGT4j)E%? zOf`a3G%mRHK^fb{7LkmgPr%)!m@6t87mPs}ya)*9eo87D7u<4U4s&m8@>A7iyDXLH zf(P_*3ycapGQwAtn*gb3ToAq2s*#|Oj_rzcZd?!xQIN!nEO_QGGpdmyVmVQ76Sbp? zWIPzS6SwMzjj`Th74M=#GA!C`xPE@_kC{ z*)QJwkN3vu1xcF+UG#|Q1(_hp9ZQnnvxcSP3gZJ=dI1htaznXgND_P|2pS5083=+2 zoDk?NC<(r@B6XDr?#18Af)bILAWA*NvZC6H0gCFFc`FB!O_IPe$vvt*xJWi?fi=AO zHVRYlt3-W7>OKHK8$va$DUYm4rZJDeRW#p62W*w=T>BT=c4sn50?Q>g8%(Fgb#7N^ zW^31!1eRYX7!&nJS|6oOlYb-~CFs|=hl-Ll(S%0TUP2tWk0BL&P6&(Wx1QSE-#>_|N%Qx@94cqT1ZjnqbLJX6~y z;Fx|3dk~*=MRSR1ChQ-Eq^%_^r1yS7K3Fo0Pj8r!@=aI2>_0InUV|a}f793+6Z+w1KKhcBnC^(ur%#aX1DfC`~Hn z%^B^i3y$g>zHadb_vZsjfgZ~#VY?1L@MZS?atw&TbIbKW-vwxaaHHs7Q+==r7(fbI^p)N*vuwwZ9NfS<4pe3Y)hDeDp9~n*G>@_IPN0}$?3w_$zU;Q-{RB*%zw0RE(m&d1}OxH zp}kabL@#vdNDQH%U$vo z^#$F(YUUXWNIR#B1n-biMS|K67nixFs^Hog7S9JMS*8}$jnvaQcJ84;-MC&nOpzOd z%U?Cqi4UF%#*I%dsk8KTp63a{n0fyS)bRfYU5 zDU6m3t{LFKwwEfWFj6vWlPCa@oTadkH9(t9d|~EPDum8R7mMTzlfsjqClns=Myx8I zJ6y*e>zh(|)0Xd3c+dSOKl3r4Kgub#T#&ayvfsuU8mU-Oc%EZiEQr;IU=R6NPOl!0 zsIXBG@3V{`h-9StY_|R|`B=_=qdo34`B=`af=5KT2T)(^3;@ch)%_6pSe5-AA|F$Q z=NaHZ_Aya-{!0$BkLB$5F#A}(JHzZ_)$IW}1&WZU5cIK+KPQE*Cn@wL_rLZ%eO%*k2x>8$f;tX63(~=G zK@y8eFCd7MF!41*eY8Ks1%na2XMU?V7%n+Hz%}7I1la@+j1Z@uVXJg7e9fRQ?;Ez7 z?@rK{_YGUkw+BqAS01(+hI&FgH^CDy-{AU+&${3Z6pcKu<$saSYSUBV6=W|!T;F6= zkyNQj0$@d}k}dp*+KM+N+t!OXmn7g}y$H#l)m}tJE;KT(PttYalxQ#;UVw00X{Bg; z$4E)-J&-}GnOuktNpKBKC?898+EzQ)VVJNy!#jUzN0#r41V8eIui5-!C~6HU+tgQ? ztcUip4i$>T8kX9L$$IOx<*_5RBaWgAmdSc35x4oLocfB^N$@PT`6mf9JgelB^|0L; zZ1PP-=1g&UA2if z)Fbl!LBqd&qt&))s z1BnRFg6G=y_E6Kf;2xZ%P@NRS^lR~PZ5Qg9R5UJF7)CJkNX7$Y@DcTl3qp5M%W*}W zOq2zwW?T^1)+3ZLAe@37xLNhXZ5OVQcEAOXm_ndz#E_$c&8Mav za6zQU+0YFpRB4u~1;q{&NepchJAiM~B@d>tdq!}Z6n3s{NhY_>Aj zoA_z3WCQGJzbP9qZTY@f;GcZi4}9Wph^mmrEN%llFA&q|_Q0w@CFE|ZoEC^iE>wq9 zBX~@uHlVENja&fITfIC4sbgFa#bB*&vvAy=x=Pxt7pSMBka5Hm73H>w6|1Dp8YJ7) z*vRKvS8E97qz!neIky{`Z*bVFiywk~hWr|l;viWDS41L6G-e3aNt+#rrc{zu?m7=7 zv4F3cy2!{F(n#i{O|+3MXn-5Ze0LB`skHzIK{_3Bx=L(-pZy4SDeZ|U)jj`4ll8S| zG*yBPqF2?aY3)9?4i(7Sx}(s;3iOjrW#u2T{Y`2`Q`?7VZ40g3_i0>H&pDg(+8kb~ zIh(o2b9+S|Q$!=Cw6%3}k>`4fJm3Ahf8hh4Gu>YpnPwYB9`u>Cwu?cD8zj!#hKYrB zN4s|0&z=2+M>x$E)?Y&`G+kowOzkCQe_@Z|F%mZcl_JcLl^tdlzI<(j(h}dXIlb+m zul$9=oe4>cF5O}yzN`HOnaBZe<&`?kw(>00S2!IC>i)r8Ut`-_*P+>+&feFLsORes z38$kl!RIUpXB>;ASkKrR1#|17GZABL)w23mt>q-+FYuzg4v(2{4N=179De0 z`x6WH{Qw`iP$JB1t8@MV)8>)&Ml$r!14F6v51yzvpxD^B2}$JuS-WDH4Owk4&kPaC zFiot2`$Y#`Qs*CF@{Mu^<0#r(K?aQ+mn#VH zsM(Pz8mx)5wq~o7WWGIM6lc+aXZJjPlFavK2jq?BUY4td5omIzLQQr9F_C|KU`dM0 zfn-G(?Bp5b5nw(5OiyzzO`*c3EuwzvQJux0AnBPVvzqq2;#j|25B2D!nTqh7 zzACZ!soX`g9{jq<8UO~Eq8!<+PbQ+Aw3pSdYI6cIO@bbKm~ToaOzMnOF?e0Y-ha)T7i%UewOCEJj=9MeQ2!P*_iq4TG~HioQ&WrB3n{gY{HsS8Blu z@K9J!H`@;3Y6d(c*3)*J?RBV{qK$H9qj8Axt|H&asIzsejHj+{{O_H+GcC(G?dnWu zCM6?S;z*?kB;kB-W?CJ)YjJgB{moH zI8uIzW*mSphTs~5b($QGKf>}$w9bI4tVK-Z@=J8ipkJ*0)=j!(p`c{QSp#uOL*#Uc z`d0!0*~wt8oF;mem0vUmaTDnhx(DhCICQ#akPj!YRQ^I71z5FS+zpgpEG$9hYfhJd z+mz$RueJR0Sf@*72jlh}jH{)bW(VVX9E{)oflvC%pNUc4>g~CM;c@C7077Krq$j{C z6SfOr@*E-$Qk*hv=PQEunJc2q0*IoP8)C&ABIlsH1NF}#@*cGYT@g%6ZO~nX$Y@BG zS_3(X*p`-|{!H8XHEz+zC5b>3Cpy4*Iv7Q8x6|${Bn-_Hi7z7#hD#c(g;@JFW&zaa z7JP?G9(QXUKY$jo&YY8~Ct#2Sw(1EOV1b>K9B3T@-3};*1o_1}j}8>o!$GygL-K#R z!wV;o;~e$`niNC1n7neIO#B-qyOc}0VA4((5I{x-U`Qf402qLeBk3PKt(+WwPHIo{ zaq0MTDU%)+z=7+{Q`Fd5a1Jwwv=OGPf&4t4HGl_@-gG1%@#{v{EgPT#_Ly@DFI?V} z=?KWUpbc1ta`{KV1d4kqW2wqqvt};UGw*)aMVU+W%)9Ts>xD0U`I)x^Z*b?u%eTMf z9T(-e7w=>HnYX?pB(U%3V!lL=RCi9;z(@yEa&hs!V{Yc0!t`6ToOFwp3s&mh{JZ}C zM?NHCV)9)w{gy2y+9Z=N#!>9?Nt$-G);GkfsHc9^ zxXan>U!&O`#AK!-%ID@j*o(rd<0F1QCY0`Upjao3n#1kIZTsZfg` zXn+KDvEPH%wh^R4bwTiLgA^NCO>~2Gu8|7W1>w?hq$IA9{I<=CRH!b9-e>Yl5+kuT z@SE+zx28fZf`=91bP&WPDm)_uC5CcIaDZ^q4<(&?H%U^V7RePsCX`%l4f2sBsZd?A zluZwqY)R-{{wYPFx+I43kUtSW(GjyWTFHES(9=yKOiFg|qd3N8O~6ACy5c&Q7^~5) zS>GVsbNxmuV-rr_DBmHFk^>OQHPI#5-XVaG;=2RALc}AlbB!jz=DX*61ilh9G4g@K z{JMJ0NybDiMI@4SJK{&(ZlHH+0eU$*B63S+s&B%OE_`l4sYhKswXDbY^Ow8Z?tdM6 z5ux5ZV(5{K7_!%C#0p&5$V^+l&&a&_(|*ebzV!^R9Z@#k&z(y zH4yr>X|`5N>tGJS?6n6=F9?7Yy&QtsYY&#*E%bA9joE7tmR@v%w}RPg-vvu=_giPL z9RupH5EK{+rQN}EwbxE$wE&Wj0^zB~v}Lb7NP01HkMN;NGJEZbq!$i+E1B<(85v|p z*J`ky`q?Kb@1$ZI5Tfe2Ms4S;n+i;C?~YSl zV$4KrIj@qe_h9$DBn@SE^M5K}R2;UU(t0UA56-si9I=Qc0$XHaDC-$HeVxc@>%=-g z)%Vy&1mFIDzTt=ebW~Lo!RQfz;Tb`IE(A(&qTz0{Vv+H~q7Do{4CfU+E24u*a9#_F zHgLfM$RR`!R2S;X*~#E-i?TLB)GJ7!#8ZPOs98~zwXaylS1dO&E;(PLC~Ff0x|)nX zj0n&W)2t}U+5{m+S|m7;o29XA#5IbtcEJNmAmYKUH!@8+pIRaU{~?cPhmj@OV55F% zEGbo$B6$P^h9^I)j$)*$HAPwb_d$LKlJv<}4P1OwU8=@0Kld{H-K5F7eI}}l>NHAd zB>9weDnB>7)AF~9tZdaJ8dYWXPWZA&I=&SUAX#`n)&chT3BaWkV;-l>WOwM#z=kZ-! zA05_&q6c$W{>+cqpH8}Kb`fsRMKHBAa*Jjc;by=R_dn(L{!gzPcM&W=2e3gPg{Sy3 z(FM3cR0$f21@Qy5`OP*6#Um0&QVQ0hY zoFtB}&pz3iP_sTUk0ACr8}cyN@~LEz4ASf~ zOgBi^4zQedi)No;`Yk#KVEN-;|1)3m)8R8{&DXR4Rsnu}!2|7)l5RmCv`R&=;DL4v zqZzSXu<$fWE*N+qz%#T=5Q4NxMX=z3He{Tt5g#?&#PC3v<^sQ^7R+dqzyqz;pkt{8 z=`;AL0}lkgsrDM_GZevs2Ld&M(tF33Ie`aSEQ|;|N%rD__Q#DBbt{xlKOP7Tq3va! zzyqO=r6ZYdPvC)2gl>QJemoE$W9Ub%e@%%I%ddn-!mv9WIM+z#J5=yMKqGY?w-b0E z{7jv*=X(@*Ah561kH@HQQ@oE_ZIj>#ZQ%mSqvD+wCkz=KVrMoh8P-~{Beie_8Eu+RD2SMJJh!9%51#Fc_Zo1s z^G3PidVyRic>_){LW34uKltYB#ho_-pMY+nIKOPi`6YjrHs$9DID#~l-WnWXLMel~ z-Ps(^Gz0A4_`JXTk=Opc=-<%pL~n?*UPa>li3lZ4+*&3W<+gGDKrR?l?3N_{WscW& zS-j?ghvOP?0IHnOU^W&Y1gXMY(8y*ucWq^~Jt0UHRs_q?H*|yy^}xr>w-ug}>KaGY zNO>VupETvo^8S_&{<6ml+u2o?Y-IEdx6=J}I_o&o#9bFn+?(Dglj{80z02-+MEqi? zK|YD79$M~vy<+Q)y!dlIa=QO_?fJ-Qw`g|Jr{AJ;@{#}OcYO9||JG<7(Ritoj|7jI zv}f;+C{8x1q@0fgZdXCZD1l*ob)9p{2`_lwN!`8?+VHr_R}~?Gm{_733s=S}^A$F%yT)mRJ$Us5U8A=emx>V6#hZV_@x+ zWn}A!=j4vd1#rzG$>dypZmD6qBpO1Ir-kHUwdyo*iVxXk9 z{UoljR;C+vj)~xENSR{Rsq)lFSG;`SU&r$tH|C^NEKE;j8pcp5zamY__K+fdROj~ zIa58(tsRd;YsWPGHOD;D9qMb3d8XZ>*2K)M9oN&^@dID=Rqy?M5%XxLsOR*oZ}jy$ zgbDEe$z28JCs=}0?eYiow8LZ|iF{li73yefSWeGZ{3vagx2OY{(cN-K976sf#Z3DT z1nw_#(V#gFz*S9A#xE%`k4s)lyZj`Bt`=~SBAaFUe6x-1o*nhSdM!>mVp~-cd|z98 zpIwiCOB__mm;4iF{EdndXlL(`=u@pfD}sTyIp~jr1`a(dMkpkE{Fla zh5{DHwxA}_qDyW;ttN3LiU^&GnwX&?31FS198r7gJdR?9isTX$XN)U%XfbRb3V{L^ zNu=haWpcOomIT{%=gg<#vVJPCc@s|smTjL3{*%vC=zA(I@sC%0D&8`u+!o}V*bv)y zy|l_0lZ_l(l>3340}D|u_X~U_%Kbpnu}`Sr1Him|7SwLNx}Yx{#~&})FBJF!2vjLU z*^V6HdUF8}Fpgg@FYtopE6%h40~i+}6)eAT~>pi8x1#}iQ6 z8x9lLgYvPqvKdGbh>ISVfe(afS3v14+qyk&I+i4R0)xIqy34?lV4NtUlI1_=PWw$0)A20x%uMR+JQz4)Ill<5}{Ty-yXCP zA|cCf)ZW2t$src@gR!w3ef@g4#D#=M!*@HuM z*9<9l*+tChenZRM%0W@> zD&4C@mZ9vIuukW2}5NQ4Kys~2&CgdT{dVPMJ1=>W#&RU z9vZ(w#K7FvU$_SI3Faj&CqGZ0S!`P6Bws@>J>*m+y-P zKkzBv{F&bp(V%vsxec(sG)_!rNeMm!^o^FiY?sx?TyUG(n+0{3+ncFmToA)si6M}# zW$OZp6=?%paF79oDy9eyF`!VxxZofI3ZI1{xH*th1)dI!fp*r|E_`d+02dtQKj8!9 zlEeHbv;jqOkpHCORCx&glM*Brm6KFuiY{$hwJN1oHt&h6M*j;HIoHU}Q}H)Le`Zhq zxhisQ-MPKiooTnIH8XRK?0RZs@A}|hym%t|l$DFlp)5|BiI@tVr7*1MZ#A@p?J`sC zf*2k^?hXhpS7w1jkfz!N0S*Dw4uT+@Un>401SN2{)*Wzx5bg)(51<$I5<*bIXcGkP z1f3Z0@ehZc1c0YncaRlC`Hm}s30i?Cv7)$fwj$ynq9F;mBbtxt5*M5lH6&A4=kk#7 zwOpRszIzYM6lUkXC$BoNyE>O;^n7t6Nw&?B=Plb-S<0*Pb8`XBCMAaRymV&l_qy04 zOjWoIB3lKP`cG+KpCYN50fcJSl@LEVB&B6VZx3?E@b@LCTdg{@v|2@UNf2o3jRY>J zb9Sa1{Opz-KxhKOyY|@-3+0l`9pf9};aK<-hV+fn6MO+AEzy_+tRg~sn8)a3N?2|s z_Fm&-6p3M9JU>Rv0blA9V!JP~TON@sJw`)`VZmNT=%uk|iZu~S)}a@8SK;!O#3XVC zXEi>xu_|KO+l^I`T&xna4@a}DiQxD-H1C^!zm>eGDKXq8c9Ffj)x<8+r#X|&#BSAi zC%M=Z>&tco*K1sFzW>PkA|0E)Fa)XxMz-U43FTu`AFqhj<<}~k*o)Nee!YS1LHi1R z%QY~EzPZL;+{!)Z_qn&biR&m!t*e!*AiX4a%Z$Dg+1(=esI$QT{HfpgQ}2lkfU3rh zTe060c+a^KT~70)mXR+#g4mOSh2A5SZFVcnQGxXUx9N~u!I4GcR_uE?&9hqpE3;Kc zWs4!JmG*w>NqLaWTPQ1nvfSDY;u^DC0b8U4mO#{QIQ|e!Mic2|qYVS4Ic6AT|D!gV zPo>v{o`1O*%(3Mk3icc{Z9r@xW$N5-IrR`%LnF)Gh>JnQjk&YDC~c&I8!L zcrkKPTS4QdOg2eZGFu+*TUiqclrkrN4*$=ynp47m?GoJHad3^kdC8QuYK9U)+({9n68kml7KBr~yslf^%-4jj+{Hq(_huVgauoWgV;+3qZ*fX_@RSMx6BfkzHDBwUI^TkzM84Bd4(o83OlwvyZK4F#GZj+M0=`b>@B>Bktn!6>sW>gYtxRuOz z=YUzM22?>ub}N~0&mNp9a&|yPKy2NdJ>MU+PTP-kBu#gg997t=m#2{{scethmK~Ql z*6EF5RII;0u#II@7RuW`yp(dg@=p(d5wQ=$y@YZp<$8wlzjx>Hcn_DitMg7!<1{+< zjv(ZL%|hNuA|U3S>P=b@ykBQ)bz6Q!?LGoP$xr^_zx_X7M3qXf(_K+l9GpXqmt3^E z_=8!+WW5of!u7&BmHdZQHeFxQ*zK|6Lctn&#jG_9dBTQk;Lj_qQMVVM6&!Qypa!95 zIy6q{4&&w#D6E1qXE~obYxVsa6jP!u>0vjg&RQMmE1e)nb_n&W<6Bpt!oy~xl@%C@ zT@9DB8cg|tFhNLRed`rUELmGZGHZ1^JM{lyI|!kN8AFSPejzY?v}oSWAoLpAbUg4uFLNKZjALT`vTDf1Dh2LJobM^I%Zn-^l%d}h6Mlv(H<$BO9 z@Bb5@_Md)ujMedY+-+gRXyuv9%EqB-OWZ6t6-V8H7Ve%66VgoVEJF(G$Xr&vVr7@W z`lt~MQdsS&b2NxHNS&Cw19f|AytChc$-Af(Nu1>gr?B$bZ#ZmV3q^2!Jl7;@tgwiJ zf3jycnU>P??jlA}yWAhyv{6u)x4ut}$#c`^e*x%RT;!@eoEz}TW{W3fwy=0D02CIo zYHw0BNhM!paa#IWnJ$~pCsoRHJhxL8=ppH639~@GHBc0=_{Zw|N~toPpWEsDJvpkV zbpyyV(>&gP`Th%+_oPCF9}8)(=boh-ZXb_}FzZs6DYgH)F!Q+8Y-WRZ`L=t{-@m+< z%4+v7-*r*SYSpeOWwmo^HsAC|p89Lw66sGZptZOQYkwe|!fCPsfl%QtKo+gI3+qG6 zU_2T;I}CPi03b?(=YjwgAT(!K+e6td8qMGogGf>uJYR7-6Z;1AYODewUE0AQiZXK~ z2e7FkNG`J)_i5=*Klc%(ECm)WF$@lNKL0)$tO(8+ls5!-vE)SiMp82Nc6J*pN7N~@ zmaSyIJ7CO2z)vj4`e@@^?7~ob%V0&YyA6P7wK`&FA3)KL2FmUgs8Nn!S+|##VKo1M z_EvXTyIh6*h`rMjq2YsEZY#2s3Jz;1##1gpb{hI*;@`|+@YvY?;!c)2)*K_V=`Oh} z(sG^ZXl)BNU+d&S&|6I|19FSZSZIZJ$Ze;m3zGJ28i@xlw|Lyw% zBByqq+xdyFI@$eN|fmdT1~+Ffvm-70w4Tl-HR>k5=qmvyWnmGldbX1-un4sMVfXO z+yXeKLCaE8qxl+X+FkGf#0XAJ{JD2vEN0zc+Og0Gp#2=^ePEDN9;{FIM+=tUx?b`3zsjv{KDn^ zw<55Xz?gxuTK@=&lZ*u348%3)E;9X5_p3Q#|B*lQ1OLUlqt{Kd`W~@+xes%i6hV6c zp@@c$QE;Og2tjbmNZ7nPN>f~}3+B4%VTtmkHNh zD`XRR>ce3zh@yOIns&d&{SGZ@vf_GSta|Zfr1WAdg3@QJMb6C{t?;>YImr^L0qIUw z5G$fqs;3PiY)q1~w6z|DA|K6WNS*8{E3Y>Nt7yJC(m&^rr!g(MA+oz{gMU2J)772Kg8FZkpryd z-_OxSbZ_mCO`Xl48y+*jt!J3V+8x-vnsZmt#dZVcWGc!XS~lu}=_LIZdTCPaPLDhe*DTWjo^Q+v0U+B3xzdDW^8 z=GoUdwRbaI7oYRGKYsDfz)fnN)%|}j3IU@JJRmD}(oR+vg>p>@UC|^5B*1djsUGEu z9oA6>EF_y`tW7R6<%%5!Q)>g;9;B3Q<%-7FuW=8C3oY&K5v0JbBh3a1i zWFchrBzdAX?p15%irp5y8TjHNiN=QJ?D_5h&4kuVN@7x<;ycsX^X)lcR+J51GS`(5g(m9e<59;a&$6le< z&S|1eS}tg_Q*W`f`ULb*~G8JV*x>kdR12g%G6fb-_K* z?Gn!byC5+|9#lZx>w+<;0Mve}Qe#ExUKiXh4&+k?AMJW+u!<0*?sdUEAc#^d!Dty7 zyPXM%ME4fSCBfoYatm0AhHJft=LsQ)u)^0m-N7!PQN` zE8=4M^HH#%1%*B<-|JVtDI=9$YoXx);{Du=l)V5Wn)AwvdD`-Qiuvb1>ph>A#<=un zq;7z916{bH%XTZUugaTm!4bT}DkFtrt|Y~w{5t#(IU}{*?Z7#~6b@2TZL%k4qz0kv zRlR$NOe1HchIubCLVXy^PNz6>S3|rPbc$W_MtCo%bBYoyA6z4<8qIAx_Afq<>fy2H zy5Q}Q(ak)r*U#g6zVB+!^SLT=&R@;>tB(%E`R2F3^fCWe5M-&%KOIA%+@h7-aK5vb z9x>En(;-aFPI?KAj{BWK(__UPLq%w`_e@F%<`^nMBaD61BvJ?_XA3zvk9fdiQO5^b zJhH>k#^nJI3OH@}mSZS8H6o710-;BaIIMW&OM)+iJh2?&MwTw-n&Kks7z&h&2;jIT zrUJBU(p3AJ$K`4%xhAkL3b^283>9%18u&VE=Gzl-8N$qtWPN}9*IfSp?7e-^ZE02) zHX@&6$Rrq;B1OV22^y+%;C$@!B_s@V6a8b*$?c9+q^Q2!p1wV~&h&e4b+=Jy#?srPTSR<7Lis zzF}P5{yv2Wmgf8H(n5 zv%zRfU2t@^9ZjhVjW`w?uued=qypsBm;?f+L?P3OwD$phO z;Kztx0uznQB9*C29<|M3E2A4oF{o-p!z}Ces;XMkf6HKk9Igg(hz&SoC>@#bzn7JX zs;i)_VRf}TSQsJjHqhcd88M-GBqN5DPemJn6S=6YrV_z}Hqz#Z9n0%Q zbXv*{*Nb#+v8bw99m(r17b_(b41bX?%J4L!Z@itm5Bm^%WYU9PUae4jJy@t||^; zgnbyV%2quWxQ)=qqS)-({78Dr4PmPX$!cW_Gouvcf z)S{w%#l@QQv7m!H=%Hpsfe}oQ#4r_vmDJoytf-32BteK~tq<6Cn}y9>Ns{7P`N^TU z1#m$BpOvOzk|ez>+r)6axz_L?9U}>bt?$Awq!G5C9f=Y|0BFdLvMCbOLUNMrR@2$9 zVbp&Q3`5MYTW9`NfgXU7MM7*ip)f4#><2UIRm0OurxDzs+uxK%ICtIyr}&gKh;-FW zMqOUmFzT1AcK&VgwNaL*fldo$`*2j1wA*&dG zgOf@Xvn_xL96CxYh25W_00PA{Woig&Op05p!q0*=CM#N3aSDY<;?x-Ay|!hOvVp+8vY9O#vRSCnN4Ohna!RADX%nhUF?IqsNE8EZlMgDwa*ET_-F zgWBy4LA71x54zwQeJVsdVCGqmNkSFof}0)YyV1>C!zXP^C8)w&(0bBCaIrTJGfqtv z=7MM|1;#EZ9~c~3uQ**XJ-l<_>7qNXoK&gYsaqo6nb7ZeiW2d~E7HcDht?|I_SW4H zagC?+LkxvwYRWw=S5N+zjw`co_JhvWaF%f|`E;PNuX#E$(`dGy@8JXEX2N=)`3Nms z+@oBrF|%>lkVFZHTCOCS9^%;=7`xPUV5X3nt|XbB;u*+Tnps$55THg3NisdgGn9(x zAlNlPc@1llB;6$2#MzOhl!s}77N6~RPY?18)sYNCgk@!A|Gwt*$L^v$b{F+yhs`|H z2%E?Il}vu@uv&iXxS9K!;4!N@n>^xU_rk&F?luShWY7-q_K^&rB;^vy2jWhJ+DT`u zl;dnWWr5fO52m1mWF}Q(yHQ4mST9gJ*$$T)Gfz=$k&Xv(sp=FU6I1i?d}Pw`QrVx5 zhfiLic3>ja=jV1Dj~^^=u2ATo2SlxwGr|S|dJ0u2UCyeT@okOozn|d=&IgF68{Z!X zR43fbP{SI>uU4~Fl`>vQ%#n`gc3l5zH(PD<@$1>D`u-58zQ1gz<8i&2XtmnTRu$1| zCC+U+fA0s&mxBy6&Q>BM{k44anWwv?6>r_f79LJ7wBaUx>#~WZI&?@W<8MjC>T>@0 z_UOqf7SN0LUwTO@@k?_oFU7|N0U;}jy8sD6_Pz)@$>@OG!Ex?oJI zSgv{l{KP;P$ucV!8Fe*hac(itF1e3cx%k-pl477;vLv~-^93S8s-?7MzB{F62sVFw z0(#8Kh3DE^GvA-683I{A9a2C03C7etxP|7T)rm^8f5aowf6XLZ`+%?1p+~uGO-Eth6x%IF$p4r_=WnVR*bJSu*`@QW+{Q57$Q&Sh zhC`(e_E)(y7iik@L(th@{`Eiqp`Q#ckUFleug#4G5Kq>Og_CU@kX-hscHL5o)mfm} zmX;@v9i*a#27f!mw6p*@UPul*I*p-IzdF|l7)2YobFI*sU*o1jb3zqFW3NUqx$6Mo z5u>PMzp(T|tVn(BE3P*f!KQ*FF4eIy^>ql6&%^)#M!y$SB-a7{&RQpyv%zm&sy<1( zg{nYks#>Ja1uBZcRf!5tPXnktTK$Hek$+;WU>_bGUyHR(hk0-?pu^7C*%e}E&pa(n zzx?>=y(W;YNzS zrfWEAgrdb%8(k_Z;0n5G97* zq4dnw*k9N&{zx60Tg79ahyl;jZc%Fo&c#nxQ~dPbz3o5!GhcIzcZ;MjyFmd1L;Jj% zs@kAC==}%FN5MV}l+m3HQqGcmTJK?2#S?c>pzg`CFH=nb1GRWjMt7{4I&w-(d^Ia( zbf-);0oc)lsxrE>L2G2C`L8;uRLZbPMt3wX2JjVlkYv)bV1@4N5gJK`3^nFbjb2HT zwPe2n(|jDMf)+Cfm)fsWqdVF~63pao-d{7LJNs^6av#(H*Raihh1~2-fHhQcu+l+9B&hNi>Sz^uAHPL#P!&Z965qO>dlxa9#a6%S@N; z0&!|{(*;m3l0MUAJKr=dpO1Pm8X*}1gHwLUBMlPUTn+H|8_m_gIjNFU$kkN2h9gDYOwLrf7UVvV zd`QU|deAvJ!|lj*G_@WQzLi|XGfzvh!e2OM8}MtEaIV?Ju}GUla-zxy9LhAHEZmkq z)iau~JWch)d~ zZPB(}Xrh?AXq*JU1GPosWDQ}Sa@b|NVpe#&)qaIjLyfJ_#;`ec)_42m7C@K?ZX3OP zR5$V6OuA+i9;dAT*SzPd8cxN-eKG&rEGnD6&;Jg|#J^Drs^&0qbgl%ca;jntlb(_7 z&@=Q>VoN!Ho&&v&&6}(Qxqi*@bK4(&%W=jPJW6p<$+&8J*koL26e6#1q0G2yQRN!> z7FMZYgr74mG=e@iO^eo0R#Y))+|%o_Q{h5vdV8L`hv|&eZl4xQeUuIfwS>30Bye}_liii%22qgCjT4iXy{X;qC8&rzkSBlS{n_M zan+_fu7YvZ=31ms!Gr3GaYbX5A31UPU2?PBf)qv25&_33;|hF0_E0CyZ)+yi7U6eK z&+!cXi;=kDnkWEiGdR+Q+Rwhlp69y?rlqV#eWJ-I@t4_r7B=siwUq@P}lThT#y)wMnD5ILFmU6K`f{d&~|01{#cRv z-vvkegV2w0L91!OH3C4S@yY!`_z=4wIAiD^0y_bW$Qne(sp-cQK>(HF$Dn5*kj8pN zafDqG(+Cx5V@+RAl9-%JqJoFt8z)Bxf3gDdRSG8Ok|?oc^F{P_Lan75Xxl{-nePrP zD9bmo=6qvG#Uz<;&kj_1;Z5uo;2gAP&-Z7IfhqdkF|v^^M|GXm4VnWDwX>sRVk24I zq3xQrk^DvrlnpeJ`4**KFW#EdztIV%JosK}SucgOHSU}GfkSPc^c+t5vaPBHGLx!n zO0|=|^r%x<{CdQ22NicG{V$rqOSqOmHknG2FsELE?c8}WN5A^>l;{_mfb34k&Fope zF5GO|@5jh&XhQ&8)T;?&bsl9UlK_>MH#B*LOg-Y$M}SU{=IH%ZK7(1w89CCw`>OIlOv^L>3vRI`kemKo|+#WhkL zl$Vp&G?vqHq2w)~ng+mQ%23IhRUMG6Wm`>ztP>vne7w9x>3D8OY>r}~N2?~n^@1o@ zs+m@(CaS8jP#y%ml+MrXIKLEI#iruqVf^LSDx27gQYAE0hk-($WhDPlc~mwLHDeQ2 z3yCInVeW0>I?7U7q~x0w=3U~;51Z1T|MKsA;cGt^L`!Yw;Hfxk?tmtUY}JAc8-gAv zNJ22S3&ul~vNcUX0ke`r_8>nfl_Lb@X)?iRX#*ruv-%+@D%n?r-N&in&48Gq!2(dHWELGn|tc3Mpeh|{PXv7)#Xerk{{5Dx(aU1GctR*ViXW-^PQ7#Je& zcpMu+HJN^q9j3GCk_(v^ke5`GS+24}*BN|oRL9C-xJpu9Y?HK!lX&ydG{60Ys+KnJ zwY1(B&3ud&VBz z1nbF3_mTWBNS|%vII((3Y4*DAHbt`R>s#>}dp(UZnP9j|@Q6r8= zjCoqkt#r0a$6sYv8_?R*%MP`SUpJJZv4g5T8*g2yckDUh#lOPgPbewnWc2V40IK+6TFuC6oR zA_zK}3Ihh(HS;~fB&Ds;Oi}FIqZUg=e>pq4cqy2H_T@yR;_OF#qwsL1L)seOuMBB= zRQ{;AOS9{Q=vbdsWa6IwiVp z!)dq{CuNS?<{W4ZauWZO98Lg9CAwwASryAbppI_29pS7HM$@K*SsrxW#;*kxb-HI{ zkNc^fQ5ED4Gfp3o68fv%|NM1#A`Vs3h{vHuA;Cy%boZm7Sq}`E;}7J5F{m3XD@``q zF6bv(Bo`blA*9B0!E?diy%+Dic=xrt64!;kxz3X2ILpOxtu_=fQZeWMhGgR3D496$ zZ47uW_`BMIzsIYj`5gP*TJGfrJ0zTJw=Z;=5+PjQXZLa;)77fUUQ z1K-;?sv(#WU*stfOL4^&2CYdD5Q36Va2(fTKg6+;5zl~ljrgKvh#9fTn-ygd$H|3yWSa;Mk*Ac)1k~M=tnm2d9L;|5h0qE{7{3*YoB{dWmeqBNH_O>W z@}&4DVxhXni3S#{=n&v`S)-8*4cou;yDI4pr|@L&;E=2bIZ4(#IX$!>r(E#EZLft? z_y+RH90Yd^`aupN-+CmQj95!Sju4^~*4q+(fG!{Dpx6|dHBl8S@~ae}mYqckt&J)g z{t$z#Dh0TdXCxiZ?G%*&udRygP!)Jek)J>Xv7G)qCCK4Kxf_Ikrv^Fp8lGsrsCvKS zSHAJvzwlU)V~`eaBf8x|31vQi4suX>X8nTasCX4^H5}xWe!-yN8>2D=t01TJ3kJVX zd88po)oWXL^3ju3G|&PBo#q;;dR@?3{6PVAo8C22^}66@g_>^BRO@Zi23V7AF1YSE z#R-XO#DLbn&UO)c;_Km(s_m)#&=Fo?B9}a>(o|gyrMq-bRpMeO&PAQ`YLtf_cPcZ{ zwWR-T7AxpB&<6SSm`iFnho8ENmnWu@I>ignxOtJyscnD$$Vq;?? zvoVb(h$!i9O<#=|dK1JgMj|z)uQI051kpRHxcNEI1QCUCHdr|XvoVb(h~QJU20t6q zXo841MJXY%BI6kUd7=t+#a8e{$V0F)rcs94Ep4=vOCB|*#VDx_WWA_;GH#@ADS@PC z)O@!G382g(VbT-hXsFCN)BxTkPkc(jx3#CVFnHzJ!PeMQirxN{^5fW3iv9kS@?)m&+L_8z zS{I!jdhSksN=L}NE$isq?gjb4I$hU9;&t|}<>o5aHX#9My+?t>L z@S!M5x)R*m4v~J4iKUxBjT&ZtXsKAx;d;1H% z_R8IhSMR@84KJ;{ZQa<ZiSY|03_Zf06g`I6vLMVP#i!6facrD{;mL7Y|;0aB=^g7>F>}K!TkS5rO~c;^kaNQxFm<0-qDS5 z!I7SKN1YS(TMdt*p%*7W|FyxQWY35%kav}p8lOTJz7eXmznlX=)O?mL65L^o%uW_X zvnPQ~lUbcRwt;wEVcEiUOBP7B!-Dm|drTOwEU39MA!vc~ieo7-JVE5HT zbD-ZR5yd53OP3?|)~(oW{8-2=gwd-M=rE&)O3feM(4mjZ41}-v?jO8=D>^7BDDUCF zXUeuZy#YX?$ z4*AY*jaZBUUXV13>^AI_Fo7cHpGN`O1X$bt+1lD5hX;(meTFHEajO?nRckfrK z?vTvI;P1KUnu*n?X?WGY*6EK*TK)l%r|z&cs%}i=j;ipZ*V;6eBH5v#uA_S0+Y9>w z+Z^S_O8kb2dF3X-|AKkd6wzpSnrwk^d6RB#t6o?&gWD3}Opk8L=Pk4+*`gJD6CuVa ziOt7s(wzQ0ZVbS@N?JgQA@gW72w$pgg1uSSWh$mEPiHzOMCg zCTuroWhRP!z7T*j*Qkp!vQ7ig(kx;?o0R1iGaz!2xN=LaU>*BAD-al%3oWY1R@{Q| zE=U+6TEq6D=v_3C38Kx9!f+TxX8mD8P!y4`xWnWyULz)R*}TpWq)+PCxZi9wk&AB7 z);Y)pPt#b%lg!#GK98nGDVG0JrQtje+dA5+CDciL%B%8o>>2t*iE%Y__DD}DUA!KT z)Sl04Q#1Ms9a(-z&3x=%`l$c$cLuRe!#?*&JqSd%DDtdWD>dHL7_JTn)H0%FQx*DV zwg&vi9QvZXM`>{IOr@7f7R;gVrdwM3)%SBQ>Pa27gD#%#?tC6$$u_tfc;UO)I>hy#9^~T<4~#x^VgGwbMf!gJ9g>NE zqr_M?>|9L^==mhV9YBTbrYa=iex1}yub-qK|Ht0Vl(94S5G#5COwd&;ZuTGKkD=_^47{ z5L%7VCejipFVsYDoSG`t1)=vCz`}EbT5qqBDzymimQ?!?#COpWr&y6H)djZ;aq%dq z!&&n+ib^e#OAzH`$t6gL&9@Pi>XM}ba|hg&p?kF^gM62SU&#q8(k4-cCsvaA?tspS zj@cr)6skrgnQxDEyx@;{a{EEmgol7s*_*;_-5#pa)ODb1L)*zG{r5LY_3HHww;LP) zSkH9j)1vz8p)1>~a>U~#8k+;#TN4mmda3><9$9|Kq5bkd|BlzcH{xc!&dY1x`JO{z z@zxIXp3SfKWbHeuR4eT}ri8Ng9o0cu`=a4NCm;YiwF=6al~vZhKoyAYLi=JEqoM_N zzsUt6hoERmAP|DuUWHauMNpSu&>Pc#e}rf}l-&5V>_#ohW_BD8Igeu+%<(|~d#=d5 z+KSBEm*pxywi@i~|K#1*e-KsE4*Asti3=v2WvfU71_G3uavmf*$CI%&y$4TLo!o>utMGVjibp=@E;uP{uA>{;nht5&43PsS_NXO*Lfr`(lcxw7EUUEv{T?p0#MG0*iGcYpI={Kh~_lppB;w6P`euFV0gl0`80 zhMG>KRJ6neqr7n0j)|&!sFd9$wSb4p3o#;4VfR>3{B>V4$_oLT^w{$> ze=dnm)jT;15w$mYRb`Q=tibx0tb!za9$pE4p-PfyiLbeXDG{a4I?BZ#H8|6=)R~!pJD2rNQe#R{M-xjzq+K?cH35!AG~w} zD+5Vz_q1`9|3#>A21~9UEKxUMjS?=n4dsyqBS9*^gTh^HR$jpfb2dhe?I7W+NP?Un8!i!>3iHgL3QlL_so8ic$M2i-p6d$6pKf}kC zQXQ)gDaVf1h8(WSdFA3=FT6Xx)L`={gtIE<@$!IFzv!m^B|PY(xpUoj%Jg;Ol5^4}MNsitbmEjp#Y*T=l=yMDtbe?qB?SCq5G zJSPu{vWX6yCdIIKUrLeoPN3i{!mH z;4yhf&sFi^;IRpIE~!IP?UR~dqfR3ykc}bFWst_&$gCC!jAYBD*;C5%dMPF9{<+ z^2vp6t}&x8LDR-CEk%_*NYlbW+XDFMG;K`0YN3(}eJM2U#U6E&_0MwveOb+|7BS9U zp)ZM2jef1|ntUMrGLfZ6g^nO4JMs7O%@L#Tk{X-RJ(w@8PphB(1jFaPnKy*7zYneO zy0~#o13^@2-0BdJ*lXp-{LpfKN-)Ptzi5h+4B&6OvAs5PcGztW6#hhN{#tt zXM*;X610JkB%Jli#$DMX9on#pVzVa9Nc2s`+f@Sn42jr4l)+m>Q}ssNBA(Z>>H0Cl z8r7BdQ7azsxI-fU_$_aF+cS|ESGt-*B87j67H6=#Q_2WzG~@M@5h$_Dt_rvW!^m=t zm`x;^*?i|w}$xkTQrxc_Yyl}+F0e}`mr>B)5g=NXreLsgbN(WjdADu5E@ z-3!gAv;BoRvOGnzNn!-`r4RqDpL#v&0u&8%b!ymH@QhfW#8@JuQwf-Zpv(-$3yYrO zErge1)~5mwW<_VSVn&!zCQrr-RMmFbTQQ+>Pa%D(#GKu3C4&p|H6~Dwm1T!3^b;nB zs@{VY`X>b(e3|v7ZKuaSw8~I_-TAk9<>f#x|Fn&l0~jvlpLzlxt&|rWSah-gylsAIgUQQ{E!OzmQQ~0MehucPJ4qmh+~~XkP~26BOod4{-lV4 z?J^3D3vT9Y4oSgoErp@E50a=LYgq&zXj=CtVDns&W6Sr(V~JCX3i2jMsaKO>86hYt z$WM*gs*>p#>eVDF$OO?SlE2H$^GJ+$@P1ms+Puo!a8oDn&ses`(wvn~*FpqJH zHjZ-~*R*Gs?h0Kx!*Tp6e1pf9Pu8~jK3&4$yZe_v{o8*$Aaiw0-Qg>NSBalj9Wt18 zFqb1V6u`4`ov8|R-ERb`ZGFWpCxIQwL6D_RcOVydO2F`GuEDm7GGUyiFa zT-J%PCq5NTYad>%A@d7pT0Q6H)EHY2JIBv#MAR+eP4ayXPZU$a7P&m{N%gk9g)TxKknmdDn$0@kVPd(k|FGJvwa#XJv|mp)>tX)~+VEtvz8&julVS1E1~=KP zkN3C9{T)VoOH|!;uA;w0lgR!>%ZRadVlPMlx1hy$V^+lwHidOKjpLjjeqp^sJuFWT%)b4Bm$#h zmcwXTA!}RsVRqV2Y=<5%Evd6Yu63?3HQwA*W2~5wYk2%@ifz2Z^7zxeB_E68C^`sR)kWqj{( z1UsvvvUoW9)#5#7%pG!L>|FHu z6*;kHI zCDnM|aM!VBdVptJP_Fd3w1IN@DXJ*ZoVBXC7c_O(;NH)E;$tK% zc+xnY2Bd1ta@~#6#bBoM!{k&QY?h+-(ii4-vRJh}203D9(^<%6T8T_TM4u(&iHunqHoA3W>JzG@{ z3#FI?VU-uiK+6o2xkzU|MvKimrC<9Rm9xu~Oc+EmL$P=lE;(NMo$t36<8 zBQ8%2q(2K7Va1#5ly|C|ki*IC5gN9rmEYIN;ZXaS*4J zwxZs|v9QahN0zqy8PiSk8qd|=KxttiCKc#E>6q^P7ytQ}9q-wT^d>+7r8>7WDgm@(ZoD2s@TAqZAri)7cS+Tde9dM9!IgN+AwsDxf(pu`~#ImUuJ~=xoa~#8p0cbeV3bgIKg?G3zd(xgV zg=kH?N|>!GI|;nvq`{2p7o-aoGnzs?2-Iei+hHdG*`ir#Iv%#~ln`Ceomft}QEqmh zyq>*3^+%rjiR06{6ewk~2{YkEF*(dsW3)^N2^zKqb*(L@7k5ixGw7oQIz3R;9i7(Y zh$==Qwkrx)VJo8Mo&_aTBlBJ*zj#`HYA~Bs-*6~U^JOF;H$f1jt(iDT94CTn`lh#o z4?@2@x~@?*&>v)9#@Igu@51%TkRI`U{&z?w{*6*&nCzKdd?cK?1iScjhuz-FQyumS z5E?0Bn6~^-D(DmbwQqda&qnfF1B)JJgW+334BnuUiEn1EG|s31bPLE*EZQCz>s5gQ zrNJHQuG$qdCa_+}v{#PI0(-eRb%q4C9T>EtxaK<}FMANCj*@M`kyJ=-@X0w17Cg;X{-JWEH+0Z)^Nz8h! z%fKfk5L62_iEru((HzwW*E4#^VVbY1PAE1%Ae2yiQXym!>_bTF@|P!{#W{HXRIWV$ zX`J)lr$I8;lmEUXN-HW&~yY8iVTH3pXHI-fw z1m9KotXSIGO2mrvt%@L;X^Q`ccGos}Fa)XeTo8?!RE=U_DoPmYZ%w7=g6sW)vsFVwY0D33-@ov6 zpZXKW+Yn5~=1{ezWfZ7$YXP!LVRL&l(bswl=njoT14-kJEJ&J8zZwSdGB!t5s-&Xh zZ3tMkk60OP2&k;0>Oi?QerhnJQ0YKH^nGpxD{Ky7yv@Ld1Klfmp!W4ON1?{5jA9gP z6knpOd6mduQo5F!E8QSN39G*JE<@gBFH~e&WT1lu{q#kxyTkLvPCDc``%V?o8>MSP|5()QPTfG%d~QQfapW|yAC$FA z0EOzr$zTs!T7UR%whh6#=li{j7w_CmC70dd6V>>+lIw7>-6=ia@4o*t{+kabQz(_* zj_Y_A2V}t5?FI~{J%*78AmA13mNWB)3v(v6*bZaWNm+3;Tu=gHS#g7w3{vv7z`&|z zMKOi8qK()jd1@hD#`20{3jNeuT4{hQ=yI`9)H|+GOrZ&G!9f)ihRsb|K{16UxCXOc z0h8qhT!xW$7^q5vAX`@~Hbk?k))kWC^(9M(jpYJhOd~1!&Lpim3~K^OqYF^2Da>M% z1Q(wjhJdAk3#iRpzrS{KvbMWsT%MxvS#E)2wUZL38u-~9zSG9BG7Pax& z*|1-YVSn=veD#<9jTm69nIAXok=T_+;4BzG+5}c6Cw6m|8eKxz>=S}>x&pOem8G7u zSP*7-gOqZcuC21vs09)0y2aEA^#JUu5H-`V`!%9Z8K`tzv0KYfjeLzX>@HX;uhD=- zI4&W0)VwtzYE^}pnstkvpsfEFhNHKuEZNAYnR|n~I@RO6QA3Uq-HDebaA@YmHQ8bC z;;K?Fbu&`j$7d&D`7ItNwa58<;_{{Axt)%0Yum&m<>SS{OUH9N9gpj+==b0S?yB!A zeqTDC+i^UTc(J8FPGlw|$n|IUHn#9vMk)ejWOzi+!^$r12pLBUvChNF24=-w?L0Z! z)hD?H$v6Ma|Ne(RKKeLnZq4nRW!j!Xn4@9l8fD6?iqARkobtwWFLoc~#2VzXAeeau zv~OiaOo0~r28*~*eAITqY*A~u;1=Dkg_GscGL_aJNJoL!NrQq03zhQjZlu z^jee%cMWCJei& z&|IXnAn}vi;0%%nR_HjMzs__kTx_Qr%Sc^uhlXyJzAEFPn6^@B@C61E1>wZ-m*pk&3ol5DJhn1CiGSgPH?dX}P*OgRyB z#07yE0=HvXqW1%iQ`3_AHG*x2Ny#F(v=Kcas2GZ0Ys8_7@~G9ia~}9wEUYllhTb$qO*VTR!|{72 zpMHcTY47INgfM}jg}-J;48*0Q5PJcSEZ^E6Mj^A0`L(b8mS}0Di8=>nENzE00#uw3 z1s02iq6D@JnvOrM3xaWgnPH?Jpyj*f6GBjQrzN*zz{Y|+U*ZlSD8IJ}qGAFy{9JL1 zS*?wr=uQ{hfbF1ZeFTXR%qe)$oqlR3Gps>DZu9@5J56v?Ms|SI-0~d)gw0$^3r0I&+MV1OmWNU#gioZb3P z2dhjO9MR)FGjR^}ZZ6cDc8gk5dk*!kCe-_ZxBlce{olcpP`{@Q^|lC)Pz#E-M_?<^ zXUNf!xGNqVmB4I)F;? zbgl)s>RNzHm^*zB&*tv&?ecfI$ntFNuEyNm{rLB9{(~@g3NyR8^CVcAvxeq0z0@Dg zT@l340a!7PCji4jY>w?}a)jsyqYdNH#K!Dm2-4j7iZF*5Um%utW1S&FFy{z2+Xd5; zfK@PuygfC|ov(<}vYqpHp`;vAXELiwVubIUZ3lP`U_+aimFV2BbB9n&f1-US z*h$WgN_6g$XydOPA24PDeZrcv=lg?6J$NGII(0^9wPtmLmOC3X0fI~KI`bVui|LL2 zp6IlpsBZd|@-0H4C2(=xbihHq>&*8E*GZ(9h31|0TJtrJ`YsW!_wir#Yl4uX+Xo|m z-F;Pz6kTn|zmYvdvj!$k8qnkGG;ZtSxa)&pR3iNph)ZCfBmWj{6^Jt(09NFUM(zlv z!M`f~ml?TN&Wgs~2_CLPbq)i_csK%mMC0iTL!gTMWjlrX1me=B{5&4{-v$;Y>i$lOc6q95ZPk8!(1?Ef#~h1MkZFI3Ufi& zDl5VQ!l))81gXJXFt~YLpGe1t&ILg;G;SX7f%aRAktvden+H&xiZ(M14{-D5oEFqb z@}Vqi;_Hf{U9Wahs8+3+?+#|lAYTYYyN1!^Z&^v^+ktIoC?k$dt3MINlX% z9<_luB(Hf?d8>{z#hv=qOSq^z4`}ZU!$xqKjysh=sAszlbsd-2ptP=|{V!5^Ekp1; z7e-K%r0cmI%30>NrA9zy0_Boi7W@jn>n+&tTOx;Kk5#&{;xE!FVc4yfkfG1d+N$kOaXaT~%We z&Rz|7UXeD*SKO~w?8(eitIv=HsX<&Y&8^v)IksK!Lg+KNAnVU1A&X|H0z=ko=sc6HCGJJKK_^f!2AE}s1rDl z@&dAFK-|ipmdHEtWwtGpTcAFG_!91Stc)5TvU06;V(?un>qH zIQXrgIBzA}hNT1!TC94frmFQ7Z3ZS*1Wlz~Q5-y55oi&xD(bM#%e&$lscL;i(2bz8 zp=!ZF=?!L8hK?<{M+l>M-N1MNQMJA%#*<;}Cf5XJ)h6aDb|cg`aaTyrF&wV?DUT{p zjY>`okW>@aiZp_dqHjk+sL-B+6GZd`!*jgys{GuXxY?vcYIW_AkpDfC8{d8%vR9=?eC3Lo!a|eL=+=G&mBCHjd?OVcqI1a zxr0YVy+l9)=PW=y*$x!1PUon97MLDjxAREJ*X}G^c)BbZ*AZjCNe4YZXpy)^s@lrdLy9#|sCCZdSkVY_AqUCVT9 z&^{WJ@@PQJfKkKG>2!~wcv$}}1B>F1w9%`IIjCq`=jqlN9DI_dTeBe;(-bGOJM5h} zH9o$6`0$T?-f#QP4;_n3_NXy~B80M@cfCG_^; zS!t}tS`b!ReXIpx*6NSaf>1QU!%qkMm5YW5Vzlhr>F*Ri;DF+`<)Hm zHYUT8bX^N(KY4?egh(8rXc?`hTEWWT6>0)qarM9?Xii-jJP%{m$SJog zRtB#OrCn}%*O5&erf1iq)fL0}7MhZ1yg+m%i z(iwft7$S==)|1S42V({hJIR`h-fa}lysuftexvP~Q6$qh%J&B`30xqqx#bk@e4R&w z6ZZ<_FX)Uksrq@Le;-a@GwK1;BNLUcI)}A}UqyyT)5<>f;I|Jk&^BCyp-<*6jL;s= zTt-%~C!Pn0>=o=O+sQ-VOPw|aBz1=Yw1@Q0gVm2;cb6*8>rFB~ZZM3wA=7pCE%?lH{%brnEi*Zz8X?Dbc>#J@8UoHhTrikpYVxqdeg0Eo{n}c?p;`K z0KkcJbe2Il4fADuJ=+Cbk@m|4Q@NLcPqJF$_ONYMu zG;^4nZ0y4jq^9v}+%A^nGeU3$%3yPiqM~d?Yt=)+xfOK8iu5jgMQbO-Q?I0SFMn&z z_V`5tcom-kC2=;QI+oOc%1`d&RQGG`h*qsB6+FJ?YKewA`h9FdL0U849b@>QSYiq0 zBffd`p?U7vx?c|No;rU^;Hj15QJc3?C$HVgdmkjd$l3m>Cy=45Si7(j;hy8W- zFv?|@tHrUh$<+*ZBhiFWXNhMcT1u@%n?{;nw!^_)t!E@fmO9TBDl4lxQwZR-PsejR z9lxEea)+o@H(OO5qE=$Z(($!}y~6e8K_jcpY*o<|AW)y5+i`v=LygT2O`lK|S-wuU zoOKoJx|26KE~o2eU9MAI?Pp!pKOO?r`DHtua5L-H`Gl)kSH&A$6K}|NI(|3nw)yz= ztgF6%*L;83PRHYV^ZoC(v#tV2JF)ud3v)XiPaq*SwJO)+o!k0r`Q|fEucc^Lb=Ixh z*g{QjX}E<={MKa?ORbU2C|MjXwnmya{$ccFRaWN3`!BsDE_Nw!avxhU&H`^1L5Dbx z$(03%u+S>j(T)B5R_`2#4lOfVc<4*_aOlf-Uw<#KSs!I`_w_re>&X3!yzl-+-pAwo zD`Anmi-(n6)ls}q2|4174=x_O_Tb|FI}x4C6(lA+EMMtha5BfW!y}Y0F!`=92AOhK zhULly5B;<$ywMnoVE44U!bABzAO4*hVyE38m>-&cO(|M^8h98r7S#zbW*DLQ=E zgq83eDz6*f2z-8M&JHhsW#$h}C0(M4Xd{@M5%@}MB|=k$+V$3LNxldWKc7kpkG~Cd zs+b661Rj^bcd8xB#tsMVGsj+Z|4Tdq< zBpiivJs75zR*sHrhi4$+U)q#+);)vUtoT|d+g{m;LzbI8nJxY)reY9CqnfXPv9q^lt)XcYz-i5)Th4U8r>_PPbmljVsmQIr$rJqWJm?B;XSVUl62XNq6!NY4T`V=$&N*iW59Ggx3drRDBYYQ^qHW)sPp`( z4^R4P)uX*sN0uK_kKgsd*Kh6LJQ;#t^!t)$hbAC+0BSArm%uMh`7j9nh`WgjQ}SSe zET9{{rmw@(ykItUlWbBWiW2Hy*$j`knDHB&e>LnQ%g%?YV* zdf`+JzAw}g%H$U^j=pK-@-99M-kmgm`>o?bxKV?n~qZoQRKOp96QV;~H^UHReu!Y!x1K%vfj_XCI zyvPXvVy{vZz|8CG@1-d68(8(T2z1rx_6(i3G9O98A8 zx9~O=TZ4la5c%lIDlf92(giy`27Nndd_ZpU>hupw{9Z1jzj~Hqi2Z5*!Y_R5>mMCH zq?FEkh+R5-Odc6GHVICANb4?Q6bZz+C_JOKKiE`dxwDobTeLS!ol|sWUDRM>+qRul zT(NE2wrwXB+qP{dm84?ZwvE1DcmJdNZQpVBemnP!wHM~J13OB2Kvy>?pQpLpEw>b~ zNwfc|`usO+60`&ox%a+U*y1z7k^xkI7U>LDUZM(WzEN%mJB!SFg&0e<8x`Itv3pCip6 zxKzog)JVaA&FhbhZT&s&wnv%9v`k)f#@K0JA)ZTimX1C?|A-lEhGrs>`1Y*P#H({+ zL>|T8`%bpgbIPeYR5lP==_+V47yQf2;%8r^4iR#SuHLX+FA9Z1U)gx}mJJ!but9_y zs2@AK?zV-^rW&CONf ztADFdg^Le`%=qd&WA=q>g>DNY_GGVECBZ@)f;2&Xu~V#uIseR)*E!!;pav|@I>BglqE;(QwQ+r!xStVvm4=?yjeC{#P+*X&77jH#H{Rm!8lX7!()R;^S>=9Pcx1w-l z2@3{b z;IH^xtiSp`bp*(Uo*jFVmP^NG`T;?hlPMvvXjU0QcMmi58XpOA;Me)Q_QGww*&3gn zf`XM`kR0^%GTmQFF$N%;zO%0HH9j13prCZHB?uP4cJoqYg1PmoYLmV9{?tF{>)9`ASPLNfplFZP)BZsX<_M z=(U)#F98_0W=VEgz1p_1cW^{Xu6Ox~>|#T$fx&*&i+v%>g0~7TD2U8)pb`HVMU?m! zV;^=1r>M>SeNc-nF}BS2&jC4p7W-Q*#@HLXAN?>LhnX)W7{1FB?=>Ha3!EtU&Cinj zPp|!J2nd{YLy0)Jn%6jWos?pz5HcLO`(fcSZIEgGfYrA3*ogi-IIN^~4=Ai8TIdzX zsX)z1PzSJlT}YnR40u5yryN3M*}|k}ub5R2NxP9RlJX^8j8+5q?PahJyM=n zJYXwFdM>0O0$hNm1(@hX=ph14Okz2fipZE7vb7;iwM3zDh5`hUrKyl)VqCSfRwP=k zl)-7GBtVb&yZj%CbTlZILgkX4c`vVZv$VqaNbd@j+@?K$7qA;%|8C2lv&%OK!{uE9 zjD*DWo|RnQ9pc5}vl=j8>@>kknwkuI`-cYs#H#P4hvMTS80`2}GqR4TzD|gKN zA|KN3Eu#$fs%eLQ4drCngluDa-jCK5SfRxjX_g{}l}OEC77DK3IYnHtu449aPA5e8 zLy#I_*%;Bd+#<1_;)#u&aJ}ZI+r+@)T6Tp+Hf{@{I2@|0`@vd0&6UGK1`hk0HBhr{ zBdNiZsr9@Ga$_MTMlNA#q>4)D`Dz0|)BN`!ACaIeQocx=1W+EUcO08R2L&vfnn)i2 zYbD@1(3mp~D2`bTDh#_x4R#w6(3%_%0HR&eA6?cdK(Grkc#IwChWa;%)~nODIqfjw zjzpXhHQb)&a?g{0pL7Ah?0;gkJIA=|mUk*zZ}U6a=sV%$_mkCz-fBSq5UnvuR{odG zRrULi{4x?d?7b^vP!_ojjrwMAAJngG@Cq?qBr#c3Bkc?QWbiW(G(pDM0Us3V((#~2 zARVOlKVR76aVwvS7{wrNf~5DCBKrxOKIdFA z-gdpMuNI#vl#g#N3gd2e@6k+fO0F%ySL zv;PqD1cct3sTtxnT-1z-r`$R-S|OiRe(|z}f=)W&o1h@mWD^H;4 z(mv*;ws8|x!)brliu1FvHa+_Zo*-FE5$te-x(g6vKgKiUtL(rr zsP*Ghee0k^YE3>Xl&aBb0=u6&Hz+TAho}CYQe7Sn0`FMj`F;HL)Zg#pF2nV}-D5Aw z?G1Q|cT2YoWa;sE3z-3iLbAoH2ws`K1K;Z?UR6WXLln+V=5eZBoAy={T@cusb z{a0WrL2=A|A(ZDeicReOy#P}iuLcyW?sb4%x4*I_CL%gN;OTdRx<)3hIX)g6sxo zm405G9JTB?YTT%!=V&%jO4(6%x_M7cyaaw_;AasQ(Vrn zQPlQ|L2ox|Xj!`M?oOiD(^@y7w(4BAxlgg)2{!3VpRZ+~h4nw>Pq#zoc=~oM$SPuv1OzY~9 z_(y&43u6wXb0mDqAJ}UQi)o^CoI-^b05?DYObscRhKTjI2y580Ag`cTT`$RMJZ-)U z+My9U_6l6o=&0Pb}dWY9-?G>V?4efES(O8~zf1+E0k&T2Ggt3AUK z>UwrX^N*dSbNP?kGB(uo^b%)^V_$<+_6AuMsBWsdnX@&>m}v0H%v(jPBf$BQiJE3_ z3}k#*1x%FN6%`xIjjr6V5fG zD=YEM=EH+Qe$RZnkCCOU1`4&_IW=a=Wh!5^b20h3{+F&~$f0@rP`l(Q?Ad<{G)E{( z4rt|LO{Gg`RdIq1o1=OJ{USb*Q4Me+z^yk05ei)hWYxY=`Cw<$NN@G2%EG4m{IvhP z^lywY5CrC5p#>*ZXG}8SF0C5>cO~EoUrH)l-P0cL(B{q#R-x`Q(gGJtA%-BY!@G%8 z-S2W_j4C5uC++AU%v7>dEFhMtIPe#eJ<)l1hBpiK8aLn?FbU6X_f=yg%17n*CtYoz zk3`+tcCc!GGLBorj%I0agw<)e807RbsM3K~1A`eR2Hae3;wunp;LuZZRqgRhh^yOYm zll~1?6jAx4Fl>mYZyS+p5rMMHhk+Sg8n+>2F^F84M_@(PVU=83=1P!n>0XZMk`??r z7f%z=0}O}xy|tG1x%Ru@j`jN1VW1Gn!5H)K#5e-EF@2(^K{r*~VSuVNnLwG%BHl1! zYQma6?dyIDPS{4Og1ofAq8O!Ynn^@OtI*NU`wwXe(wM-jR8nmYd&G9Ec|wSAT;X- zL>(alg2c5NBVO5bKiK+OlNi3o5Dwgs*$A9WeoyW+q#!j*Cd#scI0QvKQDPbo8z=r% z)V}r`VkAQKCCs3+z1K-C4R9;f_=*&e3xg%@pT!iQfAn7dcb5_e%V?8lFp5uE$O1UL zoH8~l;gpmVNkaJdgC_0|{9fz0h9T|0mcK>)ms8`Zz=mWj4nZ{!vQG?2#yOJmCWh1 zOgUpf=WyV`niQ8^=E`_uowryVqJev6%d{Yu*fl5KU4D!ECcy}uaje(Pmz{?hjUYhT zlBPeMnSt*DhTSmi!DxNg6YeQ0yDwVUsvoJ%{8#gTPnwpu9J*|+ly_Oc58ziGhph`G z4+)i3a5s&XwI(E~Oi#^3bZEhKFo7JNkAeC}f6HMJB`ux@A>gR+26Tw3Wm zXY1fVV1=JYT4{G_+Mr3AhB{aaHPvhp^&_=of)DNwo+y>tSg#nTGHK=^$>ATWv|{`+U&7QhHnPP((ivQy7pcHz_0G~_G8Hak>0u&`9#$H9R)ue1LvMy} znFzNr*Yo|aH#Kp5et*rvIxx$qqNyYW&&rA35h!{|rLxNs=W0EHNkxK+48z4B$Y$t8)6dP&rgpn9fHD$SV zWpiyUBWpP>OL(o;5~?htJ+%GhtJ(ro-WQwJ675GHAx2;LagS~96#pcFQ;J>?46=qcI{!75w=)?6Fcaz2M%jC@YidD1AC9-AWT;jI9TI-Rr=@#N6 zmFJ3FPbkMdC*b9`NUK!dMn=0+E?|xpbKL=(vwiS+)*JeLm^ZnQe?;Df4wjG) z-Iemt{}QWZk`DM;{6!@W$v3**&(4;*~kNh;SgzPUT&KS_Erz1(xvsz=F^j z8U;@Iys(>7fmLMb#_RqG8HjwY>)E7tZGtTRIl2z1M)X{q6YvgJqwU&F_6L^vc#5kuuGP3i(YZ=x1|a-CW2#gkoJ;ji)q&O+7}*8a`uuu~gf zgxdSHI6nf0iGE4%2TI*2iBv_7ZqfdqnL!6D(Ili(|&A&ujJzl zc9`Y?KlY$8uDWR^c0t9mk;NBhv>;;HN(g{!sa@`FD+L+}guz9Rtw?|aX0&r)%LR0i zw%FDz5gpjn?E2u)Es*i79f@F?C1RgAk-*Ori)0Z*0y__jT(}&-E6>k?e)QdAjTQeG z6%S7Suyt7wX1e8oWieEjV_TD^n~0Ifa^q>Y;Xvb{2N6BQ3P zA%hK#r4PwIi!yo^)qd9KD8ygo_p)j>viuyFK%Z)26pM_!W-xvZb;0`cE)fd7`FEpV z8zbESmPF}a4u0t$_~OCPv=!~}NFv==ItmAXrZ&MxIib`;-CqmwA} zyla7V?XCY5BNY9w;P~Gr$;X3D?A71C?fZ9!raw09OqEMaq2nIZFx8`Hl zY4EeCEu}rD4d}?sBbOL7-eYqde!|iAd>8 z1Cb-4ya5=+7M6*O8ayR{Z1RDJT=&=kd|KB6g&r$43jSZT%V5>apx{WCY2Gon`N%Eix)GoK6ox8FM|r9l)=7LX3=r}yG-a=dG4GW|`zR3Wzv*|MS>?xRaLo_sQs=Ljo%rg?m2;Ti>l4@zJI zn_~=q=Bm^9o1X4i;QNRXAkTA1|vjT@qGla5FQlCRsQ;!let-o36l5S!* z4R5;2%)KDovmP#9DQ=i2>GQt4au=gLl-}lYRs5PMp9+79@AJfRRW9xFRKArp?ROl}rnVVoGTD{KWy6UUC%A*h8 z_vG;HpBvszOl%eJ4WPQ#K`OUxAI@ne%3%X5?!$I*d+=@+-V;GNhwS+9dA)4ArIHOb zxH{~bT*BRf*sD1p#<=Z&R^r9}8vU~E{NlUoegA{G_l-V~cHK+DH_hA;?M<<2&r~gq ziK+c{^?wU)DQhQwk&IhI1&lksUp)@%SIMiL58IG8p0e6JegBVD^CJcNKP5M@|F7hB zjB>XN_XcikRzN{PU-j|m+?eLQABbqzaN`YDT1LO41A5jWA*+yBfmEp?UJby z6T&C_oL6&5*HItYj)95vFf^c^S`Q;=A3%o{cyO?Sa?-MHDm+z24QY#cJ6@c%esa98 z7;(H)E=p(-aGm(5sZ(UFhBlHyLAlXEmSGU>-^UrTy|dQ2R8&R~EFF5QX*<)dqP@n9 zWT*l<510zdp0rn%jPi1oob*en648gQxTqi#1%5Xz6l|-B+^N+4wUdJ{q3%$Z@1{so z;$Y@O3`}jC^vgw3Z%F-y3AFNkDURFddU`{{Wk=>I@manjiFQ9EP_I_rg(xBSe$8x} z`lrLUPS;;meDY9eeo*+LQ@ zXj(2XVy;v-&lPNi@Wp4BHBcNIro?ya3bkOe{z+LnL`wt!Mc?dU@s5}S-^y=BcUYXCxM}Y3~xOMPijHNhL zeRlX2eZ#on__bxWe8dEE?W-QD_kg>17khD9`&j4(#+b6p!&vL=g~;{vW7G71*303IXz z89E)O_}ER0ilM0EyX^1=V!qs4Q2wgA`TpMdSw3*)%yI1lP6i*zs~URE<<`cXB3~`> zYxk!jRKUc$BAnn@0e8pGl#1vPbzP;p@T;Dq+A-Brt_ch3=iTuTCf^*W%wQx=@KuF%)&?t43;5x3Uph3SVelJ6RLjl*{D6>I` zs5o-FjmDvfhMXPJanx#PT}ijb%174;t{<4)Wxp=In|VC_m9$2SHJtmHr|7%O9`!&o zWxdPf-5XB0cM~j==bW|PbPm1~6c4_;{O*5VGe;V5++7Zo+fC#_mLtLg=CbH?%fe^b zdI~eYb!Zc}uq;SvN}i_p#GjD@D(TStHLXzx=_JaQ;mI}Zx!+>k>e)UelLQ9x8PnFc z;d6vo?A2o1R0)LI$^=U?M8?*j%n%1gk>`Z-p{Hr(TFb`oHCZboW?bD77~MGMZNHjt zOO$Qxex3bBCVjG9m1}Qq_at`=^0`i+&btaB^w?C5C|}!vVmA3Lgr033z*<==*_Sz{Td=G-sH68hfSywJ+CU7Rg?-$Nf#MPmle{}{Y zjrUAz5;pXdn1+>5Bihj%-V`qWG<=WKT`lG|{#&W~weiP)#*Ikw@uE#7=D1CWVlsDC z@UWqA&ae=_@VIskv?+30bE)Meg~sn=%C7;z_|b z`NgSMgULV6hRvQ-M^)Jm1RK{-zzEHH*EF7PuwogyeEuHC_XxTND9$f&e8tEn%du!? zM2q!ctDP%<*POjDc7_9g)#WXajmBfA#wR2)JD82pJuUf;b*J%2HZuf}>Qqjc2WN*b zx5i~!Nbz5^d%I(9_fW55WwO0(g0Gz9?Y(OnA6~ZjinIK8+CL9{uRVm?_fJ~KdtRN3 z=@x9<_4`Ye>fyow?uo&$5~={w6ToC1J%~&Lf+=#w86Fpu6zoQhgAqdX)C{{H1E;G2 zHy?;A>5CrJT_x%e7<*6BzFstAPe1knIFDF~AVl0f=^lX|cTUthCSI68vX4{vDf|@_ zcPVGi*qI6=LT)vlq9jhuVgEpQDUB|BL z=x-C(-hk#yx~Y-d#Hr(WI1AgLU6#G7nzbrfs@F(GkQ!bsGh9I!Om4vbgNagp*xswC1pn zyMP(D&0$vKYOorC_3=lOE`tjN@PsTpo~-!{M+Cg%qxv9Xm14QH+&vyEH)l5H;umt? z=`&E=RS1&U3f9#?3CYkFk_n+O)`x=;zE!j>#*-gzY18>ZoopKlZD}YRdYLp9sgqvF z80;Uhw>@gGT~dtE4A(MjV-sQLJ#=^I2YP-uA_h;KX${7T+&njwYZk&AJW9RK{^i!uwi!2t!k#= zSAS$Pv$Px2ve%RYZVG6E$!(H@NIgxqN}*RlU&R%)K@N~1OiiMgwh#+%i-o=2)F|V< zPUmj~ss%0i0hAO_u?U>44v+$8X#xCoRC7w2j>2X%5i#22r{;p4Bz}KR*@en6gRod- z+K6GTWK5;)=FqjPKA0e7p_T4hz#kvkiVEG2RA|z8tlF1u@hP9m(N(Q%plf;Y?Dy?- z9~v%l&X(7HKrE6cjj?zESo@>+nA*nAq0?uUNQ(ho&5ny{GWIKa12*|gHegDSjD^?1 zOE<@;G~#V5EZ;BBO)2N~xsp=F)gdpDsu!jJXry41iBkHV(Ylx(zKfmD9{tanf?L*; z-G($%qFd`mROBQM3ke-%pv<}RP~yM}jv`G0bBX$y`qqy~7fAHnJZ>zMU9;v#SsETp zxG=D>dbCMT(*Lu5%ox>kw4&)I(ZE8n=w71`4Z}u>uGPFNcPjK#96?nKJ;hmKl1CFH zIpM#h+fU;|B_nx7UUxq=tjLk{iU{;vH?dH;Cxjws-yl5T@MwNlDKSm+7NJC-u0i~) zAbeZk#}7zgSfr`3X!8qs<()X0?wC=s6xB-O*8fggDUxPd)1*br$gl%%nvaT%JFUq_ zP^i|Ru9-@d-3y@OogTh%Ok^k2{BWbf<&~Ic?;j&y$s&K`>z1UmV@tb3jdXRBd=wM@ z!u2Pez4ng0vr!2W>od4HT33Vm(^k#3gT!P6gFkIUn{k7*>X`G|h;_J(1?*EaQA_7$ zfH~$`*jlIcP~M?X6%Rn}bpN{w#YqatPh`#*Q*cjP`8kgo8h7EXYH6$g!_#!7HGh7+ z)w+tNfa;;pu@@*LH@<<+t0^pkUMgZx;uKehs~qH>C7l#OtqM&m7APMukf7_~q zHInB(s*SQm`|Xu`WJv@2%QLDidP>PhWE-F6Xo8lmW`061nZOb|hg1zU2B=3@9ZEb# zV1}7bd36TuISvlyqwfX(=bpoNirMS$;T?E|`6a<-J$JMk^-_b?%A{k3w?!^42tpyq z96QGb+s+!P*gpuyNFo#ReB6HoEj$=Hf}6@Mn-TCEGa2>B|HcmqU&lgoptV-%8$~ny zNwr4|5KYZ3y5l4Xd*)LcZ@3GDa}oREMwZKO3eNVO!^I^okCTptGhx#IORmTq(8;HF zViXEno5wL8&`ySuEh8=D1nu9Gn0<*}QO1JUx;-*DuXl`CaI2e>tV#jw3-&4sU+#8| zy-{^%{+jby$-TKeS^Ob-47HZ@k^U5uv+DtEVxjIoe1{X&`*Z#8CGWl0INlIV6(8FT zLsXRm5j&`dUJ8xfhV^|rI5K~ZBdDKw4C!J(LnyMQ?SZ1%I%2P?gZ)W|adoLIQs9lw z3(N{W87Z)k7+`$XWGuyUsQ#2%GM`f8Onxa35^m*R4Ca-J`K4!&2m7&OrnvU_KXdK7 zyacgehJlzJC*akTFv&_}RAC(8Vm(ggJn!hg1snq31FD&k_SIdWD6}diP z3TUaPH251xM}dWgtyVaSK1wOWmelBH7!9DS|1VEc7$AE}|-J*O8;a`Njs4yb+{B59YhIWA=%^ z;EZLCDr|U;BcDAdJ03HXRbf7_{U}K*bJkmDKV|kGsP=ETh8K$uFD@B> zcZ!JzRDlH(<(R4QB-8uKa2eg~ng+vb=8qliwi$Gxvi37jh#HN0 z^W5nOjCOUVG>58?%P=tL>Yb9yjb@22o1JZe5B4jJoU4sTZ5J3z_m2tvuZA|!G{O)K zqQ+DWxmF->k1FHlxF#+P8VO;lhHU7XQG@Ai}~i?FmtmH1Kd)cV3>cyw7}e1*j-TCFs+)Ip;YmPMyiDQaM$#7vwG@ zy!hHL9|Uw|#}VV@NAq=h?yUI>=8~UR&>N38$iZZU@Terp#h}Qk3QHl9Q`ela0wV@z zw)@r&6^Q6{jN99Uj9OI|Z<}IkGu-r06YnT4ikU_1^GWsq!nl2<{z3yVt-P=H(Mfi! zyb~$3oxZ789`!Y|>IK7E$QKWWILn#S;58!D;pfP?hK8_(pR2-0Zi6Z}+pHP}FpWR}I~o{4qx! z7Rgi*1L;ATY6^Z*VqebgWl)nJovW*>oGvp zw28QnK=^x0|Nart&lbyx9_b-{bz%gmz43{bRD+CZTx2FC^L}2tzznUCAq^1K2l@2* zicU5k!YPqO9zSfuDJjC4io!)ElabQmWGXMje?(=$%hj$#NLKtIg71J8Bc`Q7&tz`V zy(P97(?v4;9c;c>=B7m%-v{OzH6HH9oyAYCX8!7Vc`-(0V` z*?O@&9@e{R3m>0V=)m@ak;-o~erb4qr0fPh(fB7O_XrTcjnnEc=6h<7oFs6el-er$ zSUTl_ED(@4T^Yq0JfQiZkm@tp7twqzZlj)3rMxLdT*^lkW8rZ`mH8diBNw^ z1a`d65kxxY&y*P1dfUXU#yEd_c22c>`K6Se$8HujY3BJw%Z>B@BA=4rIHhbW4(5ISEYU6`Ts@hS_Ap>1x z1*^HUr0b71x24t#v>YA_fY)GGwokMSt9#~cmGR?Uqb@WQ;st&T%9j$uVDu2q#VK$ed8kHpQUub&xZW~9RMRtIUghFrm-)m=~+ zhq-`QB3K`GBexKS;%l0gEkks{y88NhM<9ty6tn z5mzdh^f;W5RRM1_k~hKy7W+QKzC4zn8j)K>3}p=VpuWNs-x9_RcdrMA|DMxS6dA#zo~azaIOp?0XPa z|EnZ{aG|Y7HO#tMMs+Dv54T3FQj(T^1^X8)2mJ_HNmt+){i+cPH-a#{HjYdQ+;2M3 zl7L~Qn?+4*A;`u_!pLTLNFMXl@Z-NS3A`=W+^kR~nZWkNS1GwEdpNY2M1R{BY0XUA zAw$ZvP;*i?^2(ILe)oqPEbneQH*=Jy@>K4Zhq7XwE+`o7sJdyx?C|q-FaY|bDEZ#_ zesI0R_!O=1@_+wDS0_{_!;$g|6ckSIS zLoripPzk?*(UGkk&*IgQ(ojbGO(oaFvUbg#J3$rITtzPgO(OfhstTBym1M)$Y zrwyic3Ooh(e8oStgXhgkI$R<7`^3LES8?utI#*p4Ryr9;DfL?Ja--6 zO-HX^1m3A}7SGPt06D13bPm2p`ul^$8B@25J>pd~;wO}-j?)De-m{)eXU|6z)|^*N z`ej!JwDHahX71aZe8<}wx`k=~b7y)8Yy6)oC-W+70T&R$Q9=XE@8>BX?oW=FEMD1~_3@`ZJ9K%mRpzbRA|*7Q^kHH1SyaX?S_R z63o?OW-<(b4y;FA5vDT6DyF9PxKPDq`!bi!C_=QB{A%Sxi^7!K2(9NW+EHDYl$K}o zZGT|jV-~H{z^3o^OncU)7fEv$T^|&!83|oWD_pSuFiu8z>Mq&A_@RU0z@=Mo!>DB` zDFD`wL|Yoz5onRcD>!qW>s=Z1FtJ)|JAY>XEydhn-t?SYf8_VhAPnN35~aU$J4H{= zz5N#nbsVStJfD2eMkgSvgm?a#E&>PT2L96^*|si@VaOx4&~gROlI0Q{1Yzk7W>=A70HEWmQ_s`qD&@vh??R9KYc82B;$H^J1$4%5(`WE|Tx z9rzVtH-3AZeb4^(qPhMuzAneTH_RZq{?2yx0j1~m9d4CBMoZer-RyJ(eXBj#T=@E4wY0WYe^{-@V{E2HpzctQ^U_s+0*f>&QM=f3thJ_qJ=(8iGx`fwvY=R7iKeuUH?0EDPeS4F2fTzHe zgBO$$QfQ--qt9HFzuG3XN(=2tP)CzJFB>&rbR>AuHUCv*Bsy1ow7BaD1=pe__~UqN z3>8K3q+IuVY3f4mjYw00twP#JC_R3U8ADrwHl^4?sc!;2Ja^B#?)UuuMN$<7+xV8- zG%I#|=akTS{sZPpAhn;H1ysRi0Tyl&Y-U3ZRae!lHKEXI8q|(f#H~W#5WFE17 z`EfZ_7B$t$;~wVmoK8g#WMC49(RB7SwuPtKh7*lLI4tC&FMICHVM{l2)r~4W(`7n$ z6W~%#)XwG>jLOGR*irh)KTyg78p{Zx%EiVS6ZJhWBfuY1HHBf?^fn>Ee6rM34h$%W z)RFi)kpV9Bx6+d+L@f=1y&DnDd(X5HAPxY3XM3TD8COXMxCE5L(i4xIq)7}?=E`qo zVoefn$B}FG6TG{CvGaOG*9$$9!|8-ck~N)5-Qn=SQ;criL?oGo*<6?y?%G; z^H0vdYxR#qOJ(oE24zzlR?3=SFUdIzWf-qM>wpEX-fsrqFl7F;l_)2cc8@P|zWX^&(GvKCVsyZg`pM*4i z3CmzCaa;y8VR0!{bH<@!hu{4*X=`MC*;v}{1Up`G$`+8l?Ubw9q;AJ*`tWV*=jby z;@(%cGTf${p(r;915}y~wE+@S?Y00`B^>5(JKi%0h*I1%)T5x&3lBGlC{jDF0BI_+ z>qY~H{|5N_XVosAexF`~U{+m3H)z%tD9)C4 z95Bgd=ebv+3z!bdR~nJP_*LyomOA}6lNMw`v(8B)2em{HyFO}QdMn=cKCLB~P1oz6 z`!8T_E9&covWw(+Y5M0Q&Rs7$Egl`o+ti|gTMLFf47HbHJEBvqcf6LZ-sSQu%VNJ_ z{m~0PZDvfLajI#8FH($3%#oa&2yaZrZO^0ckuJUVk7@UC$im(^bVM6fXBU8vCnw^f zAmD4R?zM=qhbB|{2Ig2Tkxf8)Bpb)iP%gxlw`F7h2MQ&hJ~0^q5@D63kEJ#| zDBDI|I2v1XvL>gxz#%(RE+h{p#2&+fIz#6x4QXD1ATcUyzvc zI?!zuV@=`l@kDCr=*bt~qU{!Ki@#~1=JQeAVI)6u?7xeD_M`dVW$imdGZxO1joos* zp%5&^aSAq|{27D4vFBo02OEX6hKAn4K+1+f(;%okM`(6t3#6h_onSjK3hM6RP3yP3 z0yP7lDx7mThFCIKe1FAJ1mfpSYLkOL#*2}llhLlv*CiA2DqYY!gc-wf%NxN{TA zUopExJ!2c_UX_6Rzt+VcDhNAALYi!iE55rOA5q&u4}4fd)K#R#ChX3uK%m_4cRd`GcM zZqIQp3|N_}$735mLgcl)vj=SaFTI0(2BPFa=NL z^My3#JeWL14be0kpk6m|6+4ic^$vRX6~RU2<A!JL6ru zImDLS(W!g7HgB|*;tj=H!H*OH4j541>2gAB%cAc?+}r|3`J6IUyC!bj=$7Ly+eK7Q zq&!nu3Ijza=rk6nn}|i`h=ZASsm8E{s}MqGTCca&agGeP*7$*y^=7zhe@BTc2Hdjg zzX_?PJJXh2S+1u!DBI0l&UAFM&%bh<7dX~qXY}_q@|cLtbwUs+$eo$xX6y{>X~T&t0FM~-EaX@MyBMNi%HClYpOlO2 zKWA&{+x~C4u6Jk{{Jy^qp3~a8t?*VTA0Gn#_F$i?`_6Fuy!$^LCyuHvZI3RL48LUC z0mpyy2*26LaGbcnE{^<wvJchx@a~Sp zVJ_TVN@qF_@yUX}+#t`Lp!Jba;x}*%p|kHA+6KR zzeGYM`}EmT*|ltb1NfWOEb^N4q-Z*3#gpEfUS=hv85R1)EW zcbKtsW|QX((b)|)f2(Gg$4vd`RJS=J-n0k9%l(IOI#~VaQcYVOMiYAd7gezSU4!iE zj};rJF6UCsjhP3}J@9@e#A+Osk?q1mFUDc6|>QawzJ|A)0(1Wh6;(C1Cjaikg zs~?}>TMz&r`S*$8{w`~+lBB3Q(qJMU9oI#rjx6O_*eh43-LfN+ z-rK-S(@$QHJ8&n%)Wjgg#FrX!^nPJFzaG?TcBXS*K7{NG5?NB>=P%%BmDeisPVdr; zvpWCIzvJo?ox`pZw6Gg@gz@L&`Fwbl9e+2^Z_F-)d%vyq@?E}6e_zd7> zFuvX1?J<8evTq*7b!y5E9JfEjlkHT&;980YNf)(tjhg~q>-uCfO z?MjJH+%rJeA#hrl0LPVbQPm>RSkzNV7U`fU1qR}bR;P@HN|o@3Eq`nddOpD34RljZ zi@Z}Z)5o!HhCY6|>8VT7*+8Wko7nxSl+&n<{FlS&=N_AP(it!Vbl!&iYq3x?macEI zqGm^oskg7uyDWHU0u?m|2R|#~jnCUrd}omle%yzb9K_cvuHTQlulH^j>-|}hpxB&* z#8vz#J-DGfo|=dNRB>upN0=bC4sa9;{;Lp98$r7Q4XthLKfMnqXGKa?BWx+H;<5VL z-!3ryxe<~yQ2pM5nVQkxoMPzou`#HEpWCphCJI?ajT&zCJGj{L%z~NQrxWD#w)@Kr z)l0jyQ7-!bN*R18vA{WUzWT~jc6$a>?2thPhytWbBBZTA#}5bsaCTc#k))yE&k@!r zDZgpLHHwKCh*Qaw?!VcFm9AS{2K&=ekOUJcJb!SM9gn3E5-==`|GtUJ&{QNh_t}Wc z5RYXwk5d)w4FE~Wo}sTw>F6@qMxUAdz>N3lTsCY->_ZUGv}M9Tp(Oe#D_Cuh^1#t=l#D_M)b0hcu8CTesaL6Z>T zv=%$>RR|@EoXkuiODBIL8{g^XC(LZ_Bl13YZCht>FrNP9Jvy|5{}h$cNv-E7`7egj z=XCD9%h$*=>i8%l?Jb1EciOq{xJc_sAu?vmkX7q|GJh)lP;4G4Cq0S{`rHxvB75E& zAyEr?coFBRK`8#Eq6SJPj>htT0h>T%zsw%$1tq)cbmDU$Sh*sG>{wfAtSEUI7lb;| zvWltH%w;Zl854xwQLgt5JWy)NEGT&y|1sMQynWUVoRcQSqA6s;XwWznNpTnaG(j7$)fdd{APl)k=DUNY zF4Db|#C?V4iB2-#o;H05&bRP_lr{7H+2Ov(jl_uy#aEHcH|VfjQS(C=fb+S(<*J4J z7pY;!g-0u~wu8ilrfZd z>`&uR+XTlX-Rrlc5GWsTHccb%7oer-1hcYfWCVLOl=UlSUDKw)9Y8183l?LrSSkzfVTH5o4xNnw zQuMS#c=*vqrp>dilN3r~Tga&#&|E8N2GqrSB`XykS96Pnj?+cI#ZYle@yD;^at>cv z)?7$>r6}2K4A9(SDjsZtEJqi~Yz%B{9Mm!TUHaRo-B^B};NI7tzF2gM`*Ema_m7(r zj4F0^xr-uhvJW={(m_#@c~O4uG-s&Qho*jG#hrWpu6{%K3g5*_+~qi;^x})3r~V%g z|L`>ZI8eWLl%eKvKkWI3r`@97gk9P*Zl^utvp@4c|I`0l#DvNO;%i+1X93hI2yX9HR%R4Np#$+BqkuL zr<5e~?J>ee9KCgtCP}(!zUE=O!dylEAEbCfDXN#ucL+B|dro(Y zm9@L1HOnmm@g$;LoF^D#25aVf1iwe~x+K2t?~(ZW{)>?H&=?y>?}($``W8vnyCgN2 zxN4Xv*^yRFc9t~stV{yx?hNNhhBMM&fHzHA>S4YACKkSePo;;qKsJ0M4C2+j#@U#4 zuQ87*yr!{F=1F-La~Q}fdLYGxGN~p9vJzheq)jOV(+&tk&k7_v3?c)`QZEwBT@GaV zphe`Un8kE_f%v5phCm5KWjpOJfphE+)EP4=QEvi9vF_hxRr$`xa+*W+K=!F81pw*q z(|v5EnUeh`_61hy{HWKKe_T=TwB>u3fho|x{!^dv_22lhEd{Cz_2w>FvEy@hVpuu` zk!vvP7gm{aZR?3JcY89FR8vyasj>p-fF3Qb$BJ2-hPlH`$)18QD^mcvEd_c&J*GQ! z)}h{rL^(r!{pr@j*UfT$5?-jp60ZljzlBpQvT!n%^YzvL+IZ0C3 zBG|&TKj7~$Hpwy$0II_n5nf-Cw1@L-8_iY5g3#8MB(+$#WSeC>jxWYAZA!*5 z*~X+5?%iJ@1DyyWx=mbKl(z58HeON^ch}@V77!uL z54n$~TZlhf^%+xw`hsoAeIF3RYEjvz8Q2=gG)UxNsc-bF-FBH8O=yU>;ZdOo+t+nV zWdapoE!N@`=^o}xJ{^Get5ccUV(9oWwEr%+Lvcj{sLa^HioTT%y(Pc$xZulCxaQ10Y)J zeWau3%FzY`Z?%TOhQ0ngbLnVID_91u9%AX!0vbPU?FCZd&-D^AC3z5Chbct2}Eo8hRlcf*mNOp%RHMIv6Q&2o^)&prNx$)P0>e z>lrj`6F37MyVkLDS#xGJvqLbistaiR-l`QtfauY$lW^_QpM zm0%`WP9|nvA+kjoW<#)BEDQ&<+RMRvQ8D}+jCf< zZI7z>0F3`pLx2`Lb}HbqFOUT(hG3G?7FDK7uNwiNS~3Dqk)*!!|5PA{n*)(B1s-bZ zP8-Ae=z;GTPvq&>k&d|@g)hoGMSj2wm8>MeoTX0yv1w^VmgGVT)nq%xW*r`h2%Hk? zJ#IXbvj6XMBs8McTXR~hAG0g zJ1Ni9^od(^i7lt!qI2fp%s%rypZWGvvE?fWfkwbYP&FD_kYw%6b#Wt5vi7@%_O1>!Od2Cssef%doa}26^JOA=-%5zYr3i z*ODUDqHtoAsy-6TnvYj24XclRf9@6&itp3!7lbcyAX*l_e@4Wi#6u?PWqc{6G zf5mRI)gC@f*0K57L5U&nvr%F+lGriE5Qz|6@EBu=WQtty7-NWNTNB)3mZsE3W5#!! zqi9yp<~hCqjkwl&FJ zo2aqaEohJO?@?`Qk}*;PbJ-XS7s-5k(A=tQwqO#oaqV$2wHMgd1mUhin-B~OiC3&S zS%a&3wD!P8wPo#rnPy7`$BKwYR64)P|LG#mTvz$DE8eC2WYB%PTZnPfHwUW zofDva?qB$#@B2p)ppBE7fQ^g5OC$@?_OEGDWBx!*k1m640jRJfmQx1`YOoN3v`H?A z#u$>j>p($8NrfO?1{aJ`=0LNmfe%4y5En$FkG4PpjyxESPTk^y=&ICG9Y{I{gJ7t2 zTyT%ED%x#?IWU^|j6>69Xo71r{75EudqAp`siY7Tm%$}*K=Pz;W(zQ^y(C?RCb`1= z7nS7Hu5L}b3{4VK9C386xg3nj5tqRw0TG9%z>G6O)c&FiK+7dtNe|ex!H6Jn8Jgs3 z&51tb=wwrEcb?@2Ip_+muxQGs@+~dSFYW5vBcZc_2lhLbdh^H7kBJSg<`=3m z?RT!;R^@(f^Tmxcxod9M=)Mi*atRi1KUhrcnCayf#SW{{6g%{M+MJ+wsjJ=jdiAOG zZ}^&j@Z=kVq)?HSj^S{;IG|rpSJ2j56f0E6*jMJyyI}4HG<-w|Qmr?^InfT#FlaWj zDnSU+pLanp_u_jBf&feX#So-gZ-R%dqTkSJHo)^!t-B!PkgLLq+ZBp9qA-2MlZuG% zaZ(-~>Oj6}BKe)~R8Rh@$#*hE(bz^NAbEV{1%wsuG9G3KO`87%y8~vy;Gr;7C z9?E1^xI_gJpb5z$UvfBoF#{yPg`q@~k7<8CDak?W)#ABCggD;}ekWg70pIr!iq1i# zsZVDkl{R-5Iiwf<;rWmOdo~}J=9sqpm_zubfAQN^527sX6={8yONDNa@ANa4BjI7u+9Yz68=5GR3W8plCB( z(6SX2#E=cBn7pDy5%y!YOB4mDi_rUpHeE);agO?S`OgMKN^%B=H43b~WG>Yr0x`hw z3T{HF$I|UY zw5Mmwd$e3wiT(7;vCd)n=4v7r zi(8fcUwBv@5=}dblbspl8KlKH3=^Se#ZYJ&Hw=YrhiV>lAfHQR^{5@l0+mbWj}GKw zSfwi~)jql|tsaRdFLkptxLS18?h2eX!3C!IaK(1Qkd0ZIFL={G|E@n4mPSpMTN*Q9 z$T&!%WC$xJpQ*+|kXp9hf&I0b_4S-ws`x zf=z=nga8v}_tVAF_<3SQIQr1wI|%j{Nf00|iEBg*y6{!t2Gq-0n}X46BX$mp4_GL~ zFZ4Bcm`AIj&Vqbk-J1CxA(^82c4mj_U7u`eR3$$WUqv$rh3rf0K_9s2(atTW>t2tw znPr$Wxfq$-G%}#6NSXkxxG>>~7QNe~MY;(~y1hatOva6q;nS8MvqIJoT9|L69 z8W|8{>V+EXUF#hCG+sz}?E`|u>{x@s$e4Pe$yJHPug-pT0AJBlqZTOyOY9TKS5%7! zkwT7r0{Xf-3@I0L?6VE%>wG!rXQ4%Ex3Mwxt}s#&`n$vw`St`;@A4qr!$mURpJ3|6 z@TfjOR7|4X$rhX! zSQ~!JcYph5|48sj>ktITdfP|@40a*I5q!1XYAwV-d1wlaq6^}C&<|5^y);m`eTCSV zHqiyQ3WXhvbdzt8DfOxejz+mc}c0? zH%au%;!08yUPHeoT^5%-fSOEB6KfiR+rGjzmvpU5Vq6O-xf$?+$+2Ga^IU;eoRW}j zq{yzJ+;yPr$RQ@j0u^^%Z7}M1JdW&R&TdiJoFb#AI(b%0UyG93Qp!oHmlig#_Y#n+ zIF7FBtz?%3MGoO^+i|5>mU5C{IXq|9i)37q4OsExMTX$AicIbHDfM>Dx2wTb*3SOb*dn)xc%5e$@WI*37!O9yGxiSl9jPYSz_1 z60<(IKEpG*@AEkR`SloMFdiv_+ z*XQi$i&?*V=D`ckUp;v5i|>Aq+HP#UclO-VFG)aNzfum8t7q-Ym!7|R$J?HM`@3Ri znIkfVs$?-I+Ws%U{KhYQDWY|)RCs}*RThP|Q0mUdd9d58CQw5IWmQX%H0!=%)PBKp zp{N-9sw;U%=@+GqzNDH<-sm1@jTjYG6x;hl=aM&qS|$o0xL-&doGaOk*8Ln?-4}Gs z12AeXr?#^17WJ1wF*ldIqwEVvQh$|NW{y58%ku|NEn_u}C-Oep~9e!}* zr@LHFR-sF!U|N>y{zrw*Wft^P@PE5SyG=?Bdz_KMXo>U=9;(JT8(*oWge@B2+yMwJ zlL8d{Rd(nS(ExBpOp7S=Zewx*u*UI;Q#z-CN|HJ$rk2YSF*Q(2L@Jz1LS@hLC8kcA z{pt55&HnWJLT{VOKCansy~lalExN?ik0+-7q7T0B$)AXx2<^0Tvb60qwooWsLEUifnetNhVdS5N(fqP(v5pVEjTY=($Piw&z1IKDLc3Z|BuT zoeYxS=l?p>*v|2kOzcO=p*02Ap;=QHm(s0(1TLPPp!y$lTl6Cjp+5@a#vrB5XDOMV zu78;3yOf1bv`4`D!TN?Zgz-LanmNw5#O|mZpF>zFS(o&41mxk?6lH1FTKvhok1bry zR+h%KypgT7_0F}mQ!%yEmhbHZ1B}1$4?p$yeNX5(jrIoRK$Oq~0lR~jLBBYsrgCO# zy9270immSM12kUGwjT_^`q$05OZSO{J<3+B8ba$#gCz%5uJDcK#G&!w-(3F8pt z90*n#>pA9fAVL-@Yzm_Bs}s!SKnq#$r~z(Nt7kf1wjCN26HtZ_ge@3k?igUWWYjE| zaM#MQPwF_;Xhx8fhORW7(muGJ%SuN7c760fSv`VjxzIrIDKE&+@lAZ1BBLiWIaha4 zaJQ)7?o)-`1cYhN@Z(Zp)0Q7oVbA`r_kYtLh*@Du-Q+6Fh@74PX`LeJF&)YDNRXL{ z1yDL6fc)`liV6eknbzS$Py(+eXdDM9#{)Y_g%g5QVSZ@%eek8I!nVj+bb?f2P0*Dh zj$l=VSdl8s1woL`x;}~uP>TIIQiZu7y08?efRe$0=1$;O_G8d~21L9#r{JRL&QU>_ zCb@%-mof#~p#%n*f41aE;@}68q*E=@{md6=qOyDN(D~pVG==xTx#1o@xLTOXdf0KRbL zN=k76uBX3B@Iu>rGDDjn`#sB zn?1_-Tl?e>HU4(SLyfiaL(R?fP-Cs~P;>inKh$sQn=m4Fxy%fGw3(q8btXC+vEY}_ zR`=e#+H%%eiv3pd-bP|{h31dU{e-b=s}j3G0Ix;aA;*4eoNtcZR=e36-$$?sfwI4B zN3gYC&el0tT^(lYk_m-C-JjcOf1GcgE4Num4aBzpx%ZLg!r;eSbwN46NrTw$myjE@|8#H~vAkWKAq ze^<9k%0GFpj})&J`1f9X&c zO6s)KeC*_arIjMP4aCioh9kDq!I->kyI+uV}*YY0omQ=&w%L6Iv4=d zv#L=r+Q=8i4`xSY(ZMGMzKGWuDp@a&>%#lkY=f?;WwnK0A5?USq;H{C>t@k(*XdAp zpqqEv?qR`lgc@DU-ud#&p%N!7Pt`ba`LRg$|NibT`+@%^s{JQ4DPC$}!N{+5iDa85 z1>2lv?d+EuHs7|ACIw$<@6V5FQshGo#2+h;Ytn^Iz0T)2u1P^H+MnaNCPf*c7aZ55 zD^NuhU50=sIsF91qZlIbDY>O`dM&W%}aHUNkA+@1#1bH~X5=q!(EJ-NEurL5CR|HFmuC!VCN zIm$%CH@>0HKz)eVNck7FL~dW1MR!4r0Djd|*6a?5Iv7N4b|8C!`3(r2me<;kImT@x z7TqO}liMVs3S=yfk=xLsw>6KF+mySrAwN0tF__RfB)6fe_BD@_+tA~3$p&&nd1Od# zLzl}X8_IE%+=f1vO9skugAp*@g-37_l9=FigxrQ+m#=x0+(uHZP4W@QZ5R`3=;(C; zPnO!hEoC|kH8obud}^`Upe))4pL)>ozQmgap}N;24{P?Yw1qMRER^UDNvspmS_@t!fRtpR#J)(C@DSJ4krejW+vmAND!AD61;!j>Zj?G6g&<6o>f^T@V{S2B z1lc;C<3U)*@;T-h(~u#omf|G3bIdX3c8Q{n1cb=O^DsFoMpFSjWID^ zM7p~7DQ1|8Z{72dSv`!I$I@Av-p@A`;;Jrtg5 zPDk;&R}!5uz;g7Sb$0TOay(`q@2KaNUA&`?TlVmd`fb_4JL0yTR3+_&)c3#31U{%`lSZ0Zs2Bzy1f(a>GGFq;=&P2B(FE6H{^M>K-5rIyZJrKg@0CJTF` zWbzGI;sZGgHxKc`U3IBU)hjo5q`u<0fAPycE4cp@g6n$40J(4we7?f{Kn`W?FE)V8VL_CaZysX&*ipS)R(`nkL1sR2 zB9!Nz0=la?Ih4$#16r^aHqPo82Z_rhMFciuvkSPlI3S@|EhcfHq z=CiA!;y0x*RKn7Hd@$hROFj>`!^u(jSMr%q`#8sELS>_LqOw+UPU%B@&~!RoB}6M5`R7Oy zIeyMcCbXpUN9m)Wp&?L8C$b#}9KgR9GX`DZ^9tNKh4UT!S>fVwe4@e2?}tD=KDX2H zrBsvl-w<7%k1y6B{a|j#{#_+VlMl^jRetEUiEjZ_cNdm?(Lkd6CeYXk+6BBdaOy5h z__e^+RoFJK|5hRJ?YMxb;~My8@rD~n`nIsz!v)8frRYOnJxg6~nDDD-!93CSbv*cW zyFQn9eU7`nK-!D;8?cplFNEwUzNQ3aW3D(?xU{To7n`w6IZ^ z!gV>CBwOyav;Baf4absXAjHr@9twJB_O9ocf@vTkR&2TCY$sxHs$fZyX(J^B7fYFu zoqtSDl7To2*)~ZjVPtYyagtY~X`n8pq#2;>)ZC^^;|`4;Wt$t&+$Ywv!dH`hZV_zK z$GRo&!+S;UISdma_QkL&Xg3TKpp%tT0y?&M5(DUImwoA7Ct*=ematD(b*_WDTIqzv zGs*jRu7l*-lO@IZ7U(Qo5m?&r1WshX#NChO^zyjP0|-AQSdkyX)s?steNnKc5WZ1E z`Lt}rC1Et3-00T%r>5N^ny=C+ajne`i+#NV5az$Oa13oOk82iJKf)zy#=XE?$M-dp z%YEg<+P+79p1UJ|eEJ*y$N%cjhVfK}1WySIDt2Tynw2%|cFR z4WmPl#WkH*B;$GuYs zfN$MQPrF4IGdwkzXv86g}}iCxg|*c;VsrhyY-0SL4OyZOMC$YvT`jz*yd z1K6*a&Gc>qMoF6K9pdYLqCz&)@M17=iGq7J!q;D2%rs&oG*_^`vEV%2EO{~-R>NqK zaNn!mN)$fKLM)^%IyTKH3}}3sVkW1^=*d)5>J_39Q+lCLJFhY!0dR_k zr4Hfo@Lf}=-BCDoF{MDUTukXJIqu-^zVWAj`1`_?j$^eC2L!Ov1v!TalzgazPBr4S zEmVQgIVggwmGqqC4nvw!7i8ys~{|m`WGiA3#US74Zk5eaV7iN?mfh zS`jG;$-`Ra9Tv&lF1cOcy%5P2)8O^sqAPt(lCt3nVa*lkVVSAu+1mWP2Eo+iT`}v12?gk|^HQgNq%vLp-t9e8sJX*&4IHZZg+L z!tIL@zeOW%lyH~K^@~>zB%|%=YAS(C=K4W4!II8bOdAYy!n9q?bYS|V=)uhOKl#mn zMDq{0#K!b~qwSnqyJXQs(~OUae< z4JL!maJv~_oSXDbU}&%*-jif5FvA~0N}p@uQVtAyzB^&iQG!=q4PW!Tz?>m$iqNei z5ftSbh_71id{SVpLYc9=@|9P%^4sPrSC3>oi|&;`{}%=69wa89uLQD^9=rs%9-zA5 z7aTGwOHf>Ohk}nr4`G3^0o7HgZ3LH<_LuEQTR$?s9_L%AeSq{T_;QJ^^YQtb$MFR; zmp1vzHY7D$JZ}_z+hcof^j$E*6Usk7>&cqq4 zYYuBQo>$iuZ+%Nvr?|J^Se2+}HHas&I)y*%qRA_7Tw{;N; z+#SC^NSGqYs*-b?mJ%mfq8`jC;dBR9A$3S?zLF*CIcx#nr5)MNX`;HL=ex7#P$*dw z+I%3HZx2AroU4JOqqf;!b$LVlx7(~M7D1hcJN=@1gK*FF7qQd6JI{QF03No&Du&+< z3`D*~=v!yzmglK_eB~jofMw<9jp?Jy|G+T=8^h&@~nn%MR4 z=Q0=RUVS50v|%}JIZkG33zq(zYGmA~cns;=0$I7(!m=Umy^z%%B)Bqdxcn$TXoBejW z*}wnG{@?%etHRmQwqAF3tjQP7-jNw;G^Z}u=0T!!+>E(>h3Y1)sS8FoJEr5Re-MIn zc3hC`_!2|y;lyaz8iL}8T9f(#-No<@sDjWSFSaxUMfG_%`*IEhFZ zIbfp{chDs{P#O*{>yfjT>*ghT)`d>=Shux9ugB$>aCyfszUiH(yNhp~ zf)6w~D99q~aoB;pQxvl`%E4Ko58`delPUN>Tz@@h9eogA@hD{-qxmMdKIrUAaJ&x~ z>ln9+Oa}m6 zu-20q1N%(-C4j%30RGqi_?Lb9r<@v_esmgo3V@DB`Z<6g=p^Y2dd2~%3zqYk zn*jW;NKSu95z>2yU3*CJxAc%=%O285J;+0fO@B!FF+HT%#UE0Bd^J3zM^X=L+|CZ- zrG|4}4X5YDQ=Yq214C$!r#4DT10p*R;hjZf*N;U9kzL`%*C;w2kS|!IUX))hB7(EW zq6=|f+8<-l3(x~|&dU#$?KpnN3#cW+Rs++k)i&`hX0AJP-hF(F5$e7PBE6*JWN>*C zCUYJ}qPs9RYq3p-uls=}hyQejUDXc>(<1yYa+6oTX>#F%AOEQz`h|~gbiEoc_ta&H zwjVGIXv|FF#fQ|xY_v5q>5QDg3j2s^wXdwe;et@n${z--y8+Kaup}4eTLqGXjou0wk}Z9|Ss$cJJtQX?De*SkCvwn1u}^S=mg4+~X!c;- z$8uYw4paN4}~JzoZBh=7QXL4iE0T3IY>rl%V2+;XdH zqI;xYQ&J)R+c8T>39y-k>(5hw3r+F>?2(-3dLh)sar85vYIsiGHCdi&@w(a*lOH2_ z2nQZk`|CANHFg+2)%;^4E*|9RaB83}j;Av|<@xAa z4-Wz^YTi_jD=oL3A%IBNZzb_fafm?un|1(|@SS>4aB3F$`0$_#>veZ5+~X+D;{`r=dpRvYZZYhLTNiKW(?gka)g z>uvtS(SuF)lZtoMsx`wR%(z^u>I|v&v`0m9qx{JI8@umGh9*ikjwao>5EjpdcV*nm zJ$hHT%Z0Ew(MYqT_?!OfAN|nx#I!(tM|-b7oO#&)o?bY6{jE|+EJttu8E2Uecp|2H zAyL>nNy$f|$IT`ZsHPPtDB#avbZ#51m0YAJz}@Imy>#knI24NE_Cup31{_Y}_W*p| zc}U4YBHlhL@#y2K&viB!47sZxE)}liQ7khl94Q1N$eQ}H`?61sz+kgC}Cy|-xT9fzH7X+%QPhE?*XgJF428W~`L6^e-aG8?f z=(%4p#SKB>xUGjyxp17?hO>|$IGCN>Av5PIRf_xNp__#?N#Ce$V1m0TomPL5H`oLw zpdDPY5yw4vxOusiT>L;javn#)w^d1GwG!XNr~ExX$2Vi2C~-VfkShfkF0_|)76O)K ztrQ>t&$!I(daeOD+Cz;i&}0js)gN&Rk{;mC^);5S7%vY$t0)MHidsjzL}`;#9=>$GdI% zS_-;%&PognG69zvLkqnA3xw@QXAHfbahG5Fp5OHsKl_x2fhIw%cm$hlDCwl50Ueo> zuny@u=zR@oLNI$6$PSy&0{q6YNrspbL&6~VESv2>FnbuNPB7mMpJj)khn>#LL zg>}G+YviUoK?X^7#z-@RdPsei-M;@Z$%10)3s~`0a6MQtTTp~kpwCx(1A^Y}*wX0g z2S@#egomu(ueQAlmOC5hF~R?#HtbQt%<6tku6+vh2ekw zd1epru$9(blo?Pys=w%@1y#?;5e5#2@r-vwfMQAM)Trq*T7rfzsOSQ(=Uj^{&_z7o zZClp3pl4(i9NW;&nd|(l?3sO7_ECU_xC~A5C_*D)1DMQ>33z>; zIAa6geOQC#5TSwdENO2H5R&8)X5a}AWBEn({;XIZXYzTCbZEZgH+C7s%&NhTjInM{ z482Fw#InLzZQLv4gSz-*>0j~0@x^Djf6H@zZ^>t{SMcI9yn0!Lr`@89&+vE#>wNUb z{qj%zjgM;xOm*Of6cZBbBYS|Dw`OD2XYk;-k^CcbZKPKARZlU|3K^I(*N5;qjNJjD zsfpkfaq~4a&S?gws})z@nE&F?InA(HF3DraLdGyDkkbq(>Fz9kUz@w)E1oomj46}p ztK0w&D>nc(Pn*IV&bGxPhAqcJn5-5SP_SXS1Ka@i?G?U_C8ivqN}p58K&4z#J98Z> zTv8#ql!2rPIlnkBVJEX1isUH81PIp_gO&?=Rd%<4I@~Ka8OY!a7wk6@zEVoW%uqie z=NFPDhn>t|C`V6uve|BjGv!mXJ1o%0RG-UI%Ge%2tSLngG&0xoJo7OU)M4CdZ07FY zNyUsQH(7lvJUjLnVd4HULczlyBYu43$LLbbNY#f6#l&llVge>-Pa+7=uB|}n=bNZc zU=t;HL{mx=(QQIUwY1nuGS|LYAPfyD*sx{+eHe!d4#8{Va&5s2q>x0IUaS z#H@nwwYGhsOKlLumxBEqAZ>}w4@hu#m!~V(FBnluaec@Nk)AU=?85Oal0YofOWqgk zuZKA1IFWgf>oAU%efO&#`HL;UoBh$ViHHOS!J9?$q@Gsw`id3o)kGBVq@Iz7kU4-1 zWgMTTgr!wFmOJDOF7N=BoAfcdvd&OE* zHTqwH$q@~_>y=hk)xc_zE_WQ}omIhOpydGwNRyrij0-QyrJ}btD5z>06{Apl5LQ;z z+a+tbRKW0+2S}up(nI_Ujy_e@I~xRNPX?006L;c2(3!I`6c(~?H7$Hn zSyI`k#u_4<;ybHWx^Juc%$ZY&Y_h+2C$9g6@FrayeJ)e<+HJ{Ps~_E!@qgjuc228$ zq1o${r?GgA)y;&PdXAfkhHbc&$<0M4Fx=2x>zqA7ZU;II3}s3CgAc!So+=whoUm+% zUy9D#f`}%0OzAw8*4)&&+qLS77az-MemC4)nGTTL-1IKP%}w8KYX;%9=;prg*S_|J z_lNG(>fHcj0>%Qo^0-B4x!2dz%6vV@1bK9*M1V{%=7PF2gA=GbZ8xxhZGpC=An3s8 zM`b}?Zx<|mgZ-iD=DMIcrAxRE~klW zK_+Om;cyQEE#NwLg5u`-l7NsxU5g~*_+FB3u1jJ*4X-4DD~K(6$pSKgGcKBYgTwO* z6PU!7=RL z@D`vu>fLZnJmR_b`2dOUlcE&jjjX78%?fRErPK^0;P3#v#4&P{p{qyXg9dF#0^s2cp_57YW|mO+idse$21^u^;^9 zU;0-*x)t+vp`mWJc@c-*%4(c;#7;LKP%VX?AOH}pXyu)*0Kle68MJFEGFHsGdApLC zdoT+dOhUqn*ELpe=19C!nH?5rJM09rZU)~Cd~<5OwT`mN8Y=5%v;u%diK+~!{oc@I z-HdK?4jHCy##wZ~y6EN&xSVuJ9`ZxdR?>S{mk0RBX zB(i%5r23w0YGV2<2@*Ckd(uZuUoobOEo`V5DrBQOLzUbfI5@CR6hw&{$c~m z2ep}z#u>Ar`nN&2(5GZn>=o-wb6e^*)c?KElw52nh`MSPX$;6izzE;A#tU{$=rX=< zqXr{O*kK;~zVP(>-iwu=en}KAwqpGGJ^9Y@F7+#&P}ugnn?Z&bTYB}(gBPB^%D3qG ztM>-tseCo%7A<@vrQhX|8_7q9wefHIoR9p+fA2IrXUQ4MtfL&K%Q-snkhau|ua`Lp zH=l#x1oMsPG?UARRZX#{7e3Bx`|je{X2`Px`mwQLAb;*C>c}NHL+x0S>DYB4O{}j zmFMR}km}6^w>!GZP?$h7S_BY+3>94Pu%0vc;Gy?mRiFoCQGP~^PhlHC0L7^c zge(AA+GWXd$}j3?%O&WYYC0{a{33}6$3X#PksB;2zg9ovNnpk6>Sq{7!cdAuEF{*t zL+6y=c8!Jv6=X`Uo?}V*wL%+k#7rVyoAPUgHa6OJf+gj*0d1wG8!?%6kQ~g|hW#Eh z@@Sobaga8Pd~hj`c)}5ErQLzmKX~-`J)m$wIp0xO3#{)su?6q3mE<(z| zj0y~rj%vrp3V5AUAe$Z5p103a)TY<^((!13+{v>V{w#SSoR=d>Hp z$|9#8`-4iVOmKhz!vBN=<95IUH13G~0XsVj2S!7jnIVZwI|uC`z_B+7sQ6G& z39eA?hi*Zyr3f0M3(n>=1m4{F+3Gl9B+R&aLHK928Nj0fDY6$E~ z3M@CUJJdGo%1-rNuOsCf5(3$Wb6e8$LHW5maGdhhK59)!D7i8;C7E#!z?>qN8M;c8 zWrlL`K}@J}miw+>ZSpS-IkTb1xf}>p37@ zG0$;2+BxS?E@GCUs?QI4>_NT+J+EE{plP?Lw;GqA=XQdgx4-m*f9^|8vIaVTFhPTT zh?0XIL@*%!5zydj0G7@{&jHQIFjk5#?4zR`^kj4)a*o#rJrPEYOm!?l&oL}U4SF!y zPNIqRc7O$>^7OD{y8>F!0u*6`DFF(bVO;Vk#zK;pE_oDWQG%Z1D2se|j-V{8Z_$qq zPJit~2Wy}p_{%TK_h-9U>NsUs7V(Ti@^(A~wdh9zs?Jt7CxLd{Hk2#ae1of$s=eBr zWEj@HkVZ{Tz}EJf;x@$TQ92c&Mtp_~)9@`a4I_=3UVDU0xVrm*e|MYclxJN8xd>>Z z#bXbtE?L#P%c`1EJK+Of9E`_9G5DAMwfUcV)2XcL(PU{cVaIZy*}(`P{zlirC?A+Jd!L;ng-pe-jy(tEX@u^bR~==OS6MjN`e|oK-!ZD`j=modKj> zA1PNFh7)KK*=QlQ+UM~O2Q|E}y+rAVUB5Kko|kAn+Hh;-QF}TE<uzP`tPrfJQjEKk6~X!0pJPdtZV+TJRZ2>F)|B!&=2Yos!>MKz zL~9#MN?DNl)PD@7q=Pe5lCN9v%T~dXD#he=^t5rwIR@GElGLYd%`H$Q3<5E_%&1HK zci&DRl+@^H!dO+TuN32!s_sjwlmm~}OQ@)>M7?8hB=7(I9osfGwr$(yL>t>SHa0f4 zjg7UjZQI${_|NC}y{qnLU0vNXQ#DoH*LB|KoEOrgw`{87kxfJTo;*|bP{GdkZ{qf^ z)Ekn5S!G98-yC~T=|8*0!sIDP(XlKLt6HVE`pWS382ZGaQ{M3%tXkP(%FcHkkZ4Bg zB; zA$WE0BCx|3Tq>QKPyt&*#F`&l+=g)xTML3CWclb|w(wTpIoh3+kMqQBks`Hjh!I87 z%90qxUl7BUN(Q;Hrrl7_XXcLNXK_xzP%!$ZPx>Yk4;bbOTD3tv*X69@32=UsLE@;T zeE}dE9K;;+4S1qnKg+BtL1Dufa}E*q58sJv9D{o!VEe7Vs`(w}Ym3 z5?Jk0X?2Rb0SHfFdkcGJ41;aw<)slj?D$ShCAuc!)Wm-eVcWf=7fXw4@KN0IdsPEM zX2*Yj!*h#h_00#X(;6Xan?Oir)0#`~Ws6-DF48v$O}u7_%8@ClsBhMbRb&JS8)eD* z-tgbH9iyjFQC`hlQ9Cg}_9Vo`XZjZ`1%YhG0QWkk0i+f&3f=rQCc|$Vdr+_pK3j^X zXZU2S zbSCIK+{vf~Da7-`{+SPBNq$}c$CNO-_?@+iuc3c(#>}bKHEuvklxN zUTyO-oI8oA+CtLuB(sg^j? zlbIQLopoa0TT>`MwDLcDv3-}b95D03n#H^~_7=cr8)BWo=JYo3%k_LTh|)WN0LhGw z&yJIwH^6slytOTBhjN{LB7eSf@ih3Hbs5DSJfc=&wpOLRkA`IHwBkc#wS8C~<+d+H zvs-_)J%wKaWK&1WUTbLlbeIAqyt!NSJhIGi+AoUmbDAo>K=1t1)8cC+$6l+JtLpsp z=ngjk-V2Mcc9oGzE50@vK~`mMh%K*7c>I;ezg8H%a&fJTAz{`kJ@QnMo3KsD+disA z1}Yp!1$lq`@*7_tcRB(?4erwE=ab)tC@(Z}s~TTFxS2nTNN1(KC5G7~FOJlqoOmFQ z7RizIhMxZhUcSRK>8~)tim)==(_TT>$nSHvANq@c$>mZ_dVEI@mO0C~N+gQ^I*HU* zdcC5GMMDh3NkPp6AjWF6)fLXy+@%rpZZvdwpWYU>=GH=j{c-wa-mZ_s0%^->WalBW z>vs&s`*qH{z(1cScs&CT!^tz-2aOHVcoXmwI@6ofYtpd~J{LQOj-QuB;YYQM-S<5R5kH{ZvjmcprL#O ziy@z;N$cmqF+6X_iqev=9XnzRPoPL!d6}Rt3K6F@zlBZn&RSMoY6RwePD!w6eFoFv zFhea!Nr`(l`It%1>}Tb%O$EWfHFvn$u{xgN1ZO<#$rV-Hxo9(YMovLvw`ljpFI`!N zu@!c24E8;w;Vh@#)ZK5Vcyh6;-W-;WG*&E6rf~-$tJ6gih;u#!fa^e| z8?0EmXz31Iaxfwd0DL-z>1ev z2YI!(@H0re@|Du^q3KS+D0kwD>ynh_iYo)4fjoL;QfsuG)c)7E%4HIhL0R(G03Pd7 z=48oX4A~9^6n$Jge43IJlM<_k3`O7O-g#j=*w|Vu!cJw@YI@XB?c^5Zm79kplbQ(? zf0E#Sci)%;wBpyd@5=Wra*x0)Y*gV*b&xS_zNqgXes4<-_U5|Xz#9hl{tSkAB3(Bt z(S-Fm$bLL%=rB|sQQwwyQt8$SNK-TZO7Dl#+KU22bq;F!w>T|4Hvp>PB*AaQV(dTD ztf&#C3LYZr1-@u}%DN^RVhNeckcnyyB9|dp2g%1=lXfdvmBSB{;dHfW-}p@~9n88a z2D4wg=02O19rfRQf1E#JRYwvRJoB7Di#B9C>XNKbXILeIL$)bZC1yA)nnmyz`>u_p`)x>jDUTR6**H_*vvXWS(?lX-)4`2s@v2fm*MEOFH zqPTK|L&b`tf7uUCT4SePrsODi?*9%;$CU>modRm!Q+SK{q=2PKs~t+u6R9e&t6G{m z&i`F61*Z=BsHOJ5n{CAcEF&=uSUZ$dOiXlH0n!!p?PjidJ(VOHmAlOU##7Tj_EP@| z4$)mzup7}bZk18|5q54kHCQM}_ylv7!_vR@9OW%$K(z7i;N>h5IiOVbjC0O}@){R1AloM3F41tsjM0?p*=JgazFn8ShST5|OQ_ z{Xefs8$+2(PV|u~bmxE8wAuut;2)dx1A{_^EaKV!yu~njscy}cs1hy@)!j-Q#*U=q zeA%&U(E|k7<$d-3ktQUdS`AZqTz~AmPzt_!d^z~CJw>XH6s<= zc6XBhYt~yFc}pk%cSY_cboTH26k6yC1C1+nQb}Zh@`n>>n%yXs+?XV2a(L?!lirbM z3xRO4rDv8A9RX8obkC&hz(ug|&p9B{eCGMDXcAp(yQ9sJ(dq|4< zpcLY5OAL{IqeiUMZA<$fB8>wUUpvu|K34b&98iG8fsNI0EytY6GxeNcn_U;jK(+aY zBHA=jG(v+cUUYG2Qz#J+C#n+j5T&0js2-qR5)3c_YbvOTn#J#I8&qruTWT1_<~pN9 zv%%+FTak;GC9l`R#U@YljSP5j_+AD`H$*nedl620ljM`_&Z1*P`!lChRM212RfV*Z zv?XDf`+yv)u?Uk=H$=9WNzmct3+OV#i+KG|KoM}h92K3x!=q4F3d5)aWSMvA%Wwp$-ViR&<=tF{Ur6op&1JM~;>=%^f)`sYo~%9g2Ed z{2?@pYFQw$i@94-Cn)R>5;h;s2aK+t&;t>MUzCOjnp#i}sdUv2#EK8)`zl)#tGo@& zaU)VZ;^YLeVe(3^109W8NWxZ@7t8%J)O4XNt?t`@Op@)`!`eQlw=4yLNHg5}qR zDAZ(~4wC%FJK2k_Wr zT7^C|kr7HvG0G32=Wn}P)Nla>?H`51rgElz>0#m-?)Is5sU6uVKxUMJDM8 zJou^kuypS*(vlGUcWw>uXY1IC>-+Y7;rM9aHQjhN-ig9W zXs~mlU8BbW$j`zZ$84_WRNU<@E%(;-o(!a%5wG;1*}EKM?^@Gh2OrIc0pyQ?ypM4u zTffds=R1^jG-V}%sR*`E_kKe2=Kf~pfjG;?iTWLCCn5s-z-Q`;U_yk3rvrs-@Sq|I zX#@bIhYADs;oz$~WM3j>aZcWk>DvaX>BJf>>t@6^gVVHB44)pp;kTGtLJ(?bV3NU6 z5-kbrrd8N#?%UOFslM6IrA^uO`t(zg7ySV=X((XVb?BUdzmGuceQ`4q+EguCf0QsX z0=ahAYV1X??Mhx^I@K>fp?Se|YUf~sr$CP$*( zJY!N^969#B(e6`opVpvic{5v7p8x1;F#d#%h!6;BLd1oUK>NJ>q^pono-npgR24OV z}BQt}QQGi^{ykVCyh3E3=Ns@g0aFkt%&O7mE(SkzF z&nwB*AXh7~ggPW}Hmm+N@;3$KB$sLc&T#dy6%5C&Ljw2EF za;e}6eIs)h@wmqpX**_GRIw3h}z-nJwCyAL4$VaMTnaG2QpcjMIMuVPmQ$|H6% z@qM(3T;hI}U(5=$(PAKhDSq0US@9wH&IV)_d#-ZhQkF5?A25k@^Zp_S*)g| z_4FxXa?kl8W*z5cI1*QO?AlOlIEbzFAYvn-|2O-_y>dh0Wj-!)NFA6z9>i zSUv&Z&%zh?j_>zM#9Ar)lS6SR(a8T|ig|H@h72+DRYzG$0_`$DinpKboHdLqjtfIW zq|Fv*!y@Iv{N{*B)@GX$5&j1|AZMp+D)`>>`1K^WYWwkj0mUTGFeE3Rpv-)quCl{S zIU(2fB_-_VTw*T*r+es;Hv1JURV&{i>ix6lpHjd;wSaWfRvgdm=1c$@)|rP50COde ziWc_#iOgk_b)+sxY|VeZrQ(tRN}-1iLA7)<)v4Y6uW5Q(r#4PWKT5zzw~U8`7*%AS ztJZem%7+1|;|C*=4?#v^va@QMufqJ<$vdAJIkp9Xe zCQByaFM9XE{M@K2|KjUoFCw?vl)sqxQ(jVfPfmaflQY=38MvGDo$v04(C*(f%O+VI zmarT28^&2BO+t29@1DfC@Axfu8*BvY?Pj@u4H?b<*O$7?ziA&QUt=pL5tZu@ugtUH zv@mO1TQUL68YujGJa&_fLkKq*+C=Dm_*mlGetOv0!8F%(_IQqZBzU&4EYK$%8e$UK zbU>8=MCzqn*7*-^S*N#WpWR?NMQHip-LLcv0nCNov8%olF9 z?ZBj4*B;KLbQsAC=y_2Uq|ei$!ANG~qVKy~mf*%lkSJ8t!IWw&GDx79cc2&3xb=e% zhekR<0pfnzm`DIU?VI$Pyd}^ykY@^$5v4SC!TEP0!yqRxU%g)hLn$4H{xj7i1mKRY z2yq6qIedpi9tN3Lda=E|jZrDwl0)%+WWBrSVC$gI_6toK-F(*;Z>)0*&V;LDylQ~?tQmwlV>R_=MTTYKo@^9 z9^gA?=Y}c38AZf~aDXF1gQL{LWGI8hm4HT*hL&uRpvOcW`QU}-HcM2Qy>nS&w~UxZ zMa`ud^Vy|Q+>t#^5qTnv2w0{get?DxOH*!HOHcjVjPGG?n^BO$ZpgIT;0G-p;~cM4{_@t5!5w@C2`7JIL5ns#}&m>vXOy%vk_z@bx6lCG367GD~B4`21b><`vTdb5i zYpCfg7w8SB`~$J&!cs+5itidp-OX=r!JI?V@-w&=SBon(s6*ir-p##7h4s^|R;8o3 z;uJW=MZ<4O5XQG$k$<(gWuDzLl6Fw#5tS9*1J zjOKkB^pe>-%pfj6zu4TM7L)YBMKCpi(;<@6)2almV1tRG8pj8!qW~uh2ZT!|9L5h@ z?U0b*S{}%1pj}h#3afse_Sb`6`pdW#t=zN~ZOu$Vq|0G1ls&$zXr>&Sa{{-!j9S5H z@jbh+m><6aWOZJ_mAeZ#WGROW8mblw0aem0*p&8jNa)S{%zeGKGyflMr;SWczTa=E zd<3hU{$|J{`()2HC4(Xg~?@Zyua#!Dq=+?TTRvl;#(Madfkw?VLW64BoSrf1&czt zXk6GN%1?nW&rx?WyNQTj2Rl~){cHFz7{JF;_XeTIxH;?T_2OrWp_NL{m0sTyj$X%2 z39hV@e;qtV&{-AwaswA2{pQmBZ&TfCCEzO)Vss&k#SwIwWHAW`7Aq!=E(AO(QD-f% zZvaVbhEIf2YQR3|cg)u}5h9}BVyXeiY#ZIeKjQ{`@o*UcKd2Eg2$IPTiXO&$X&}E4 z`!S4*b@dC}aLG?Vf15eBQLA>nT(<9zOS03u;=|$wmP92_&DVW_Pg?P?RAVlP zlU%fxI-}C!>D9KIlfY;(M-Sl}I&)fxl1a;8$L6(+U%`p7i4*@liX+UiG$FBB)db;X z6X!G`EaNTrjmnQH;O1!6)N%$TszB1cX8&$dvRd}I;EXuxyx;~ zWb)Utcz43bvSyrHF-86RSX4=sCpLpduY(++6<diua)y*_+LUrKVBSWG( z9AUrjS_FUpqFZQ1V4FA&#UMb;?d3UHABLS!(^1mzS-B8J`%nn@=hAb?PMGv4M?A|^ z=)(1vZ2HM!|SHpz^K%S ztIVDS;Yco070lyZCa?V#g*XMjc_HK;Db9(FXsb0453gQU*W#4KKf#mbn>Bc9ezW=J z;|P0&@4-pO|EHEWZ55{LhC~nfljs!IX%^_(#`cpC3hWR!>xW!rNadl+xo9pcbDY&H zlF91yKGdF*tqmxKUHEev4{<7LnXAu|g#JlvY+czC zUBz!fK936M_613>I*QWSYu4~xeke&RH)%rzFd?$8ZJ!&xc#5Lq6jQUx^t=H!r|DZhN|Ya)BmwT8r7 zhOC4~xxEjEk4h^;x+K_E61+Hurn6OJ&I29bq*-UNMuc-ZzUVU6TOZDC{mvBbk&uV$rVQ6hM!Men-zG&k8MM#sBl>P{h z;ioCM zc~r6P4o$0@3gKkqL0a|hy+|{)j@-P0Af9)VixdF(f>oRRfd-fBDJ%DT4diDEK!oJNEP1+K)=&rT= z@kqE>e>qGwh+GMOeY)P;#)g=+x#Gx_j5`=FyA+E$!^zWew_JKI>b(W&=Zl|1vcP^q zm!A>vWeT);wnDl~Oc3R2)&GFGgEOQO+~wVi?LmTqv$sg%vjKMJ@;c#X4diy{8eWbT z>uPv}=0?Gw6mvf})Y9;!tSh$cBP{qch2!eS2?CQU_KZrg@m*%mi0D5-HkySs0_;x-$#W zrTfn7lC7o{w+wQ2E;lwf!=C@GyLt^pOD=FR{E@SJrGol;9Z%=l+QirJk-^6Eo&L4D z^R+gsBa3t9*(n(mWr<@5GwUR+`%qo!s-^GP3Em;$JNP@N!8d?Xdc-pyt zAT5a7x9$_J9A$3t3DY0pze|E>P~}N})tFIg8>(c>r1Mt`cZrh25H0o3U|Gyl*i~~x zsmqN#z4t41xYR-)0cJx_pNfpWTp__@*p=dL+y#c&(-{dGUJi+}zwvhlsRV}2&e!5N zWK#`Pk>EgW+@wU7DtM#*+eEN9ue)_u|y?2YfJ)us7JkN$;NXB ztSO$%aBcqYqV32GdyEqIGkY^};=0q3E^@6*KZaWikXv!0c_K1_&Av=&=) zuYcdERPyu!wj&3@7O37$6IGZi-6PQF|-P!v>>FE3HB^G6FHj0s;BUP6D*ZVQ?b6h6B;1{ zKdp6s+@kd3L<{YPhkl+j;3i$^i41Q#d2zC8vzmyvYWwFma^gQA1TP83kkT3MLIAf# z4$A}@D*#7jea4Rhi-TNWV=S0c2NMO3^%GP%GlUKBpaP>h(7x*)H)iQt-M1C&lD`ip zV$F@Me)S_5pAI*Sgd-as?@H+UhxQVV`Uz&mUgmD@5n?rrIHO~aMgrb60!>kZ>Gz&^xqZ`EC^YneFw>aS;>uuDX6`0Y-^_~K-Ij+;Il#L~ z8_2m3gm{PZkm4sy-!x6Zv_}p=EtN>+pt3U2h#%=1D}51vFrMLEp%KC4_0X@new6h{ zdsu%;qC9-h@wEoUpvX&da9cm@+}M@k?0fw1ZWCBLq1}bAeYtiVTCi7f7-R(Ic?aZf zF18;r0WSim=a#McR32R_sI~mz4e=fXV2||4a7gf_mC#Cx zqBN9B>-&VO$?G;k{qr5R|G>_7doQ{XG-4EgS_2B~1o5$B|J-O`b5qCn6K1wiB{O!j zJi|OMJc&sGkuzne7ZWx={TQ#+YhF(avxQBJbXJ7qTs1J9Z4+E)NB*7M#IbP{M~W z@bw~r399D#SetlYE8e|%?_EybBSXO3!Ol&%kCMRHV7;}HmiP50J3lt_J2-Fr?zo>=<9Rx`oKV4~5$nAp|{&sCS`yTGN9qYXh z_`m(M?4$Ja%LhOcZQm(pMmY^Mh$1&hz%%*%gz^0=1GJZOUna)oaBD`%^6As!<|Ale zI8(R)+4D!!KcS6~bcwjQV`&+@RBBRzDK`EKKEGn-NGlYt;t?vN9L1wy8nTRa>?OfzT+_?-T!R)3VBhYLJ@TKmj!suk%1e*5&^>7l`Yia{oUO znUNd9_Q+Uvb+E<09)i9_vf)p|6;MI82_?IYD1xR#-DH~)dft3Nu+}FeKg}$42o~Jt zr38zcFc5fukW;P3nGRSksmm=kyNAS*`<$rMZlL0p&J8uy}C+s6Ty0CD4x6qB} z=hJ301o;S}_uQYm5F>yIwh-Y`50xY)@cj<5;@z=SFR<&e$Ku^JHY$mDj2)yvll$2< zu8G`N74z%E5fa1z1{RN#-va^SC#?6Afy_u<<%c%Bh|mfPm+&wfW14?g>=QjauN52g^ z_ony1dkDA;?x)iE@3S0I5B>>unqE8Z&$qZUSMNSba>!e|X$@a#-!n|+w@695yB7)e zIJhuQ76Q9kbeqa{(zC<%rMg@n<667vKH}4JN1hfO0<=8wOkDm|WaO>?TAWoV*ZNDy zstaJ!3NKUk_r#hyNMpLm+z49vDEs&EEARXI2Dh1_e~)81KhXPcl9}RQ+pOs9QB!)Hq z^v!}u5nm{XBJIvE+cBl~VwFqKfMx*9iCT~FJ{!BtZhT2Rs1d0cZAsCBh2t3bJdbm( zwi-c8qj`^jd#tBx^S~p}IfQ(puGfC4?Q&z8uZbrG&4G|OaEY%63oF9o-6QsLRUV*% z2FY^FA8iIKi(nSZO^rv@M!8TBI%{AyrZ$wLeK>s*{l3;wJ(OPRY;f1x@{A=7c}$Oe z&inlw+_v4F-h&CI@)qgNcvc^U0p}cIk*IK{T(_FXQZ*KHU?7oy-pWi~X3u9PEYPm>!_MkMeprW^pN}fyt0J|`H z;J@m_RH~=6`Gc3YZjfQ$i9w-|*f@4w&+wxfScpeRuT)5U-y^MHkXPOzh|e+9FV1Qn z9MYb_c5wSg=tJmpbt0&GA#2WuDWgDUCQuO;6l*El?7b}nnsnEwUIfpYf!>NUUM~Yb zgAj*W+aGFtP59>pOeS}T9Ef&VOOZAUzLcU#V$!62z z_%loKixa96A&JY{)EMRts@*8YetQ}^nZ%`sN0JMiWo3ZHxrc|6i%dmt4#@d1L$HTp z`ee~}$=r}{cAo}jevUa?z2;i)ca*DGz|bDm1s%yuiY`#?O2-WCx3F?blNB&Kk~O7P zOrIR0%uyIktu-N_(-mDI$Ti}f_zH2yn2Hs6Wh$0X8`kci=3=_js4%8tA6J12$HQu! z&mOkWCzaxP1BXt>odIoHzijYR9LK3GpJG}Z5w`g=hsfekYZ2+mOe0}; z0S$(}<2&&L+z?0T6sqaJeT=`DXl1b(+-YIm!m-{R4;EgIPXbOI{0>Gq7HjX6I_k`A zQ}VBVAH<4}5rf``IX+LEl-os^CS+dS zjg$fmzzI3%IkwXW&I}tsDRM8XSP}aulTMwr^S-$5D9I8Q(w;yI)?*=`_X+bFbsUjW{ z+=+=&35C1%V4T zWX8RrvSkg4`7P{X!$CI2nP1+p3nQbAN?Kl}N&Xv3z1VU`LYayq!fMvH@OKK;pAEzY z>RHtnEj18hyQEtv*R(!-xI$7XvUije?ke(UM^lnF)z6*-d1(S-}{*A&ISc4g40JrU}7@X!p*?sX4V|Iu9oVbD9|^7up1dqV?RdEuhoBYG*Cf{GnCIWX_ZGSliJn-6 zDkx)T#^AW3`*_A8y$T)1F5cL_p++f=(kdT^LieVeU?=sGE#(t4cQBO%ssf%e@K4~A zE^2f*T5YeFAIhM3)&O^d$K%-YN+f}4e(okzWDB}^M6}`IFyxHA#gql4dGin9kLK}lQF!>M{ORn z8|G?*6_j*c5$iM)nd@OJ?;%W~)t$%uG=&!HkjMtw{=uXyazL+sqCB8rTwMh7&4;Kc zn6hRCuZt-+*Ae3hiIB=>Sj#+fBQF2}T_wjmwG<$%i8Y9REiKYy>j(x2U8iG8mR8u436@Jz4{ zW0pp7ID2jz*3KUK42s2y+P7(<8u4mOahl%FW8RSizf_YOBbTI~qo@H?Dn=1^IRYa& zV!m~QrsoG6CVB<7LkvOv%2#MDp^HSoMep;>=Rs?Ls2TmCg<%!Ko(R&5{?J;37IzA% zx3W(xdo2PBL#&`q*F_GiD`CXDu>woMK_lxXJ7H^|`mX&@HNrCqF6xS1L^GGcobPEh zLQuEaGCs*32B69tORKc^HL%Gjs!lGVC1s3x=M6RP|`hm1%@1pV!F^3`BGIZ6F z33+RAC%UerEOK^PVcYAW)p33%em+ zvI<=5^$;ufy^@vGh*Z3?>dn5ij`0-4oEG51ME- zD@9SLc^KD_S_=nMk)^#t&8YI?y1Jcs{czzW+U~Voi!W2B0hQusfug?2kyzy^XmO0HmW#)bNip^-3v*fK{w2&Mr(>g18axcIX5` zGCNzH@imk&nV4J#tDJrni4I3J4kHp3h`s$>a<%oT1@={j9Qux{hoS2P1e41{rTEzX zdFkde-7oV^|NNdxNODv9Vg9m8$+w}DuFKZps;d_-#3{3nUwgMiI{Z6nY&n0O1 z1#ay^b5CoxllY)D%<6$%FKa!bg`s#Gkg-c>!O{WL)RYhvl?JonHY8dI8S%?RVhOwz zu)Mu9SVX;l!L!j_=|G1;(u-p{vX(qpD0+i39sDawO>%*bMr%wBs(#S+74JUK>5*9OeI zMk{j2^&TLQ6>P0+y2ILUl_24hi1%iSd50n;P74Z^C~wbZiI9 z5}cb`lRR?A%Q=BW<8~)73*dMNHaq@hY3FGy&m-xp7|~nOp_bCPhH6H=vbB6T{{*!W ztx_F03(*u!Jr8a}7tx&O>b~1&&v1W}IX(kne1_q?0Q`aFD4p7Lewf(2u|BQ%9#4S;brRRh*il@Q(kf`jtJf5g(PK?}>a2jw0x zg`LEVv`ZAN@QqEo(4@s8w@rpW#>CsmrR`k}(S+$UqE}^(-_glx9VCU(qG})}7}T#9 zn`vF49g=RBzgU&)-kw=%YBJp**1Z_&T_BcW{RAn1t8&7hgd!;C)nw^w1TY2gD0dJS zk&AfAjcMgmAyrhMNvORMal>$lxFT#^d&*6%`}#+Id&-@isA2!9#~@4u)~y2tPi_Hc z@!wlqL)9jBt`BXK8$=twM2&zrUwM1@pZdqaR_-0h;th31V`t**B53jRxyIM|b6#O2 zu_?fzEkKy+FJ$~QQ$G4$FblGcfY3TLanS;cnS7$0Q=ID$UkMUp4#oj`#t`GMd~Q@m zST;p|>Wyz~lbev&2Q#x`%?68S%Ujjg-6sXRj4WMuMe-s$D9sfP#n%gPLilKP?=0NY zt-GQG`v*Y9_hPlVW{fEQER#OZW6jANUDj~ zY|ROiCB|*53sXttTNngL4r5OkVyv*+CQ*;|i(N(pnf6_Fqz-Ko07yuW`-6Md#E;Zd z!ftUeR9HGIkexq=Px*_cSqwKcTXl)~n-rk0Ag27tJ^F|gxGA0F!{nsseZ)Q5aJo!! z{_~OxF_9{2Y*uR%*L8-RwGyT+xpjnUSEXKq>SVF$dY1{FdMPIP?pRWI$o&Rm@2E?f zya?oYlU{Pb6ae3knP2=C>cptJg^?sD3?;D)NYpoB&ArT_rJrHSZ2y?bvw%^=|E!<$ z#zFkn6@q!EHwhmK^kwNIV#Z(eHAZf?EP!O@(Icf)ryf>KQM=xSi zaDgWKn3V+rqW{Gk1~@Lcm$W~A&F$b3&FvT{thUvXSbSLQsO#8SN1d97O3NO0XX18>d(ry`Sc)(Q{l!WwE zvzv50w2sRWnJ!js`}I8&Tf_hLG!ZmQn3f|MmWIFI+gInOC^4n4Vw)cIV`4(Oz!8~E4H$S zaAj|Q%p)0wm5VnVDG+!#NoaU=&m#EznfKrYK)c~=+4WP$sLqoPd}fi4jhb~P-&=q? zUEEi&`MJ&?D&E~3p|%5iTu%L$$`SN=QEp5E3~FkC>JPOW-ElcgLC~}tanb##3aay# zrIc8Gh-h%h=GDWV{b9Lfr#7ATYhZr4kQ7VTS5sp4$_uPYQQxq03{`lmOs!J|M{-;r zgwV-)x#h|IjPN2UgPBC*W)5Uy&7V?riYW5WA40s0vBOIEv+|ApD&+T{dnv5hRMu2- z!Y!Q>wX-_W&ATXekIrE0S`M!=T0gQw9my7nERqJ0;L>B?X0uQRawYb0PFTsq;!*Mr z0}l~eko#kb%fL1p{XD%EH+I(un3g=wd=+NOT)mOSD5tsD5Lm)VY~UVD52qZQhJ#JIwpcqAGCE|AwFl(zxwnHGC-H75NdQ^fi8-b+X?x0d zcR@xj32-m{#@H(6Uw3I#T+C#(f5B8|rNus~H?OskeW$cCU)_%A!)&iR@4?`2kT}U@ z8IJ^Qrf#$_sxh}E11kE?BG!f>jN(1!8x2Bud&u7Tw*!#!50#irK&ct&!NWjy z&)*e6zUA;i^`89|clnt3{fdUCJAsZkiOPWTk*{l^XI}2yVj+DA z$vdXum`NbCh~OX;kMAKN@N<*0{-TK^B$w7|YNjXgG%ZvikWIA@#<6zVNpiIB*Ssq? zn`4|T`r3j0(a5X0MNG}d5U#j`;NPJCRyy0sMhx?%`a~Y3x!!2;F0nyAe8Cwkt)_N6BU*c?enW7gxje z%Y?LA%_8x|1${)>D8G*P3v($xISL*Xaoh&aD)WG{a_vukN&@xJ&gofE1foShcE88C zZiTFOhiO}_cM0UtBk=IkQ7(q4gz|x2i5R~^^>Jd)@%is=k8kFQC+OO}!ob(XDuhp^ zW~1Xiu_w@LHFD(Qc-A%eH6(D*bo(H|IrD$qmFL{LiAu8TfR4t6uld33cqSrGUI0+f zaq6LX4e~zc*_HD4w&D=sZENq0w}CV}e8rl~okBmq9d_&k+F94r9s7D8gQ&hk0N$8d zru`39+?QKP#m_C|vQv943mGd2a6CV~n(E|TKE5xz;b-lvbpIa!>p&F07B*lQgm95j z8K>0Z5G=WLw(k1{Oo7uqg0a=q=`NJp9DAmxhm)rNK6W?5kVsO65;H_26qZJW!U7Q~ ztH28aieM$F1eJk6LY2k@N&*5wNRfd`AV^i7=e>OI`+aNez1RBB{{KERy=toG-|Osm zeQT|~)_Skc`@Aj~W0;|f=)FgsQ)%{HFs3Uns1IvFJ|#u4OjicdxjnSJT`n2pl`#gU zy_%{Td$PDJF`KV>vbrqqW|3T-C@&oag3@!{$IGGFLWUkGgY>)p~-8=w25Ys%YRCU5%}pZvwg zKX25ioi;Iwz$rn4Cv7arNe1}+Q3 z&Sor1l9IQP%(rKYu?+Z)*x=PO!66rhPfu{jH|X>PhkS?9@7Ydpz!l|NggMAM=7PO# zbkp*^)XJ!rrF9$P=}4 z^h*(GYRKBjQOS%nNj>V9rs2>X|&@NEBfbPn%K7IjYTa zNzP~FrC|1TLHyCb;8Wkj=#@mw=E8~)T%ySbxk7(HXVC<`5h%ST8rbi$wKisiqgZc1>n(mrDqT9Sfw|Gc()hg4ZB6H z;g~|a%Y=9z@k`(K6JK^N#CsLTJ znh?+YBiNZ0W@NQNYZZoLG?utMXkImXYO742cV^U&7}evcyLdLbqTp2DBl|e^FJoIi z^%#9&UZ2OJ(huGI>0w>_cM9{Kyl9vQ{7h<)27U(a8E4+uL~sUydE)ngDDBY>0zc@} ze&o+R9X%+@h2cS<6LxHbOGH3Q-ht_)88!Qe5d*VDd2L+lAxK5%D_RMgGS^Z)kO{?vmY{?YfPbuZAf!Ddfr#045c8vB_In6*YiaJfVdxwgoa{n`Q{ zMAMB9l#L>V+$!k^PTK-lvCvQAB(P%k8nSz_T`jPX*g3E!H;1m=i}jxU4Pv;Zl9^3s z(m?ENB?J@h1!hBNV{e>ePP+>8AlRF-mZQ1EEy zO&q*(FLp?P3$7Cmi}m&<$$WR<{ZJBD4rf^#iCprmD?r<*_PHl-tzR_dJh!>AXAbSe z>Z(5hJg9w$gmf6?_@qJz>+C~F%Rg{5p?!Gh^z{iBpv7uV6LBOzl6)R+r}Qy8r{0m-3#yL1#RSHcQL$-rxC;KI!xRdPH`bb#c|} z{ZQNahKdpe2LQ(Po%QsLMs_Zkdis1QSP053@|r#f^wInWw-S_Vb*s5eKQ_V0FoL8^ zm3gcvx6uW63$XcMMZ{r3J|8Fng`oR6f~DSh&(@u8e5zg-L}*2JVqh*1j;ThCL+9+3 zWyB!3a^)9-6^LZJ0Axy++{-BV3h5BL=`Hxhh+QX*X#aXcnAWY_TBQn#?r9GiK z&zxZdITDGNaGuMpJZ>R5%0z_HKfytudOYU>W4Dg2$mS%(#OGZ4F%F+mKqA$jY_fm9{7QK)q8HX6x#T0n4heZ&0BoQog^g>X~p$lSso)ofxHj*@JUQyf?6GWf>0nP=_ z(MR6JilW$j#r2AWP3X>DtgY`Q1Vw}SikMR%IaYXH*rUD}QC21h0w`_&+i%oU%IB!= zib>*!)THqqxZ8F~#k~5Opg_XqAkDW}NftcTJZrMmG8l~(2S89$@(J57L-QNBf^Jm?m+ z@$Y1mFJqLy;9q^&Pkd6!A;`_>7-c1wqrqDV-ajmaJdYvEJ zsI7(Id?iB~vS2pK7J$7xB!54tD6v>V= zqB#Wn&24fY&G%Xu0ji^CmkBJ(%tu2K312OshdZLESzi=K!Zc zoTmK-aH&f2V$MTx7}TpcHh4q79Uk|~vbvLx-B8!VfIqgOV*(o=rJT|UKc?BmqWsp4 zaQ6Zn?^AJj9A}~6wo}kAFK=XqrQUmuI9}g@$z^zSE54?+&fKEOWw;sUn>YN<7yj>` zKUeWB2L5os8!2|dONA|$o7Gu$)rGiZ3{ban-pr zOqZbu?r8r-aNjv}Rq@@N&;3_ zNnYN$2zTH?t|+J%aJq$5WggXxMIIwF(Hf5{L`Pr? zXvV_ck~6lgld%}E{6kio|Mzcx&sYCisC~_)cdE^pyMnANtIZk?6VjLB1KyDe<64VKsyLf| z=)z?WU~=0mA&-o&7+m%TQ_-93c>G(*e18g41i9`yRq=d-g3BJG9P3+tR_!y& z5_NnPFeBYQQAf@}oC*(*B2^xZvk~seKRxKf8HG%IQt7^`u`WGv*^}1bk>RpO^*Fuy z(DE>;pfi)8kr1PL6NPBxPOcGz>MMIwR=`xrndRF6ikPGS^RZv~te+0rOO3=j`9kPb zE4e9GbWR`TjMN5MBRIE617e^xwOkeyHDd`WgQ`$)Ed#ByV9rM&U#OEkc3U!A*DF@} z!rg)>POP|C3P>lfnDd3CyOuN{h%^Q6nFZxhuye$q5{}?NdJXYHTX7RBV1lT~K~=)} zM;WnG7Oe7xNKx)JU%14mmgZ`zd?654oM6t}-bPqF<1EkWOw~Xi&_z7Yy4m0C5>G|E z%NOykq44zGIHQrS?e<4b?9ct9Pke8n7L+#46`ql(;f*%{Bf@&=<;nz?RCq49 zLo1h7DNtL`a)y0{_An|u7u*6pCt3%LCz9>RD^lUP;9+stic<&mBrRWAkP6QQF$`Q& z4oFdSCLL1Yxgbb5wc!i+PC<_66{+xw;GDeq)Hw@)4MaQHSGX7|JQu`OqlN*&9Xj$< zDTkmaJeS0j0VIJai7bx7`jaHx-Xe+0gEZQKi%J~ie3s(&x+F(1nB>Bc5NXYPcYv_7 z($MwiF^XeHhf+SMY7P8@Zd(NdGZ}R|=Nrndt%ul$a+lrN@Ma&f7n+^go<+-fX1O?K zeA1=+2{^rY;eS!YnBeW>1~*A+EM*wPM~MuB0NBT?Q+vS2s?!PgaC^9iVYjID!6)3q zWpEFl|N1w7`PZE_>pt@aQvf@*H5-oY4sbgL6FYE#wPvd}>yA=DUSA9AVT-a^2VziX z8G?jcI?l6s%Vr(mKEx0_^ji7JvS2pr2UG>jQCKaRf60QCSw~GktToI!CIi%h*{pBp zE5khiqrp5)Ua>Ok0Cf@wDdU)BHew~2%{m(37&?lilqM=kX0z<~dTbBkZ^TA22?4B` z`REsmc*F{-jb3Gg-WqJRk!O=eVN~N&HouoP({7gAzcABa_|M}8$!S&*L5f*Q4FX7n zgETE#5Ktu=Me(l#6x04PZ!mWx2u44(FmOBUA{)_g=VvbuYm|?q9uY=3VRcS1(7mg#%Hp8M7BjtDx7N2Z)4R2Hu_2nbykx(bJ{eSJGrd_aIb}!eTE~^h zW*SBDFo37b^vR$)o9WXrHPvh}sTYWU)f7hYMJ!!0Z2X`St1{y!{;gv`)%5m7zF|P) z6Bo+=#W(ROf6veHO?=W5931h2PPDR2Vp@}B(>~6yY-($hDTI$-l{d8Yvf3e=!j36M z$XzwZNzuBt-Fg3qHpMt!4-b?9v6#hLXjIln-jOE;Ijih`G9yOc+3}m>SZ1!pHdxpvtfS zVgj?t6M#yxU`@D*e)ej(y*3|I^4Vf_ET#l=`FN5wP5W~@nV2?s9ceMye~&_OTrZIN zV;I0C7m`j#ex0y*(#=2K;@KlQHpe>@DK>2RHb2Rd+1G#TKlO z2oT$vFAkatOW){MrfGDy1)NjN=T@g3-9?(`DT3m(+lqhK2;hrOp~6-tx{@6l=b|sLV*edeSkkzK*fS{ibxxu;u%7 z%#VEEfACkn|HEJRx;sxiF4|P!1FmDN+7ChihZg~xuCcUzWje+M12xpsWk?<4f~Yl9 z#~|CjRY+*SY>fM;0|r`i9zC?_R**WT2o@;NVkxvs zap^NkW`#kjIvrMqjMJJSOJq(i(ma}2io2b0S~GAQBl83Uuq7W?^IRxe z*N`w`-=GhYfSUH)$o4n`650>e4EQf%n*=jzfzx$DOf&fd;3j_vx~JE-RE z6v@PU=Y?n9{jR8QV5_Z*l+&pTBeI`gQqOMXtE$q%6BSHOteQxOGYxT(EDKeFav^d7 zgYOExE>~@LI=@)lm43N$!hJt2cQH<6-g{+5?jGaVu11NhyYkB3@9zqu=D+>UKlMl7 z7)%`62j=;?(mM$>sNhEr%)5yJx3A2jbwRKqg9U?(%kx!-)R)T31rIB!aK3nUZhwV-`MSTpj)h{skW(M~JK`ojU>-R6g^+G;H&inCmAJ3o+k zY-P%z8XGVN8|1& z$?10F3`&rny!(L&7j^K>GqVjP%+Q0lS7uu;Xnr9_3^(G~n`@R~{?lOiag!UQ2pJxR z&Pa6AZiC^&X%PNSe=zT23k_S|6IEH9V?F@poZ9YTbVSzeSvELd`0~pkHDOk753@S# z7SW==8n-C974B5TV^Td#UC)9$JnEwszU~u#=68Scc@JTweQn4|cg87Y8??t*Z!v0qZUByplyE#WT0BZpFM;f@@M_#CpE{2$LD zRY38J5sQFKv;3V;WL-~^kF!c~fTO!gvB-AgK%~t&bAX%#GCt#Lp!WP8QS+0}P-hy3 zpfi!bDDMn#>u6L5x^)ztd&QGh}JPdBuHR`X<}iN>4jvH6Uk&2 z!G+~1VBhH}WkIb2`ANbBQtzT~o`)1F{VY$;^N?({NuHkPL7%~I-OOW$1}MdZ~spHt534grYe2F(ARdI+sTmA!%Um<&PJZ#n*FQx zNKbY>bzQLX;70iou-{K^+T3}z{s%h6<&}Q6o zMP)0`S1oqkCAXMMCU$)XL3NN~Ny!DcB={{jdm7ihS>PwF&r)&$E{X0{q!lQ+-r7uQ zUvr$bE*bRlK@DiIOz;c;PtEs3cG^4|rn&(YD@r&O?WZ1AdWXq2_gHSFSY57B_!mR( zk09r5pd;9G_~iA#;OFrHx~(_XkPi(mhb`Y4AqGaj@D2aZ6JHg9ktPycfm&JtX*y#F zW=2zyRh}`cS&YF8Oh_>>Bt02T{_JJNIf!y82zZrs!pDj^Sr?qc7#^z%Gz6(YeZ}A$ z#zfdQQ<#&frB@L-R}_({nqtK&nOaDHfmLfu!a125oWF1e+7xn=Om)s*lwI4asgkL| z`8(grh=e%Hvud#@!16&ARb(dlg=)Y)?j4l|*KZ^OQ#{n-&jNksdCCyJQTU=qEj?Mu ziOg&qEr8%C8x5INVoJ#aDTQB`%v5Y=0Qd=YdPGX&RieFgV^!i*=bY-C_g{F2pydjD zik9sIZlwGldi;+(`!Qjf6-j*@+YhJ@&0e6~>zPCt1q|kT#5S1L*O_`l)9ko;&~h># z2thdUEo7gj*#&od;FTD%fQ(e8+2=z`{zK7|j~!5Jl(1osBA(Vc0~RhhOBXyKY!LTh zsdEGJijuQ5L4dGe<9TRM?$C~g;Bf1xX3o-kZXv^V4S-~OFyVh2dzsd{)iRwMM*j;P zJv9+kz-bwbo2dcl&L#T?tZUxvYgA02pXj_#%jZCybhsoX}ezyukpil{F9HdUvEw zb$9ldr>GZ1bW^itzCFluf_9jfE-h<~WOaWwBq)~i1PH5I^Q;4*uEI@WfOK^XQgEk2 z{^1C@tqS*zSkS$I0kRKcDRIM<;d!KHL5sFCrg&=ex;zFbxfki>mca_ByN7!rfFa); z>R#Aue#Umu6L~eTC_HXyR?_Sj1yfSj4&}Q?sH`~b7ESKO z&6E}2^_Gu%>g`ch)Ecj6#jWBSaG?XLVQLlatD|tC_2%S|r+^EA{A;0$7ejzBKw;#H|g+Nb4%b8I?bT4c- zxE~G|T5h&2vRla`aG{;Rq^dRh;X=E4XI_@Jjr#@3^buY;1_!85 z=T|=16-bf(YXB}}E&y&!fdNl+_ZNfjk5?WrDX^#?c= zG(-O0pIN@OSgb*Q#o~AWC+CN+Z|SH@{t_%iP!f^ys}r3_b82tsehCJ(MldHjgBGPH zbt*T&vW+&R4*&^tXtp`loaj86W6g<9WLOPI0YW7$s76LKCprnCTb=LBN`LiLSG z&-q1Ctx6H~fWhHfM76U*Y?$-E$fz0+Y6Z-xSk4g4`QMWx$Ww|4miEL1@+$v(asW9? zVDUpf`~Y$>M{3(nm0Z;p)@>DyCDTP7IT(@~QU42LI#HrO3Y6&f6puBgL*t%d%eRJr zanF~0?fc$*zL9;8iA9WiSj1BPyHF5ynPf>yJ?T$xN*X#-(ck9Kb|_-C^^~l{FwKzU zcgkRMN{J0GVl8)sg=57HKqHN|%S!Ap-zb+K*k&Xp6!1p{ulvQ6W-m}&8hku{o~DSk z-b#$ZMt@DNB}rzP%9_rD4M`benGaqUu@G!(xeLXtw$>(DCSP-p%9%FDBc`egP8d&~ zZ43rj8OzUNDYG%Fqu2Kb{R&#dS~q3OYsWoFO$S_5HSSQJ%dwhSSGRzN5sLkTlNx#> zdz{+Ub{H#W7!C`3+RRnb)l(7c@0vn)}hfj!Q)CL5)KXMsuVU`4V`3YZE(HI0R@0-vqpKhTHKdLcRR*%$Z+ zn(bPqz3|QRmebRB{x>XF zeDc)_+~eMZZ37blVYEX&`0-+(54V&47eQ?Ht!5jfrv-Qel4b)J_xo0}4W?8BhD^NE z!pNkPBy-Ac0ycOqR1&v~cPWz|W#0-%7k+cBx!x}|_np>EkMV4~+_7(ykf%l0TTIU} zD54KcyaP(K-C2icS~ES!Gb2sMnivD#V#0(k&aVhWkjUZV=pb8cs3V6xcc6OC&mA^% zD2>hh++p*A&mC6t=Z+tn=MKcv{@n57LqB(?NTo4^1X`+a1S`NcwL4<27s?I65sY>f zjL3`~$gwkKSr$qZ1<2-RX;Iv}5;023<!3G8L(@kOt-xruIww<9zdA zH4q9xP3H@if>Am?w_|_7I>)AxNXO|YXLMQ)q|epw*OEemMwyTBPNtBo=64>4K)XXe zJ~*BqjuaX^%@qqaNTI9iSN7$&e%VeJjPuR+9~{!)ZqDZ~b+oiUx6}SL7+fE(!t9{G zR@ub2YYY;UvKUCE@$DL;TWk}g(n-OAMS2;+B$dXd3oFMoe%7+`T5gMPR>_INN?fo_ z!T7`)LDAYp(1A`z6$4;N zcgNRQ_0h&EqbF?%wa^I4!|7$p<$~&;h1`t|+ldwV*4h@vS};AqvMWF??TWeL3(EuB zq=KDdb5-$0Ta8v-x9g^3<>{y71-5ep;hj$F+G8+~=6n1chZzYs5p*TQdEf`}9j=Zu z*Myf*c5zoEc1OKZ*8w^;c2Kv(jYBpKArqhSs{9<^^nId4s#o@4Pp8I~N|#-rv1NSF zZqH|Ef(oP=I;Me>R$4}O>F6)&4Sy-mEZ>)4edZtk%g_Jrb804FAaGqouF4)r%v6RfU1HfV;b11~40REM==w-JdTKbtS1s(c)8P53M)WAuh#pxL zw))0@^)KHWO~5*$+C8N*uv%U?cuHXC?M&6C3UfhV#MrV6L2&-5da$qHQqfa#!7Z?` z;@3cdVSobP1gOFH!e~{6h=m|km`+R}`MWrN$rl7fwM$^8Kw zQB-yyC^4&%B`y0HY+>B2|GV$$WSApsJQ$upQVeaaJ`^_f2(W?lKa9KU94UQ82{4gnWG_>HtHt?Y1UnEe}J_X*8>wA=*;9pi&<|ZvE*J`kt#_h z)?5-so%4`*@V%6;DoL94B8ht<1%fTu!P*O#@`Ow75m74<~N=7B1inCK=zCkB4bN9TYmj74D-abc|opCVbes8 zOp@;$Lo95>_UOY-13Tc~ZikGXVTkQ-&RDZNv_4~JcV_w4q_7P9+x9>635$q=bfKf_ z@Z7A|lOtTSIxJwezR9dv9d4ISK=Kv4xJx+IvWx4YG})a9m@ozPi$7-3KfhDdc-f`kajKS6Rj z(FfkE3InA5$blh|?ZA)#28Is)bU?6*R~R6jE1LGF4NdzupeJ&?kE#0tma+gmE-o0D zBCH=6o(^cL2E9n?JDm;#;ee*#*8)N*>8uLnLcElJd*eE50)kIK@YeUeYx}mb_vB=VRGlXEs<4)K@1E986WC>TOfHQl!$6pE)1fz zOtW6_`vq#7D~P!;h{0zZE2cHccEwy6g#N(<04p`N=U5d6VKH%z03u)_siL>y9CKlC zyI*nuD+H0uYm1jvVQ{w)3S&xwHKV!gsxY_#;vRWH%#1)4udPaoU9oe4c|ws@B{_t> zuCYo6u}H3g6cNd-!>i+hqqagk+P`=U zSH@-Na>zn@)zAO-RsZ}u|9f2-J?P0QVu@`K(@ay+$6=iDgH`SdU)$s#$Q<)g3>f$UI!){R<`%P8E%Ty8n#n;TA{4J4A)SJ=z0D$rkc?|n{AR%9EX0i_u z;GiAm{WOA=58&XS-JCsK^@`aC2+YqCrnV8xK7b*(IcSNvqk6^c17J)Vfshnj?OU6f zeSpB{fYz^FG5Y`oo&yd?Lv`9ijofJE1MHDRlqBIEgMnH{rS<{#D`Y0=SFA`#TwhM* z0|ev;UQ#W2HhQN`Oa;0oa#xFYJo9K~*dg68-m;b(TK}tJx5ZR7(o9d2&&IVfiL02x zc)qL2OiQEFt(obe>BZ}r7^WBh%-4MJUwK0ck#?L|RMewvAu)j|VCpe1S2o)Wo;w7Y zhZObbf_u_2P>-(mjv_3Aq8?pvu~AwE#5IQMjuk~cn&4rD?h?Up1DO+>@YmM8v{l7*bjQ&x4$`z)!sUT zQD_{kz=k=wf(nMIyF~ycT{86bj7}|DBZcg(bH|D|7{kPP5;jS z_Q}M*Q7Uyuxe@ih6NBE9=;uW7EEnnsTS=8k&>vKpHqUn)>32xM8O|ZU zAa#bMTTbt&^GrDrha-YPfr9A!Yg1P_5m(jN+Ja$D#DPbLC_Dy`%2JridsQM1>PE6= zzz1}4VL1`EKdcC-mySAt^XC<-MBD)l*izrak}`s%lB7bkoB?{4w`>t))8WO+VPd}2 zf@Zp%0r;3#*X*ik?+;_$;`-kS*7qb3F`x~~mRCS80nq`jrDJN7<>h;tEUy6f##`QD z$*;-s4p-|Jx4gq{(PVjt-=Z;=_j^9|vH$T$&r*Ciq8@b_vy;KxAuh6!T_i4$1;~_X zP(x7OXcvSH($p;g^R1wy)m;$HVGx8>TWXV9UXhm9Nkf7Q1muM++Yv3V3&uzovf}fx{ECrr@%p9xg3N zdBvQi+oE1CQ2^%n0pL(~_v9?ycC`R2C{!?*)r_MpejZV8{`(+Fr$e+3heaoqC>4H} zJjqWl6@HgIO;FEgw@9M?c$}a<-yYN#m36L5P(Mfwi}IqDQ+*soIr&1vl!t=$=@Mg! z;D_klAu=7^e4-OzLi#-lNI!c8#_Hb*?LVRYUmIxuANv=pKk+dJ)Fbq_iPT! z^5Rif@>Qm*rQ$$*bF0m8INwZ&O|*VR2n~jB1h?t@xgDuF`i8NkKaTS%Kk>LQsQR;e z7hCu(J)F1;Vf4+7PvhBoW9{3}_+r@deeuPA{mOT~_*sD<)`f0fuNcJvoqP`){3lmJ z0^0&l|CZ6f@-Yzeoa7-gjz4NDuq8E`D(e;8OC7JYJ%G@(5#)p7hd!zM_zbz=N!=%p zmrDYHy+z2va>QB!wXFOkz9^FGgTe0RAXsS5QsN7j+%D&+=ul50%;`vGt&brIAe2TN zgcXHYF^>L_8dX(Yle%%G+M_vDY4+g{#;Mrj(mQ8--38;Tp5@LF&-kWqf1d8?bWW@O zq_;P#Pf6<~_0u-*0=7J=d3Qmjlk~d`1V{%I3_#L%4JM!+fWXQ|rSBn7=}XxT4GGc# zG%LsP+0a`J01%FXe0;hPv47hOEkBPd+-p+|+VlVDsnk#Z{15t;w+x=pa{wiord%xs zYEm|7SRaA7b(SON1R@E9o(yezj1_arrjW%QcFniFrnb39cEl1(69$MEv?64UjhKTbgVazuB_Lv@1 z>w0b{UCsA8ZHgxyx*C>eXWp=o)1;RhsrU5l@YK7#N@Ml-l=_)cKd+6{&!_&>KY9MA zBlV-TB=2If$`Z&1<{Bq~$)Hoh75C2T(HPr=j1Grbbf;a=VEKw=csjsV2wLh=IPH}S z!kl5kIcy+?=5*@OqHXNZr4bd|25Yl5;PX)L_=>0y5w*pdIlR2)(4vuiMNqFwWrwr} z>dM55^e249!;(yTILG~-WE1tpXa>NRG~Ok&ZZ3D-aTfx)C2is{i zz1@1a8c#FPHnvBsYPP(MQPzE4Et>W?84I9VW9(V030n4uK+Eji7;AWk=A?!#-yX7 z4iFR`rh{wmWC+#@4|y&I($xsk@VX$1Av+BqR&b>oK^op72$~o?g?X-p|P08Sx4ba;Vr`T?ay7PyHFw*y#is8m4-ll7r+ z!TvAi1tl5`4yad@aLoh@Dhf}8FqY;+OSoo&Tc{c-<{+J8l-qHRlArQJulCB@14aR) zzUo8Ev*jx;)-V=ONr&~y>iw~zJVY+I+R|21@E|q0e2yBfnWPbXk``eNrqBA=8m{@8 zGJICnTpM&E4lY*1e{+mSPy-BuEoHe~x;bJsT+(PBmX86vg`a@c0AF*sj(u?NYA$^m zs^RWkrBMm^&az$)?)7z4`Yzu40r`{6W%%C74EQmZ{BtU;=zj=dYJwl7!fe?;J}A(F zdk+%0Ao5>*pSb=hq609^rDuBv?}p`1ye%8>G_^N5J>0X+4mU!)vBScUe11c)W_r43 zTcG4k5;MEnHPhog+pd@7kcCsUQbw%mVNB2W4B&rK3!?lvC*fUw9B}mXfY0`e`Q9X# z^2)@6^Yl|nKCX}L?0}@Nm~p^!44)HMq`K53F-V=)j+X}SO#3TIcc$$)v-W35A`jE* z3PnHIn)m~1KR8fe9kH2W>FD_$0Zl_rF21sRsw&0d;;TmOMY_*=PD%WTwuYB5{+j0JIW%A}~KU-yF&l;Cg zc{;1c(^)TP>kPeI?Plw$Ng4vx?uL_eS`=aYezG0=;|I&9qmE>8?ey33%_kmTCtZ2xF1GM+ z7APq;@mrToEVVu)Wa(Wjw#j}X%j@`Qe06@GHY+#p+568u_X>-nSMPY{B}olD`;ISq z=1XVrOYy%&xR6Egxq)(J!B<{-=IZ79uiSs}>Yg0Qm%e%jy%jso)jQB^-8sgwU7yRl zKF3{Oc;?;jifh2uy+meq|0;iX|0;jS{rr-8b}L_1d+|gi*H9K-EqCEr+dbh1`l~y~IJPUVqwLBnd%wRc zj9LEplYi^C4d81*7ohqy4F|>??#zRBfzAHH36n(6rv@`R%N;li@6e_f;6T(D?MkrFoeQVfta13paVPH`TlbC z&#jh@2t&8~dO!3V%i!cNAbbz`q;juT7o!~gsy|C(E)k5a{NnSe#`K8PnCxi{WiHmy z4Ng|%U-p*%v>nfC8nO!NXq4tAmJd(u)Lx5h7B zz4L9)hlPLXKDM8E`}1MS#d6(IG5-;Jq`Jno{w4f(r!92-6(?U^couy%Zc#GV`VpG6 z{LIyvc5e}PFng^Z^S&>fa+vs?|Gp568(_bE@i2OReDNm++@i&tmiMoI@-IC7*P`J_ z3w~Z>a-_ir6q*g?2n-=Yn7G5-!Bc29lzC{&5f1@?qL$Zw5Ey;&_6>QqnI9A%hy06; z7z#nLy#7OCV3JZFKyKF_y8?IYaZsG4z(6_>6wMY6Lda$44H7iR5OSXI@-@M!E_Exw z^8_7V!Br$CHc4DD$14evufgY?O{SM>r@hGLj;i`Szx0h)KNWBQU8tj~QRUVk ziDMBYuCOC|VaJtL0rlbquF+#pKP6co*9ApiuF><^te8~+f+XMyL}hgm%9022718J< zu@AO8FkwmfxF8fTE(S^vr`Y0&CH-Q896havMwP!-)q}NA(K6+O>Y;ih!in%Ce---3 z6^*Q_D{gEIn~z;B!Vk8c)}C@Qd3E{6qyIwAsSyio!r1Ph7Px~X`k~bwKUGjeTv98sEK1>gE)XWr_Oz5}QMxV`p_pzV$-<*Y= z2SMa=e%joj-4iy4Fgem1GkF+xF`K(+cImN;+1vrWKrjJPeZUHwf}Kae(PKcfxdWD9 zrMNQ8G_9@J(@$k{XUx^Gi@2E9B3j+$S%g%~bWU^hXSro;Rn7pcq%lLij z$V5mf>G6Zuq4qG&+S+32+I(PqVU<&W4b)iHgA?@MvX5{7;wH5(JJ@F_vpMDG>&nD_ zTqT)ZPZIdwDJA%bqy#6!d-d$SrV96nr38oFqBcgFVCR>Co&TAq-tiZnI!l;=1eKJ| z4wyuwRvN?hh`|@f+ty1 zX?Tm^De6)h-XeI4x|D{u2%cmvWkBJQs3)Fa8D*?dB#*OP56rJJYL7L~ItXIT zA2fs+Vq^6i^9~$ebrg~q*ed6$zUrB9uD(Nmgx)#`DhpgySbX~@cRhfq@}2Z#cm2_P zEO6dsnD{crVa*ZSSFyTy%@M07MvQZO854a*2T;Un7J~--@BO*pXnN{Qf_L&RZe~El z|8@VX-}wVka8%kbZ%=R%Jxp9cJ2jx7Tg(?%fUtdKS!@^Fp`#l$ItuQLm?{KmzFZI^ zdx5e>v<@B(sZ#~nUd?cLK(7=ET3k`-xUwL<3tw>qz>U-qH^4osnTtbH4Hdx^YI!^~ z{1zjn3PCC;7lbRdm#%~DYSp0*q<7(ho8_EEH!ugT=E(Nb!p4dc*1IG?M$Dj-jSw*M zR+8R@OYYFMA%#4`xZ9sxybG5!CK58ivY);=I(gOE z%7Q&ny$k!wy$hE_=b!#afF?;IC$4?4ccF$mH9Y{mttR_g{)HHHH~s!skKw+BZM~r0 zgUk3|{nmX8+cH_%B3_%qw(){&gdfrOiQ*|8(NhJOe2WYE7Ee4bSZ;r4?_vu@ihvW> zo2XFmo#jY{x1tzwrvj$-V`XS_{lz+Cx;jOJ0n5|e4P3spjI2$*_wWCn-}om(t1Ilv zYm)_`h<+xC8F#qy7V}sEH~~u(jkyQgV2gRAR`(UL4zwC`us~KQp2EI@+F;D+f(Sj? z+zb5zWvnq{2vVyT!4(OKxZ)18iJ;ta2Qsy~3nKXogF`_EM2#S|x(mXNSZD8gWz-*W zXlnH$sAC3M?Iep~eQ43@F1cIm&^ae{(S;4|jU^>n>yl7=hk_vx2Mdw}(81c35k zSZsJqr@GGO-|NcgY1B|s&l9(QkvDGZr&15i^;15VxqlW4pepd9;TBO*bj4_cR}?&D zI|`oKQ5;<{Y#6S(O0=0P?av1(?cX#Uqf2aD!?6^2WC-?`xAT@Vun zfS`c?y8*$s`j#P>6GD;LTP{UYMw&-;udi4Vd*l|Urp$slUl)lzGTe#+j6?G#`iha* z!;sQ8`Iz%{F&2n{M04nzuZyWbsD8CsO3}T3?8w(GFmtV0Qgm;TEcvPC;My6&swsp52}Iu3Gm5>lgkxqF@V0^BC6^J6MKPLC4FsqWF$lT&>`r}{4a zz&x0|pV>QdXAbjE7*sr6s}^Xu>Ul|;KI9nr4Vt+l!4aZueFUC&e;E_kCn4hwP8 zb6Yyqx*r;dyal{fc4jLGAq$Fa)@E-^VOWA}fr7|ds3MAskxZ@$LJP7hoPzTXdj-{c zIrJPZsvdgPX-OBgUL;YqkOag5sBg6tacspu_`#VNqT>(72b;l@BzB_Q!;YmXh5t5Wlay!1l>-^}@>o66_V=a+=fvE_|v&x##{%kl8`Nh8UtlB$JH{Cc=`s z4T5Wg84_iLIbvkzb_=O5Em(k}w?oe&p|=|&wZmYbKp3X6P^_3;0JITt&=RbcCCkEU z8S}2KSXiVsn}rzHESOyYPzvfqlEVhIh2~g1V3a197@tPm-dw$jdQTyG8 z?kLv1MRw>e4&rzK|06`RGZInVgFedj2;t(BF5O4y7c%k5e2<HN7J`%BwKY-&=or1`Lk-2M18 zpFPZHEMCVc85$1`TfQ}@EV2CNuY3MS|7O7QbfK;>SP+y>jl7h~K-P9y-5C8Ci~)3g z{Tf^Qmuuu=3>Mo(tK+k>v7iixMqNPDU9K3EBg3}vy%2*!D`7|}Rd?1(RXhkitFaCS zs&mCG*l!GgrE3Gn${2W&8%%*6?!np^tk)RXDvEn4H0=47=PLrz`{s0 zif~W5YO6K#-LXt4ej?Z;CIlK!8q;cf69UjKO?K zlSyMh`VYR9aVINdfD6{L+5v)f`r*+r2CBJ1n?@DP|3WFpCl%_O8yhn5Nu`}cEmNqO z`oGptL%=Z#eaK`CE@%u;oKIQ+6uKyAq4Fusqg!Fry%q(my%b|j#T36z@#|}&h3|tu z@lU+_uYP!;BGz2EyDX*rbg+RitW37WFB(M`gsp*bx5{K&d8;au4&mFNGO50etzoE; zvZA8nn93!qIUU9jq(yW=*mz((7)YUn*`8yrOzr?k)cPD)_Ul@jw($9iV8SSg zxEDm|wQJ_Pvsoi;AV*&;q${I3&+7Id!LD&O`X=i&2RaS+&>^5Em@KUJ>49NGKp9*< zJy-_dPOP`fmVokJ6;fhf4=VZ5NLhWSDzLowV52ZRKM}7=mhB^8zwjdBlY1uqH@@ln zM5$9%$hse6w%Ko$6?nhFjJf717p#OmCNr=|69dY}T*og|_b@%@pu#*T_!Z8)WI*zp zgFv)Azq7;M2HcTKTX9n9sZ3A$%*MQ;uLo4Vd@k~u65aWE?lEi$c?~$d$Y`}Mk8l#Z zi5pfK}BRJZ95rb}Y_QIEjlS+;`|9yk|@L-gUKJW-q(s0kAVY zPoQrZ8sy(oKrAkaADje(xFU`sZzYo|nqmAOv2lFM34LzXtnLvKUMjhq%kLRSANHPs z9N5Wu%6o=?v$qS>!sS!{dj?s5&-gK?ZsI+|GSzzq$^4#iGreb!uHG|l-}HNSittzn zjw6Mle8wpS143ftRU?Jb5*8!Hft#LpkT9^?A?G|Eny`3Bg5q?-AS|wOHee90Png@0 zWC7tWlM5wh1b*XHm9v3By?>pv5kEYZQ-b4IPWg<}&jCW>YPpuM7_7UuOIQqA;EJIc zgvHhME5~r$KiN(fjPuQ0B;Yd!&2YY82~^Vl+)n%Rd5TSayh_eXf332KZ$VkCVa^7G z#|tR8zxNn;-2kG34Lyrp zhDi{ga(7??sa}zZ8($G5^-5hqNHV>(d}x{m7X-pj%{L**?K$#2c0tSyL@Ez9gjhd% zsd+`dur3J3e`Tq~V6TtUyQgcCWwHTore^}{co;XY_kcX_-caa z`Dw4ZDkT_+Hl9bs@)}v#Z%ibvSW-VYo><8qV8WaA*eA(cSB_fs62NYpW|hpZ?vCQQ zSxV4`mXJIf1u)Q5&2tgJm?&Qlas98ZKTLb7tOI#%>#}`vu2lUm>awCdlWr1SnRJVU z(8H;f%I>73#8o=?DLZuYNX^sdfOmGWD+2)X+S)5oO*g`1Di!`CSl%mvoQV zEbOS-5Tr77L3DtDL72H3WEwTM5Q0>uE{Jc5y0P|)pfE|HiW0uJ*WUcE@p zEuoQ)o^KB_9@;^NEWW^*s`JeE2ekvuRA9`rRW8z+`350NqWN=beOlOR&3uPAtXj_# z-GlYD4>k*`fW4aKnRWY{^Xn>ThN2|cnO;}zKCaDKS9A9}>HU)(bt2dNpF8yaxB0r+ zq*Q`K_T(^{l)?mue0AWE`qJK%9k5sL%<_G9;NSa-SHA75lO2!{+TCu?VeFQS%?Wvj zGuY+_#142gFYyTl5%z2SEh6u0!77JQ+T)~v zUMFO74kNY4fqPhd>O9DeD8HL++a z)ulGAta!>mr^n|TVkXuj--?g!TDQkXpE~5mf#D?yl#(9u;(F2pK6-lN;iKc%^|odd z*N{xdTQc59w_!<31Vx_BdiEz82~Hea{kuWz^a1=e==Vl=1}An36FyG_lu7 z1Bp>~4RjZ&v1^Sfd1Px?@B88(fBU~VM~`3$$XBBsCi#D0ENq;xWDOpRPR5?*mCFA+ z)x47ZKfq*<_pVg_-^tdM%Ktmrx|03B)2%Dn|HEWCW(-&tb4pJ4)!=<*|F5v~AW>rI zIG4P2uP!ND;MCMvyLNAhDnt~t?8D1RI}cI1q01?KI-Z`gu9wfc`crtRtm`#Bx~ZdN z>L___bd>zlzx0+b_-A4D)jRR*zdPfIq%^6&+XAKz)0-h?v@0%%42`DXVO0cYk_DOd zalsM?U>vHnb!0(imtBzaQUyMOqN5F5vae82$dN@5bM7!&y*bAmS)lF1csW6M+zQg_ z`=NuD6ZFxVR*yr|>bsyZ{BcnH3NZTm=MgW`B|!#2oINCgb!mUd2CKI~5t@?d(rM39 zI!b&^wESw78BiCixWs9S)pyAVl~C!`x`#`iwfZGWQ3KsfomXpntz1rPm367x%Af1e z1_w}+$-khcOf*NAp*i~6PkO^QeQ_{bXiI{Z za;!mta~rf;fawI%iI}^?Xw+RWcxeIaX`91n)LpPZtqzz+tTxNOYT886nnh|J4xDlt z>ky<-_Z118g7s%VsYbN<9BI^DaJT1NCKgG+4X#kDSdm8E1yQ8d2?{u{ftW&&M!g84 zn60g5U@vOh!o;Y%0 zL6t9DjJiw0=;QA3%B4=kB34?nx;=aL;ZYJ%QQJIM4V*%5LZ?5o0k-`$B{AtKZ>hos&op#HS%LwRB1= zcyiYNqIS^?X9n2xG}x7B(%eDD;>Q@MKES3xvj!ZOxPUR}RI&_JtpY&HR@11pRFlxL ze8VHJO4cJh_@x7mEJ{_Y#2MBj{9t)GZH$OCtuz#R%a-w0_zNPu6){wzYK%HDG{SbD z{Ev6N_(iYiP$P`LeAmqT&gxm1FI~O!ZO_k2^V&=IvHi^3pTAdj#&?JL9ToE>dZeDC z)PP$=r@~d>nOijZA2&0S>Kp#QpVG~fl<@`Gw@U}|S?C+%_xNVE{R|IC0 zK%YEU*~TcO&;<|6Ek`axa7p69T98Qv7fkFEE8}B|LudaZ92H^vXJj9O+5f=Yr~?iS zy$enN1+0Z&_CGK+g%?93?BtRmnEj6pfEfbnqp_|nt3gR@KlGujIg$!Cc!Bwl&1KjA z$9&Gz2PMG`(n@Ck180doMoOZ~MG-G?nw9^tM>mdn6{N$ee{_M8_<8QUWeCu8gY2g@ ztNXJA1Yge+bO_a&gS`r|?}g0bNWMayfDyfl<~0QMl^zD(gMG*a2Nmw4z*6BGC{wr} z;eQ1S0r+y5S8b-r-8OtYbMuK5Hq#EU?|^Zo)<3CEC40{8WX~VATjpU|6s1)%&Y%9x z7=NVg#FU@M{Rub_>9q&&q#i@nY{E5RhXzSCMop!%*W!%zx;74F*z&D$WM}u+|Ls5i zJ0B0lu2Fs`TdZT3*szV^8hCqiZZ~I(p{;d<%I>h~fI)J$7~DQexPp0pP>S`6Ia|B~ zxJSw{^f9*G z=Q&#pL^BrRiYu}g))!M{i$TasULTntCF(jKyUG@$&4yWWO46t{Yf`cMX>L|~rt&#! zN~cFxYv#LSU6w$}FG-M+*F39)OMsOWuA)MSRjBZqa+(f3D#+^4 z`|X)Lp7TIpD~J0ff~bJu#m*U{?1n*8V9*hrTF|=Fr(q1_`UejzO$pV4pa2c9XYYeN*Rmt{pA;V(K*Ade0@9QrioiZa&G%O$L{( z_O>IXCfc-GvvQLcLZ}Njd9_71Q~gs`ZZh;PJXt!_u!%G{)tdSCY_@yO%cUfsKb7QJ zy{j73Ii?2mzDQ!Kt9L_xdlyX2DMdyp-76t=R5|v$!k1FOokW^zU;B%jQ@SE2#PtOc z*Mh0OPT!-wwqv#LRIIzbV%@M?)LO8qSa+FX-FN-=-}>DTBBoNrntK6;U#q!e)MndP zD6P>8aKRW$b`#t&##kDMrWfFcj6olD&4k-QIt1D2;m4A>>G!|LeN8UFqu>JAGd8n9lm)pZv0) z`d>e+&}l1&f~#I9Gco-A;xP=#HE4l-WvbT&k?vyF8iJT4s~P(cBAx!}el8&o!I zC}XV10L%r!h0Kc~8xHugn?sB0Es`3bLUKK~rh`~gRBuQMdkr~hd<<5Sr0R7^Tm}a+ zk&}j{4N12GR5E|-{LXMS31(^!l?oZ}G16<*RrjuXdR67 z>9L*xhoVHCK#xeDa%M3Scu6_B7MHl@+)@?k=;^_pfrEmjEl8qLp&mezOi%UtdVBuR|~kW}@+ zKK+!CD7qxlI66goyIEVVB&}K9BWI)og_D&EU2^zK0ODuQ8HO$p4J5}9= zIx(f%A(zdR={l9Igcv#<5CU)yV}IF>vRg}p4X$E5WqE%I6Vm?NPW$70GZFJ@Ib*IY z%6=EiZP#~WZK(QTFNG=AB?eq^P4_3Khg=4zld4hG7^~mCT7ePn# z9FZHmI{mjHt7kd-c%S{NZ~CT}BfQpJhx>Sz`3Nj5N2auSX}%{e7)f@tTDE8hz7Q@L zNp_4(Y4g4PNp|==ZGNA3*;gz{c4Uw{x#m=qMrF^F>_AbpD3AUmJ4n0QLcozE`*z>{ zJpD;_oTSWdtJ2)mV17A~WCvomPIJRu;JfLP#T5lxm$9$dS8%|OB-zmdq`qj9%(o|! z>~I_E#Qj;5sV<-!OZObzP&NflT0U{Zbgx|8P(q-JG1)a*l}T~X7`Y97?Dqh@@HdmE=CU=syVFV{+3a*Ij!O8NE!Ua3y~O$`0p z%e&ndVgKegKlA6`dtUnn63}?z;DvN3ws*3(HHA89ej;Yt%js~XP( zb?R|Ch-hN{GD=7HG72i&$U}HMFRJEXJ7NG&oE}g5bZ0?3lRyXolZU-|I7a_f^0Fe$|J3`2DwB47qX=!;$sI z_7sF8tBr6jdk`F1t%q;f1K`MNeS@T>s@9dV&}PRr-FestsB=;4)&idevs%ZfdFG6u z)`f=flv+osNRK^-6{-~LU?nJeqBx`dA6)IJ78>D{h7yWTMdjItNZ*)}bI3FjJF%Ca zg8z+g`aV%Afdyr(y)BrUDO(|5oqN=!lByA9+u>izjrf zD3$OBwc9JQ2yEuU`jG{Zv|~EHY^VKkzT_4L2CY1?PoUkA zIJjXwHeo;}49IJPh2_p-^V|RI>(3P;F@#J2p4;U?D7kalgpRl+{eim&X{#0@x0W#R zdVn@exgg3GgpIRk0xC2yEFnmH>Vl};k&l~&$Td2cbD2$X^)9&4*~1KKkfmw_Q@w_O zNg_dYEQcmw;?T6GzG5nE9;B%}Uv;Y1JO&yRjKqLFMdy-?f6IbZArk%1TC&`&78ZHM zv8zHPDC*e=&En>|Gr>c2rJp8JQ#t{T&P;pZqDEa3?b;|Nam_XO+M1t88m3$l*Q@i| zP=2z|E1hS)Kj8gcqEO6&X}4OND{43>!Zeixm4AEm>JIJq7(2}K#1z}k73Eumsd8)< z;}!Lkfb%^9MU9S5;ZWB0fy))O$9C%j@v^)kQtRg@?yS#4w?LhY}a%Y-UtpW&*)s6w?shUnXt{f@{AB7)d%EuxFV=$F_lt08?;)jD$htqcc%Ij zGb3q#X=_jWA2@h5UGSOuTAN3dpQm_6NCQ%rjqdca_xYwGykX1tCGI}*L;u;o{pnyF zR$6)Q^fHq953H#mu&&y`wL|wQ^1i8M`1M&I$ER(a)r+c3&KE9JAt{@es1(<4{2Mw(KIxk854;-F z2+whq5^-8Wf9h0#qVTYJtr4E~Zrqf@8@7C(!h6q0{o>VEov&2Pb*vie#C0a7<}3iw zADehsfLO|i;hY7^DXpri+pd^XS_VTDWnx2%BV(UaTHEEGzB;K0w62f~5ILoVxym{m zlLPPCS~eA)mlc4*BWXJe5IY;v7KhF$EsW!2b17Sww)?i|UDt`(+@zA2+9d8>EZH<; zf%s7l4nMH2)HZeE?Fuo6o+ir+O+qbKDmH6Oe%7kO0zPgdnQsru_-w(&rGtp7UURUz zO?q+&4S{OZfgWh3er+Qc7W&H(S)cdl^p4IyCKI-R5-Ahc^*1|-HK935?w3|V!+q;y z!r(7f{@%GvSmWR_6ZU~tC{D$P^kT!g7qFTGfNnTTH(+_{Th}IMG=HCJe&feKcK0oz znicQu5FSH+BkBj0IW_J95wL1A`>N@X+0IGFK*2Tg0P^yOAm9D6A{uT1eFcxfl6Y-u zi4de}cEQ9%Ef`F5fD2_vuc~$kH#TWH4ODi(nP(NWo zo?~t(5nt5j4FQ*m6_M&$>y)-EsJ^I6VxF_sCE({$qyzVAaqpQ_{K`qZ7iVEu##+xke^nF28i zTw(f~05H<&%l>BerA~UV+0_N;gYXc#k-KHe$WfWhaY>WHLuO%ZlKh z5`7x(W_ESKrA6nNcoXW&+B3|q?#4$pqk3z-0kLA`>H^+Ff-EcvbJKeGm8%Q#1pbmx z=IkJ<4t`em6&Fo4(8AQ+B8^u&640w&%++4|mt#$Q?o)<(ytuZ9qjR11e%zEk9JYL)KK#9Je&UVG zb7&THl_5xGL_Y@tqK(OD>(2J;9`hW=Ylr=&`Prma=d(@$l6xb?ZlP+>CJAXM=n-K9Dw>NTNUyzxjC zn^8qk-T8mveaR>PXaC!Loff_oH|>Pd!n24ZOQ~q663Zd6xX=+26HHQpJK#H1Ehf@s zmufb$olYI2OBp7x{|7&TV`A1GfOu{!?G{rmIQcX#pHx>)}zbc`Ng3k7b8aXNK)=L+AkPRLmg}gtv)Bdgf z1G;mR{oBqmf&hN(C~PMks5lwj zQv{pCz`mW6?KqW7e5xI%a)}e)cBjjxa*5}ArH%!Iq451=Cp){uC);syiU2$pY(j!d z+|!PeUE_E3ElmEjPAbqy3u?8^gsXFfBo+k*F>=a?wS}<0DgjWOT&>s(;Dl` z8TKRg$dET^>mbu8%R!2<%z{*6zG4}y1RSpP)@4B|F&9KL2V3iaakR`}7L>Y?9UA;2 zN|=Hij7>|lHQ+u`iTR2cS*TqqD6~%}MT& zTwo_?-UH7R6xNalg|(!i?ooAi z&niEnW3nDrRH{} z$9V?#o8V%G$iS(!H#R-bGqB2VXeFVzn$W5qf%HJnY+M&4*GtecHAhcR^lXQzmEx6e z0kQ5pR_T$(c}kH{))Z=;>geg2o}p-<)3x@1pD=e{Nv4N-w#9fYDRE+Ab_eRGxB`7t zXQ1982%2>1aAKM@CE?(|JSh~UasV)m(WU$2KkT6f$Bjet%0rER^GtqC#Ul?MSaXi# z^!pxatd$>XZl;Ht3_MlqDzp*}^w(+-gkI-V3N0Iv)s6`_ej9wM1Wd~=oCfUVIq3L^Yz7<~%=zA)-3ix=c^Ox;7zGUoU6J$Mj zVdHX?jwf9X=ymGlgr6tv06=pU3WC6=-63CphrS0KGx$Cl*KV4=2j`muS@t2?EJVhAQ6K=XNH|04l zD=SHmZ&of+Vbr-4^-g!eS6+JN>gD^d+<)=vo-jNhM#29U7|=yaUp6p@tL*FaKF4-_ zF7GNMykt~ZxrTaH*bDcs-W8+5uoY9n?wlAgCcF8W)O+znB^et>eDUhVS6;lj|8}_^ zxilF-RwT-}$fqmE0q&NKcE76qqtvrzd$w;2XrjWkvL~wZ2($jtIOO*t4+S zk>><1H^$Gjhfc21V}~VqP{eku1bdNxp2pt?%ZMzAX`xz`jLUBPeU31fU<0t|>X#hm z8WqRLy?%F!>@P}$>JW`^hbm{Nc7D|abs0g}pzpVg>O$A5W=TSj%FtJgQC&-nuWDALGAx2+RM#4yt45H@ z&;>161L6bLlDt-4lX5am*iY-#GY{D=g`W{Y08uCl6$z>($9dWxAR+y zj&Mm#leYTW4!68rGv6OD?~?eJ=eg)!QFVh9oGmbA1GuehC2i_ zK>YGBl(c}5#0X9`zDY9QqZm^L&OeR%UGl7PDH$H8zAe#q*RW`+$KWTrk$W`kU!FUe zOfScK;DEUjbVoYrG?-59obyGcy}+ZN(^~x8WC40C$#Kv-$>0dF{r$NA>C8BNKfx{SN_3_+)db8NZ>fb1G& zLe;`&tU$-eOdyoo1EHACIdh9zLp{yVxy%fmfBOeM^4EVy@TVv=-pkeAR1=Lq3mTWY zsYW6{?^Zd$$syEpk0ulvpXfnR{uEykC8J>-LO^yS@R1dLpn zNUz~6dF=fAXyoUT%8O6QeRnR8WHen8Oq}?IP*qI6LJ>hm#?N3-D2PyN)C< zw>6R7XG18{sPls&apPB4tfWbz5tdFF&XZIt?G@!4w85YW>C8fPU!1c1yK8jiN5>=% z#K*Xm801a2C?rQYCdFw07k_+iphsPYBt$c`Uwi<5mH$(p0#UDh$b@XVu=DWWGHprM zA<^m8$v+SWCHn`+YhgE(Ki>-ecfqbBf{Y#DWx~Mc9h$swlSn5`39=?p7mz%C-oHtt zH$3>Ay2MzAMk45ReAFeXygWRV`hvL~5w$>aV^fP;?vMa8n=}A^EEGZDgG)qA(n{1I zK*Tf}=x;CC8C%$KR|dz#`huNVzBRQ>5bmGdrWMhHj43xbtNVS2C>do z*{C(m7^DQ@vRmz}6NGa%+B4;-u>71;s}qFOeNaO<2aDtEtlUi4p^?L6nU|o2edip- zO!zsL1R;O}ZIVu&oU$T2s1R`N5#MVN7l)RI!~{VZrsGLbmhGfPn*`w=7r`YlOQ=(i ztw>G4vZdKcFiq8Gc~(`bg7V7gg%gCj_^UnRv`G-+mz(;Y;DC_d@+K05*HC!+Zk*9b z9fdc=XSWxhnKCG#b=j^&%WzDzxu|n3^=jklHKq+QXfDd3<9C`Tfy?eVGg8z z(kC&3sGq01EZVY>31-#8ue_a*pw80U#BRG)IJ#9*S?y~sbfC`M-#NbSk1TS!lS=Y8$ z^GF%Z36;j4I9f47ej`zFOV2NkZk^sJCCVJv>O9i}JVQwi6RBz1kTC3^d{aC-18$u? z^Mt!;&wQ*o@-Y%1Y&=HT%#RT^FZdW?HP3hPV^Hp@`N6Z}nX*efMsGX%9Nq1pIi`Uv zqV`gDkK4&&QQ5^NQe#NEM(#{0gmdmr5*x7`XI|!U5lG%i_86TXh&JbU9zO%Z?VxTK z<7Q}fhGq(=HJz{wiA>o|*^UdwU`HhE(gkBCWd;AyF_P(mJ3mg+GAO z=$>TjNVPIq2tH-FuD%JlFg7`gdCqUUo4GEES)FOKvit=$S+I$Lo21X+Z%-HdctmdS z>U0@~te)lQR($*)`?)XsEoV`P-gN}(kB(kWY8gpJ?>fSytQHEGv@!(OEZ-o>2nwTC z({h@Wv37%OBv2jgp=-B-Ec%k))G|vx zv^)cLX!c?WP-G9#Pn{5i*%B|-Bo9EmhXBa2#^j* ziS$l~(t=lVyCZWXu1UuqOB9Op&7B9t%#5ip2|g=yxc)q$Wu^W!KI(G!v)q_R1()~- ze*Txg`JYEBsK2xPWWzYsqtUXkeNoHc2h?M=Y++v^aYOa!f+y!UQa!q$&2JR)IUD2= zE7IL4f*bH-QcIxQtiy^-_2_~p`@pFlUGPL3culsnIXRP&>d}`xF_ZDEDr*8cOBgj( z=pvMJJnAS9uRDiwEKO&}by=erWiubVoKc@(kxq@}{)+ft=&-4=d>n3D%xBVJ;=#BM zLzS7CBzq*`DdN{fkShipt|9dOwV32>z3|a4tKo(%-R!(pnAHr`^Skoa|ZMLK|fjyR$-$#bM_ zKJ*~Hr5Y%z!Sdd#PRWUbOQsE&G;lp++Uq$()Lb8~8#;_jC#5x|y9rOJJxzrB2w$V+ zRKYG0?aFr2QVly%mF}MC4!dEc>49`F!Tx@0xj2WZii9($zcP z_I&h%zH}ek&%FKlXbP1c$U7?LOY}%}+crd8oD~TSWN_-VL{)M?4=3?x6r6*Hqgk=eo}jM@*%L~l=3Yr&%gn0Ty(ye( z7{mDF7T5pAH}T28^S|*;-zQ2XL+d)s|4z}tjSLmmaDeOy0>Je*fZWr-?|QIMC2#w6 zIFrKH?aG`0SrZ`ZwZYo@`+nt3ANiIrv+DDB!%PXnoB`p0J{L7%_7#$AISZHOeqr=* z6|L1-IE;r?0K(ybg0$G1STSeeP)M+;Uu#T*XjaTIY7G4X9b+9RDm7ZUm@0xp_i>|(ne}5o3^}@nl6@SsV!UXr$_F=aYKA-cH(e@|KsoP0 zpB+@`SG$@pW#TTFiIZI0#DZQE95Ghq+lv29VQWw$1>eze`lKLFs(rC;c7Wls@fGPu z{Nlxj#$@ZSD45-fp6%1QlaEqCkO8hWi0h3iTSu_Vxp1L5|lG*)45ZV?+{dz@)tu6=>4P}x*B3qg(^EuL<7Qy*~G!}?4 zksQ|e*1p13)1JB@u9`LevdPhAqFesok)a)EffIY}w{7fIj)qz4JCjN(V+ zvy`fZOCEp#cS#3qmzyInbDtF?53(^0nr7ogi2ja|%s zSZ)=9b+->KZmqw+(_IGt8|%d<|IYu$H}OdhdR0TSEk|9Dk>!QIiz0VD$wYXIQtB3? zMXIjGw(pbN?G-)axzQsHkpHk4|7$+uPo_pqJ%<&MVw=M=t`ZQBpM<}z<;09 zL_@5Sxa7l-?WkHhf{dbS5oN*;s!LX-nil_-LmHJ@TaC@>+=?tIc0xVHCko{M;+y!C zzvt)pCO(Z+coUP`<(b@WP2o*>!Q0CVnyMLTG^X;~Wy){=;A1}QV?RCef_gJLhIhN< zkW`q-m6HnCU2Ef*1py`!On?)jv3|AT1sfn8dcb{cz(K578{P#6xgtD4ez3Lq+3@bc zMTbL^YHn$0-&iplUNF=UHbeHhl|hX|FdN?eVX>BT1bW!`PD3yoUJPl}pbT*WCPvgD zR1?P_Ceo`}9IQ#yVvg~Vgc_u}_D18?XHCP5$*0!d=`Q^=sPdg-U2H>nsCWYMLpv?Aza#n&xo}Y58jv$TI8}wc*!o-69_M z134r3@_+szzw5J8cd?Kbd4}$)ao2&-w-ux%^+SU@LE)mvpCD3-KHuGswpzu)Lt@N`y7n{)$e}RiwFlHu(E28Yezy2 zeNU6E3g@`I*Y2xWe+p99_Lke+aC_8Sc(C&{=dMk2?z}k{-}LRzD+avhL~gR_M!#jR82*ij$Gxo$}P(4oN|lYj$|S!>VUisiaWF% zRb8WJM(0SIXe_6h*vL)FV4d-yy1l-WgYm8xzvz``-uaH1&FCogtY_XGI9MxGl^)q1 z46MvJ8Bou{aF?O^-C?(AaxnDnUApA>7k~0|f8;YFR9D2Dhw6r(JIzrjCd}ZfF~kH& zSq()UgQpOlvSMNsv`S(KiUBJCSnya#mH^{ZS{_BwNG8a^%*uPQUNr5t^>jl7;6{kj zgKHHB!w*d_Kii2T-0Mgo6l(n+xR^7wQk^5!C922<&ZgBby64d);U(3iA zE2);TTl32?`4JywFpqhvzLPOw49xkZyHy%|&b6(9Kp-|(wpN;Prfrqt3XQ2ei2x%uQ!9hy=<$K9!+Iyvk8 z{xK`(Wc9p4@0={3SLmIS_48_0&P^1%)skB)eZ^trt*C0$GuFrc#hXcUtgXwT2A+-f z!x;A=>*zu2eEo@VTKGRAxvgeydpq3GXh6)J1Lx&6kCMTG9YJsI6W*GJmu?$8yE!2mkp*{|0eTTbePF5L{nY47+bWDFZLR<`sGObELm#+xCt&A4(;(y8OD4d96Y zQ5ZAas|DbvwIF=}UvWF%GhZ(RHZt5ib1YDq6u~7LMO6?8ImsK_S198%oOVG>OV=*Z z&3tKT_z+|`?Sh8|qGQReZw@wLCj=#5?~=F{B(<4^NAkL6wHOpmH{o*_R5eaI2UI^l z;yALS;k1{){qNLxdLxae<4vhO!)Ic(jwv0QRUNi`YX*p#{X4(*J%8x?B8&lPA3!%= za?~w2QkVb?fIpa=!91vMo_%HD9T(iJF!WPORT%R`7|R~xLb>7`f>dEH2v3LEHq^Ax zGr&Y&7Gy@y1u^{*^(nmc+a<_w8$qft7u+mS^P*!=Mfd=lIYuC$+1CJFC!}RNlMAFNFKJp zC-W@V8|kU2BuP8sk`M%o86{WBX2PQkO*8up`O#7eDyc2VY{oxM6bQawH$=lKVYEAv5I7&3QEqxmonU%tZ7NpNb*3 z4?@1Ni{Pno(=_BRh#AT{A{q4gX8U5r3^GL@y+*sBf|bcZ z`Oq}vz9J^6t>79EU%QoY(^*g|6b42`Y+$pYfxKyfxETa&&}XcO(b(#h#5oE^$KkDW z1RQec4W|dxKTiz;UJLAp&|a|bL9&A3(vbV*>@l}mVYsVYxrc2wY2fAD!foiv{03LHw|U^>ifW15Qtk8ZS zr{LM?CkO{raE&2atd2N5PeT_!^me{v7atl3!F*Zc`0D8==w@Ki1BdPzlOh^VE)4|U zYv?5=E1CVI*2#y?sKhPCW=SxFENW*|iD*1qaR-Q{tO%YHG+Ndxs>XB4Epo0rHcCFY z{zg(Xo=Jk>Q>#MgxBw$cmOQKRRN-_x_f^OB*Iq=qWZlzf8(s6&Vk?Q0F@@)Wi2X%Q zR{AjK2t+1@_edx_eU5KT;SE~8PvQN+_q^%j{$BKXX%XKQp5wAGTMwK2Sf_sn%+`ctsGHX>oN>D-rK9ADS+n3t}$R@g8g{JQqZr zS~Vm3z2H687ej^Tf|ydmlC{t@p;S@}QsEWB`HFm36kK+=q(tGllr<%p3&&#jqbhmhQgXSp7`L(O*vPJL^ zq3I}o&jSn$tRb#_w>MBhlFYYg4{!du9q3e@Y67~wW2)Z6CDv{0AJdHtapO{x5G=63kC82^W+w}g5FPOa*igFsz};&$4f%@%1>exBkcBN>LlhT}{1 z_SBEH8dIg|_9{)oZc%G_r%KahDornZ#fN?L4+L+eW(z$*<;4u6qXcARN$f~nbIFya z9S|M}Tp+mKW9V@$m@7?NRIC&-a?lY(xqwtbMpo#2mlY8SwFRWA(zIu73vnG1S)Gy; zZKGdIsfKMAi7=4O^*Ck}syJG+_j#Mt~SHCv%%C zNvb?w6C)@UT!MK@*2W(PuPRMIVV?Cxa46E`fXVg zo$m}5tMknFXSWnj1L5=>qn%pGe1ni`)HL314zHw=JR5*s$J0=IeJ%kft_GLS|Cvj1 zm0XH4moCf2Y59OB-E&(xBWP7Gf)94bsdB>qq4?CIUbzF0WQ)4JE@Qomp+LZ4%eR)1 zNx0AbO~2t=5`CuTzC0%NOc)p`72n5UyBbPG%8Xjw1!Dv;FuQGnOVXK^V~P>PXuGX* zOl=Ylah@dMHaG}$K~9-bY51YbtYVY^j2A4Z1@E)adVDM5)L%YJT}g;Nv$C+%Skw>XmmnTa_LmaemQ4#pr{tW z`&7l8kGQBrI@&FCjC|5H$HrJ(Isexz+Bs-BlRm*BeOlp7Nx1jpB-|8E-Cj6l`s9pF zYK_JePF*IP`t~3Gl>g##V*m8WON`Bdlev+i&wav%C1xngbNY0lXcI_$|d|0k^$R6lGyX2j8i+oMwx&Sg@CGq-W z0Nj`t6R8%t8BwcJ2Hk&fCU2T8b6K{ryn2*A#w|S*!WsLJIkX0^d}ItjH%p2{cukiv zjR6pUI%Qe89cfXi9HdS0l}ncN18xk!_x|_)^w}}+skM;(WN4DaF%BdujEBpG^&AG` zNPc${Oz^4wro}K(ojQzYyGEN1RREfcI$$TcH-2bf2&Bvc{y$+O&gUp`j0s}GC*TWQ zaYgndW?tePC5|z{Ee5ICq31R~JOm|TY*nGn!xKr z{&zwXJc+{CD00Nt7<}sLFMt29d~3j`v=`L#@zFfZR&k&S(2otUC6$DIWoQByJS_KM zY(+jE4V9oWtp%y^To98Em24DU<^~vz6{+!D5ETGoRnY*y=ddPbZbFb6&jktUgdn=m zEya`1ks8khq46}&vO#vFy%=gd7c9M=n1gJ|^Efm$o(p0mluo7sDU3{VibJ2(PNF5e ziBdNfDGl7q*pmIC#?i`O6@Ayp=uxa>U3A}rt@FKjZaHZ&d^cp}I1r^zCs+^>;ukEW zC5n1VOj*^>3Wt?m$$@YJTT&D5|<%T^Fb&ua{1TUA&v{#Do%78zp6?F~9bw^{#>e zyp3`|I+!?4-~CKve{IO8o!yz`+r$C0&HvNSe$Kl;D-ckcfoQYMPzP+sB9aqykfJy| z^{KODZ3{o5AUO%pJaqD|;-y)FcE50bNhrB?Me))~%GXIAcN`mcJlh-`kNcH$tL5Bq z&NlBbG6LmGgp-(y+vcz9Y%>5$B8Xn`8kTxi6@@CecQsEXqEPz0A0a#x1T&TX&Cuj!X3A+<yW1HRU0~((ZSc?VEmx-sVqD>v+TAZtaZNjil$nM^@|kc2XdK zRbwbTbzprMHaffrzLH^)=OqlAOb!f7Lf{o1v|@>AEGol#hCU2z;4p+~e*nwIuz@#r zcG=*GcG*T0g$Jbr)KPW$70vnRCL%vKc}`l@kEWIOi950+0yAFtvV=&w~a@$G8Q zF-m}ifKUP7t^iZ@%@w}Itpvhj&TqS$+2vSC(C;f(PQ|nLpL?zz(Cf1o;nTpi@VDnq z5pP zz82)u@|i63<2Nu@gjWcYlApj)HmN@%sSARnY6=)*Jkw}ssB zk#a#Gu*|+KkkoE2hR=Wt(knvn2h2H&%`MQWf+c0JQMXk-!;&zI!ue@h5D&~7U+g&g ziXC<^uD}AcH>ZImZJBWwGu%OLRj?#LrIi8=2C>6PB=JT$I=Xk{=v$29s$2R;n9L}$ zClAVU^Ti2L&nYM6?O!_MC-vXWbaH?D2M_ezY{tP(cl*ii?8XnL%Kqsg!^56L{bWTS z%8E8t0GsGd9S>)=$2(MN??Byahk(PD?+XFn_Z#2vJANqiwZ@X2w3UZ|Oj{{d&_iMk z0d0#G4`3gtVJ2{t)hh(4uU!x&Iz@*vzeT@1GCc}7azS+HK@C6`GQZUxI;X8rP$WEv z4hZ50teEp#)YrZuEQ-!Rgp!pRMp=;h+66(^$f@SYs$*7rdyYA61y!Yb5G{S3s}_Cj zOK#R=G+}NH7NAY*Nn*k!wcNmiFVQd5{6M0wU9!s8b=IUcbx9Df=ufmkETp>CzG53n zzCAm%J;{%`S!mB;zCEI^%bKY4!UmADk~pq>BhTt<)uLTsRH#v8?Nl+^-@(yx4s+@t z$91)?>rt|v%1v~igo?Mgxs7y+mo-H^oTpTI{0NDvh(Wf)V{am!T33TPCB61=(P0VU zu}flr9(%n>#SRZ|!$z&yVmoA#&ZC{%`{l3y$KU^JK`E*4>rurHBs^Z@T4`q05sH1j zvQcuOIn#Xjdm_N)(T#cr-E0?ZYP9nGP$VlNf|&46o70>+`!9Y)I>c>YyiucQ|- zE3K^9;n*toB!;fZAoeU+DfR^+{G!~@gxy?BrP#L{RCOr{*Imq1HY&AZFIHu}?fgKM zVh^(d;;dS=LS5&(6J`ZievK^RC#n>Cm=)L`b?#mA+Ui<0>B5}i^Impwpsz;oR+_pV zgzOKM8=rX4{9k<2_o-3UJ#O{HjXy5Abu--f_Uw*zt0!3N?ZH}y-J&)$nP9D#fwg|` zr@i|lzU%d`d)=KU9*=C0djXzvi7Xp(Vj4l%SB6S(L5qlxWt)Q#TI^v6=5*X{wb1de zV0Sh%6M{J%XIzg+b{-oT0t;~@~9*pqgG;WcJ+G9xgG_i zXuEmZiEw}p80?eNeeZ2fwRhjcz1OCZN`+KP!lMK zW1Qy5#4eOhg*p#3{NH=`j~DQKO1%PZ3XlXT->=oV$hRxBAa=k!n5sS^K1l`+&__w$ zdW#vwJou_Ua@)WIoNumg5O`2E%4__Pes5h6pl6tVji3xXYkwct3dNiA;|F`%7Yp|E zPI#MlixvxZJ4B5C^|N2{(LZuBK`A3xBuhArt2-Pvh#@UO+2-`BICctvM+;_t4Z7AR z0C+i$JtILmfz-=!ET)Dl^)lkv$>}bLi^-^iWFm?yb<~GQl8UVSnr+AAs3a)CPgaNT zRUF%cKUx!iYk;y_$%L8$j&K4{SjDlEh{7t4orDxt3Cf$m6l(B!MNvt=yk>%uCfeME zrI@rqR*qh37}*apNJgEYyw9BD-ZGMcHB2uzE=Bs5`O0dus^edU5O|uQhtK^tZ~b?F z@uXTmcG|6QJi^g}j>%cIoB&I3X`sv+Wt7$WHW~a86Giz#OT}DR5ERQDv1{pPVdKsdeKOW<6&( zTC7S>PRB*j_PdFmBc5JQ)zG zYPQKdy3quMquO63N09|8pA(<<7jJL*00>0ErnL5Jb`6s^rm2N5$fTME^qhk`ow(YEc3qNWJ2M#X z-EX@C3o$GS%!6sPSW%NU-tM>G5psrit?venlcM*_!G!`@)?^zspSiTAyq>luAOU*~ zCNRN`Rwr5rp?UM&!IdGF3)qb{njS03lbW|U#u-6x(C1N|n^R*$B`Zm>EE4Mj5TNq? zRLiuAjJH?IcaOmqWglYfO620kKJgr;dwbf9nSi;nqLn8yWvtrX8uaE=nBP;qH7$f1 zPUoFMsHK-ESr$O3D+sYnHOrQTFL}fZ;v%F?xjdeu10W#1>CmjWy1M`bz-a*Z;QS1p zy(UWr@9E9p&AUZQ2JdzITK7fZF{B;u<>Jw!Sjbq0tb z8o1O-hU1@OiQ`75pi&S-+G5c{FozN`8oFD}M53UvXEM~=cZl#9>g~2d8lC|rIn)Cr zoaAQ|ME$Ed$K(bQ)=optuna?08o@f$gMAi=A!24sH>fXU73yJp3$G*&4u0|W;8m#C z1A_@ej-zjTAZO}`v43K#%)xBuvL$nbRT=R_o0TL7l8+#lC;12|cK{y&SJzW?NWuYd zcrEz1J=u$o@bvl!^KQ}NBixRU@P?2I(jg!Ve?=q$j(Ws({*akSbS<|_xwgnu^MBX_22$R{<>?8D($i*n^o`-6M>ZA9y|W{W%y?cinQ4 zT-%!vBdq4%s(Y6oL{+}Vqrk1S_Wh*|VQE9SeD;Ai5YythIym9Kw8oMB!2jQO{l1?_ zL~u&M=oBM`kg@NHB>)N#=@-TqdEyUMhYKcNO~rX+K`Qnlh_ThsF<24AnaU+$U!goi z#o>Zz*#^H71^1vgX%0=r?t<_b!D&fBA_^Ko`j9S&@RVIvU;@}|Wq@=(M=Ev~Jjjow z;2P5zniZ+oiy&fSoFfM!?S$1XuPDKvOM-z#)gXp#TQSnUVpWWa-6g??p^+zA)}$9K z*1Q>onY5=351I&iEXeW<>K#X08qtjp2y(UP|1LyuPYDs6J;*0i(zQEk=Pf^`@&3f; z|Ce9${ZZjk^q{A^3`+>G0Ozs5L)~GHrna@(R}JJE&XjWf_ej@h>LmoJ@q9(Vc+vU; z{R9Ve(6&#F=Yp8@vQvOG7$93DH8q|KqBWQBf)2?io%MMY1402~0qt#VQgM#dc)lV! zZlPf`b5cHUT23l^ zkSOD1CK%08r4*6WX;K-dMHC>XcosB!kkgWaeL5fnP-v}^V7YGe#5paT?=B-EP)Dnv z@j?}pk58{AC878xr%k@Eg_90-tMsBHCxxpE@+og*W&W2nq6(mQo`y;JjU zQEP;j-l?1Eo%)oY{I936nZXsn~A9J(N2;fQVMj6D$JjbIL4VDZ7vs)AMtiyxCim&swSIdqwx zFu)8_9Db~KR&2wb*1jl2Mk>U?psH&DGF}%s{DIO>6 z-k@3puKT?$%J_d^5)$hpnCiP!T^b8CroWdGUu6!+}dY9|B^} z@M21z-tx}8TeO(c+bQpS-$(BL){mcVL-rY@+m-bmdDx{aQ?>=h5FuugHe?Q527 zhDSXSM&_7!GK#EX;;A5#rnH>n6U30keyCk*5a&aq=62<}=H~IM94;jq^f88n6_hN#fQcw8g%yFD{=uuCB1eue|i|^5sXb zJbLl+f%F99+M#;?{Bx|B*vGu@3lHD@E-d%(CCQgz>%n5*nBIQn#mh%;$Mw48h2!PE zS9e$sFEj0`+!tPNe#DOD{jaEg$M=1n_f+?lyH)mm;n8KjMUO7?E#i01_YT4I)k~M} zc-uR18g^@6dW7wVZ+~Y<$SK}aF<)XtiYG%9$1nV;zx6Yp7~R@x655(3ff0htM-Q>J zkcI8Y_AU6PIuKV(*ta8Kd|Im94y&YtwdUxuzKmRvUohn-!BY{)`FI1_PDY2v#*s%sf^0T_kpm&M_{epg3%k)ng(< zV6H*9JXn+PJeM@E2_(C9bDFd4wdN%)#roJn(qhP~4<3%{E@!qnH!-YiY8ZScbGH1& zYyU+kwbV3ku%>yRRZAcX?kW_W(Kk)S?XSxf$~$A?zU<|fixgGlNBk?l^`|!{Riu)X zb4&&CAGP|)D$>v#5IiyX0&U1P(DT?0R?I50nvl_|imW2{$0OT<2qZaJV{>SqtRle~ z3~UCK&vrXFbXJl5darY#cUzEzH@~`4kr=|H>I~C7TER+19*&(hRippg8o%QtD;1g4 z?si~oD%b~phIVxC*KAG7H|JU^QuW_RH3l(*=zchl~ptUMQ*|R;2QD!TlQZ zq^T33pUfc(K`KudL`oKYd=$iwQDqZ?RGu!_Z#uM)LJ%K>_%zckPTRHf zsXViwD9<9&k|<%9JYbxMt*K1ul_dSkA_BlX93$Qd1sI=9yFRWPLT_d2t9zksY6;%x!VGbP z%n)p%y%vVf9^B#xYNEgcYIhe0Amjx@cXt@NdADdOjNDFP6Vic6%$McF}*nPzg z<3*&kX3Yt8^`XVEn_$c=M#`!^M=|VWMHyHHkP^`F?TTX9U2uh7QRx%fp(@iHS`51h z9@lFQ&%=r<^8MB4sD|Ao;rO_uwg32J+F7PmEn*~jbX(`m>gT2bQf*--x?`ZAqB-#+ z*3%;m|2Ir(eDd%7-}ojz`FH+rd^7fmQqz&kf}y*43|(TgOD{06>w>UzK*Ef`g0>S1 zVhvW$G?27PsV`=>F5S#Y{-dTbBl!=h8MjChXY+2+VrFm0%>K65eD?7VM)Fa8oC6&S>KNf9yr-(78lJHkSY;Gs!cUotVm^AR_sW8$5dO7U7#v5D>{{_3xbe^?L5c` zB5=*q`L;SHJ&Ynw;2VE^}}2!dG{Le09P|=Onf=LxN6{ zJ+lb6&$7w-xJ27&0!J zCj@huDBRrn^)1c-iN2O9C_(3P9JhPDww;Bi}jIJ>zRozv@zXr5_Z z@$BZp(NyyAezOyoG~7oNYJfs3B^@}oYG*LwNXFz=lG)AeH!H*m)XWaR0Y=7{&N$3ok1GqeqV^atMiAG~}je488?l>>xHn$8>_ zFu@}+6w)^WLC#TG4&NqcG3D@Wauic?^T7YGCTxx&)Nl@94&QErN)!lA4d2FSKj|cR z05whfNhhI5PSSqnyMyrU+O(g=%&HkpW74d%mO z_S4%AvyZDAAy~Uf;K_A{j@YYmt`{&jc{XqPvE?xK9{@+${x|RjVd0pEvtGpW&F6s8#KX^D%CN< zJY5E*iO}$u{R_I~L6!g8ELzUfzc1(92a`Y)|!XIh`7Y`tg`SZ)|Upew8VW z7JU9wVb|@AUF`bPOYAW37Az2Rb=jQ9d?oT3f zW)!=+K(-q_>wpAp@4Xr61hCR(7Fuyx5({m^r2v+?Dh8AxP??05&rae5YU`BJikpuo zg|~D(Zm0d9ki?d(dT>eO_hKybIa8L!*Gs1(SH~%i?gR65Et0-@0n$}J{w+Gk)_v4#|H}PuiNc_EEV!++{soY(?~wF_c{r>vNlvuBePy^#7wkbUD>Ur~ z%#C8On_J8zhV?$L(%rUQ3zJY5lo-|pwYv=lXLppTg;`KEnh7F?C90g~2>eiUXo+D> za1Zd1AY;%5Cvjw6QDRs>^mezE3gY2-82lKCVO?;Il)U7yfqGS=7?($5Sd%=0oZhnC zNI5qrDY#C*lHl@SJ38~?7)I4fN(}422bpP=++z51D=8TUliYPkqRW~c$P`=2e0xB0 zqO*;GG8*(0B8&qb%6}0+rE)bvwg{&r-|=fZ1Zrm|I6_2Vknz^t27z+xA*k4RzFQX_ z3zM(wSHyli4j+CSSJ0#%kYL?2mac zXANjU6%T3Zv_HbzbA}Fcj&OF7Zgj-peT>h!)>vYDvd;~V=T;HtE#I0uLhwKCWB$r_ ze#wWw<~8>~_=x+u-?RVERkd#6?kl@DgNU_YBM}>jAoG$Emgp90f~a|m%YQ)bOJ3X% zl(57E_dV$2nEBeH(bio4vZ90~E(p3+4NG*38? zeoXuR_wV}Y?|yxFcuL;r1!X6#-D6fMd>yi2qLUhqTPES9hv$MZ5yon;S&$xH5%h^L zAmJ6TRu-g(=Yla2W}_TwS&$xH5iAp7(3RPqBX6P$rm3Q!hN*tcNyn|Ea@}bYuSz%C zw!!R=HNIH>sw~;a=%QnBp*mk^){cW;?#@6@#esP2VSI>4U!bYb9?L|S$!HkyUe0IJ zB1fpJS(wlqc)5=sR7AUf;P|y47f=*+0NdCwA~_6UZ$rKu8zMJlksQbr+5oMJ4Ly=W zK}skfbI6xtLlEsMH7y)pM3YjovH;Bxrn-fRkR)_u-V2#T?c z{9p6+jmqemkB5@3i2Pr;Gq7QBd!yuok`sc0{gKbic6INKO6C#yrvU&2uVLj5Xlk+A zp?Ztk3>ivh`|5z=Af)}dowR^t)Y1t{0xF-dq;=E&wHDz-Y~AW3 ziadhTk!OY|%E+2xhl>x8ITW7)&FFgSDxf+lz!jcB{itUmcJbtq+~u z>cm37v3~YgF}u~4f*?!-?QhMBG>-0nqr%nWS3?jD@(K}+6{-A+V2^^73HI8YAA;gt zxn$v%-yM4EQBRW0T)Si$>9IQ=Iwej?l2ndGvW)cTFt)k*J<@*Rk}=X_+up{LMqDlM zD!KBQ>CqbVVsG_}o3`Y74tLu8<_6vWT^cZMwgJPM-~7?Osctu0?}SZR%>I1b#EnB+ z=G~$;6SSE9n=$*p@k@WE`}8pTT6p!C*zpU2SlMi(Q6Zy2X!eUBa3xaRM7RTNL0W;#lw1qKnecAzK6|hR?N_rJqTo^nPT6q#Xra^O2p$} z4EYTbJa@vNlLcw^{m|C%$tZiso-8qtLqX3*OkhUmKD34u^KqX_IARlc8{RvT?jDRy_V~rI2IT0`rC_uHQAACFyvLYFU z6hX@y8WFS*DW4xf+iS6y(xMJ6?CQ=eB0?X72iYawTV_6_A0RfwmEZ3vqBqfLFlECG9 z5}a%|jU*%JBDvlGK8vFxS?THWSW}Y!eu+RvC#(_sJ=#IrH6{D+l1ll^i}}ROAjO!f zskZu^ZUoE(-=cH8fRBCGSN-a*e^>z^E6&C9=#G9v9{}6*F_&l!_EngV zOfyY8Qv|zCn@W!T1_fH$F8c~rj{3p{!L*9hH1p`I9^Di5iu3|p5c6X>;t-nbfNuR} zMS1})2v%)vS^#CXq^RT2XT_>CTB_=!*elVWT9&X|6!iZhJd{uVXaBeP`bK49Z5}Pr zo(^r&;bNZ@G~AO4G%G2But#kei!yCxP6l=0+MEogNK25I?O$U~q!lvE$-sV|+xm#} z#lum|5zEx)l$GfY#@WcVDIuv;oX?L)?MXe?7TrEX?H~NmkG=2jM!%SzXR8BVMS$=m zy?@pLd$hD!;}WuAjk2J><;ucpY1AqlF;MF%-g*~=&bgY3pHGDgVi@QI6F=FeU2>X< zpS3iXoM7Td%)s}}CDG7D4rvf$awck{P9@*uk~?Tg$vgHtOqOXSvx<&c2>|U3Br6?_ znkmw#oGq}C-j6U3CsnU%)ghEv)S7;IegnDgH^!qP-&~&CD5iVe2_O*3x20jM%6=-j zbQmVt4%LhcmNm5?29@xB)1j*hg8|BGB4Yv&r1(@eP7TPL*p~=_v%xy{Zasa9{c|7x zldt*VlZyR7T`8^@CpFiuSa$9bmGH*s=k1qY*SRwoAHz;G?lx*TEA~k*Fx_E{&famr z3mJm<2BeO2%!+-tUE_NZWHqGz)sv>m-9VIGlz@v|@SeN+a;#~RB4;{gLToWLc z_J#{u&m{p%Cy^&5wP87a`)tLY6nQhnTD58vfd+1|3gilq{pI=Mc)N$KU$|b6V_x=G z$+|XAvO6DUFgAKXxo`FKY4uP1-2eE6j}NV`g)UFrdo>tIW@RV>y@M$0TsD>pn^l9OSbmb;!Heaf zcTk_%4hHp~Yv4#=6BjP54K^_>1gX{i9HXj^$yl0?4?${m7mTX<5rulO6Cubu?}AZP zAK`(JAkKa@o(&^y*mawRb(h_%ToVR?N6(*&^Km5kme%>zBqvEwZ zn_=iJbU8aR7^LQPkD5{{xF9G@l~Ms6Cjt@55Mo|f7fd+bgVB!KSEe3a@QAoucnx;j ztqfStD^fkWV7~_GDZNBwE6h)e6{#LwaNiw`DFI^;HBt{js>dP-E*tPeY(W&EBir+# zsUBUh;C=V#2~<}$RuuK!MdiHfuYFS7EY8W$CLODYmc zg!H1ryMzJiBZ)u-+FwI~+9Q2i3UuD`V+!RS;I*L)F&4rBd95M7jm zXgsHbCo>jR)?hMaQKf@-lR1kjr-SmF{vb~G5LJ6c)}TMGNgu{*q~2}*>Ecs()?hjh zQr)1d^B^-7{e#Eu0YA7bpS;84@q@zl^8ilqm?d}+jAQtCX^t&EdMe<-W&HKBfK>3; zBH(=8G&ZXJA3R3Z!9JE;bFw85#FOj5XqI=ZMx|3 zgi3PffWSrLxpeE(Q-1*r7#oUMtOYS{`;eq$*+suP>KOO~uvkji7i&r*r62vc-)NAC z7No(^h11L>dmX%mBq83;wgN1m7MDEiK~X4=DCR)7MP=YoN+S4T55hpC37Od10^wXI z;6&T|ng&;+B*&gLl1VLHAVa1Yt9}EVSu2?y`-}YwB)*dV!-XB3XHrZTc<0D^kE3^6 zYhbez!`(!dBYqLc?tAgBP+l68=r`3p0>^~C@~GD|=ebR)=>mtsWt1H05jU&;?b}qT zxzAP{DWqFbDH*eJ{FEai%`Xb}+{&Mo8y~zA%Zz7hBzm^x7 ze=P!o7a6O_JaA9Qr+J67r0F+B;3-nCdl}9f3eLx zgxk%o!}U33}j*6l93s%gEGgjQM(=bOP3-S(oZatIw? z#&p4DJC5Jud^5(r+e2688wP=Df7wp^Z!Y?Ex_;deTDjW4`Tae&)BZT$eE<9PMPKC* zdS0M({<0nWcYK~=Qy;IAL(r>LHt}swpewly`+N8CZSVdvCwKQr7v8&%ts=bBO&8{- zJjZ3_UT%wTR+-#qA3gv4E011y?&9*fhc8L9(X-F}v4>xIf$NI@TZfxn1fQRlo4q=H z=<;e#te)kVsQ9Gs{sXUnSNPeQ@o4k7k)A!-%Y-70W?ffJPO<4_D(t)cZXhVv*E7SZ z>1og%#e3-KOw!qke}JYZNv7mvs^}G8v9RxgK)=np$_wOzg?$&sN`V}9FxWlOG$t72 zyTD(!nc*sr8|1t2+-ol3lO`&;c2{_rS;8w?4=_|yTr~Fz-9kMU3zc_q(*%zdLb4OT z&i^&e-i^w{+C1Vg`wHD`*;m7=dv*@wQfv@IyBo4(HB+!5R2oS(rK9r=zC?aTv?EGy z>BX)?cAUP%9#e(}w^a0jNn)@8i@lWG$Taq9&Gab4KRIeRwgUA=r6h06i)PuwS4Vur7gkn!yNM-Xz!rjCfbc{!st+`48!p z9#nbaAmKHF`XL>-QF#}CNa-{^4G-zt{HbjHB&28>7G-5cPX5sV4ByfogEqNx2xQi2 zvX8PI1`$XSR5!!FK@0(+;(WlyzY<(Z+8=2B>|dcciZ>+hFONw$B;wM?{_xvt^!@>4 zJ$Zj|?9=(ncI+<^S8UQf%y;NTAH7|EkNmXqldj}jT3yHwksmPou4D&sc*Vk< z9hWO7Ja%ch3(wl_2{+IsFzc>xSN@K&D}UJs{9T~~{w1IArZ4MHR|*AD1`;puV`^H* zi&1r@5Kt+OM^p~ax@x5kxa~$dJoYOhod&x0wp>TA#RZSuig_X)x*JsCs6SmW)gHCI zFPGM6cw7*zg*tB$+D0ZjWI<|J7i6|e5;}X}M=t-ykbio5)Jd$Hay3DdMacI$>E_qy|Y#_(p z;|ev$7X9gxm`$j?DCps~iixi|TPuosD#?xpxhqOe6_}+ss097LMj5J{HDi=`GBWEU zdG!&{ZnNllYPRXxZsSGQkHD4*+i8RmsB4}W*w?~(F%hte`=sQS0;RTH;Yry`n?RQ> z3{_rT0=snlCeTADQnjh0Mt4@%xMXf3j1ruA1UlG6RC+$P%%0ZBs!DX>4tsWlzwG6g zL+VOzJbdx1UHfqC>n!rPH(eqebF0fERGsPgz9qylzq;%@8R=Xd&AfE^j<>xtBBYld zVf*3R-x;Bhc>ecP%rD}I)G%17uY_NB1_Z9Z;^J37Jd3^>w`VpG6T;}Rbhqoxj zJNhy2`@&Sf$?yF7LNIQCUHcNDja+<*$7b9joo4$xf9wr!$kS|viq=a9h5NdUjl$q| zGggI7TP&|`rLj>chbs~w__@XMn&2eaxL95noFW?+%j<$uWaDCaO)$nrt({mc&QUC{ z3qAqkx0v1%$loj)D5 zv_DcHc~dIZC8>vtb-ih}`rKZjo?MYG3?=jFFK(WpWY#UBu~=-Kk7U0&Tle4n`nP=C zI-*R)KRKS?&`?OFb<9@*5`n<01&PttxnQ77LG7hkDEkWGC~ch!_FI$!WkrOUEtddo zoeSS2zFi|!JBUYrXb3p^Lp+6K20JO&el2V>B7u+LuR4fbTQaWo!(Sm{P`(JI}` zBn-xqRSiu5oXH=M?PMQm5Yr|TwJ8>qE+_{DAyvk&{b{|AEyS(*?e1PxF&Pg+o}@){ zi4*T`N`~Fx6YkAoJLkJM=dgQy^W{%{_!qzKR4d0^+ljIa8(i6Ngx<8uiqy7q(#U4p z8Lb?h7T1EbOGikB0h_SKj1yhZ##{l346e@>h0XZD1rM0Ep|%qc@D|jbZRdeAl38Oy za4f0ZY_=ss>r3udSX8Yn2u7RhBf(UW+_3*n?D0xc*wvazGm?grjt$~0W=b@061#TGv6ODFX?o7$PF;a*Og|VHER@H>2 z+%+Ic6+~DpZZ_Y1&ca?3vXn8=#86e?z4S%o{pl{J{Q+6Z5Flc%DhSXC!UsaA@Ol=A zI499psdRx%<>T|ckK+%c{mT6ifYte_XnVd-*smo#pKrfzJUpLwixwAS{w+Gk#rV$d z`@Z8#W4^5dTRjzRFjtOa1e_J&`C-*b7ga{;3WUw@+!*_aE^?R=RAL9b9Mm^uMeVi% zZVo%Ncw(BcBDh1tB3FcMww5&e3IRXEa~CxH4h6MkGoK?>jSKGg9oV+HB53d0LrV(M zehh3kd*dmH7CW)se(2dYQ7i^y)$+mf&-|l)K8x2wcR|tBKD?a3EAl7C-S#2)J;HFs zCy(I$-}q+i6QxpjqSatwf=ax-WTRjGY_vU}=bF120Xy}tzd|RLZ&fw1dSCq7fAI|` z$7oMN@Tg`SH#Ui^qndHSNq8I8j0>_)Hg4?KOT=4J&*fzdw@)GKcJeIF3 zBL4c8Kg8RczZ&^E9brsosDil@PM~Fsb_JFfS2%gfjcYto)=GIgi8Ic3l z0~8P%!8!-hgUgLR4`|Z{7qZHM1hw)=Z+lH=QMi?RpZ^&@yvl*FvLa}@QKo`i-U)8y z^&Sb9e0yg5W~$(>pRb@w)irXeB5Bog8I0%X>p3#D1sGOIGKQcn>MwInB>D&xLDMNF zS+kV2kjNrX1ky*AswC;XMq69@cBzuEBZUw;>L2@vE|n5cd?2CemK4V2vkz*>oFMJ) z22J;=AMv(t{}N|KUbvNih+TEV6Efi`ryJbPaR z*HQs&estVD@ymJ)SL2!?dD24&%f1p=yjuBn`aFRj9J&Pdm#e6(=OO5Ik-Mh7UfhH8 z8p-Fl2j>T8_A-QVtT50E$gpk?epLYV^KMZa;4X8;Z)UFeH-7tD-tzNN#n1*6uVR$G zDsUibD;sD;Xbf|k7>$ezmR2?tGo>vx3(^HBf~A!Wt-u=6+gGqgbOBs2TG`MMI|hAubOBs2TG={9ROA)u0=Qtbvca;qmq%Ox zmyA|6SnIZpPFw(&j8?WyC$NGz_(>xy2G98+Kge6zM#?EmE8BVaho`EQZEjlB-jfrC zy|o%FsQmap|JLJcQqUtcOV@Z_>I9>uk%|E^q-w`2nA*JSf`A{ZQ;#`5k|4~*Qwe&k zCW?MoIOxcBg3D8gakHQVJtl|=38ZX7@f5L)W@O{gRoxZ|Jn{WOyFOr7lAy=SvEBM0 z4YXkKwYJz;v8vkw@r`rDp)n&!b*KxTR60|hf6DBgZW~hV^=h@v4l;nIU`pn%q*<0O zq7%3*=_*PIzZqRbDgP%;M*09dt<||GX?u20=!ijOW z=YPKj36ZS0aw}|Cj(IieJin00z@f>QY>1?%XpUVu{}|~^Fc>AZJeki@DuiXtjz}y@ zVjhWgFl#`>qvyMG*zb&8a*g6=b@a1ryPD@KFv|L+*?8S>4Dwu@@|-f=P07d^HXIpl z-&?y6jtt?%2%Ik4Xe*qrq_8sjpyjy0{ETyuP6Q%nU@*`O=W$#Bh@AU=$#GGU845Lg zI$_xkiw)c}I6dMA6KH)7Fj|Hq#BzFhqT(m8>GM;1_UJEGJ+T2U92vsvr+goE-`qIQ zg;4_1u@FWRf9vm6`Qh<>jT4ajN)5K``;>5G{7%EVE0oO%dNUOTtE-MYGV@n zllv0msY0YcMlPvTL zn@d(THAO;U01|5Lzb^8sLAzZ6jN~F)mMrlICYU=0CLc!fELy84HTf+aG)(?kbkHb! zW@;7oR#iUIiC(CdB}`u4a2aHt?fEW)y*%f-40Cgl^OkReSmq+X{*yoF@q0s6D;mZD z7>11riU$nhHWY+?1${|n;DTWK=V&1yFGnU}GXQfzfFF@rq~K2sO zPcnSA(*g+6O6J?M2X8*sgd`}R25VAPm-EDAC_Oqxj@0LQZB?C;wa%44f!TQQ-oXgDy5*6oOJWGBnnHzvc8;#POI@*rLY7Z7TpT*1ajX!=zo& zFR`My)h4*p9(-g1`c4LWXAGRU)qZG@!-`uCZta6I1;mPCnN1KbCeM+mx}Cx4Lr~o6 za*onL2+g+LNTocWWtJ?n{lNUaWm*lEr$b>4zowEDx7vSB*CC%pZMgwTu$9bUxdR9S zbWO~@8%T;bXa z+h2SH<5&r;QP=6ncS<_s9<%?8~7N1~Ovyk&4F|9S-+8ea_o(fp$uga<=rIsEDQ)CV8t!A{}v z{&ArV9{H@BhLpl91o5D`qoVs~;xn4eRm*0>1H ze3=+l-O%K8T$rl`#|T(MjdsJBE47=cC7Zy8Qs>tD2a{xSIxaXmScAD0=@qUq(zaPMxrG-88Op*}3H=PMbF@i*A!f>P#4*nkQ%YKWEQ7rK zZeorud^fHME+@KX`EKe6FTbA0o{lrt++jv=D_Py64GOKYCMFHkM?dpL#&o#+B4g7d zLe zRWj4{AmGX!F0;l8GjOz>5PMckVG;D^zf*E$!C{?J`$EgG1|Fx(ZaY!p^p;YlPE&vB z2mIi(nq0M9>~ay~YBTt_xibLiR)`&9}!A*+Eo;*p`ESuJDU9NDrhH=xVv*k*t{dd8l zz4__DqS;d&)}pel*`ibf5-R_ohAa6#G!*_Ko>-oud3mbT-Tt#5{D&WTB3-sy^{g1M zcWy60oGR#oBCzNTfwOn^BRJI8+rEPCO>PzNsxylfK-aLno(VYT7LFBDSc-JbmH<}- z#XU>Wb)cQ1QY^G)CWX$O zD3sKl{Zo|^#Q@owM!UeH!%#JGuBcSMJHQBYa=V>!UC*{u0>hJUQNKt3D1Q+ytDvv)Ez)5%e&sPD$iv(NCCxK_AmxD>HBTF)Q=JU;KTa_Wo1-BS`2m0m`H*CX_*ugI8zYOZbzp%U{IQ8MRbYVn?hKPI0eb(jmjn*Aet z^o>H-aRw{8KAH`1|HRQkYH`RbN&06)30ldt7V=@{;ye7HuLx8 z#2Z09#^jW`%nQ7f#Gi(exV#f-PO7H`7J>)_?s=*Z(5C0F8+U z>AN0er<#@92&+NleH6s(2_g;{M>GRJ3B3<(o-e2GBF_h2IxXwk8VE{r`mQjvf%R2- zB=ezj`mRTYQSfev=LRe0^c@J&=zt)Jh48dJ$DFcYYd9E8xjSEIM6ChS{eZBq_6Uqloh})h~G#tptaF1!8?p6#rkV*v1~|Cd;+u0 zgGYe75kU_!%o#l~y^Fi~>**8d%8mzf&41%&1YqoaN#q1S`C$ZqW^t+AEWdzUuIqI9 zm#)I519~0|d#+vna&Kn2KWX1L`pGJ3Q{6yLbMXVul)Xl!Hh7pP{=Y2DT=!9?3l~qF z^s^79@oB3xSuT+Z*=dfQfi|3*^ATPRh$5!}RUg6`$aq0vxg7bNX@52X&UQWM7oNZWUqJ50j97W$_cEYTEKha*HwOpm*mo}}(4%Gd2ThO{-v4+yQH6UCXsSa3 z~xc|@LfY(zFmVX28b&gPgw$AQhG^b2~4o`tt~W)Ozr zdTD^NtFE`(mE>vHk=CGK*R`;s&d{$2mL?!#*LnZzz9K~mdPjop-abJ4_=>|%44?_+O0td_Uwac8>-<&2}O zT^z68I=MvFg`#WdR5tVn2VeMq%@hAMnL@#b!8K5I`w}I!sTR*7Oq&-3%vVZ`3oH4< z@;wZ}TBb_9UlW0>8$!~egg`XF2~s9=P0$(q)glz0X8lHLKfMdK6w}jK*3qG?`Y{F( z&7SFkbTTBJ`-O}V8v^>LsKl1(F$Ii`znerX^spMnz(MukoPSOFz0E~e=rG<6;~-6P z1pe>Wbn|umOa&KY-nw~&0$HR}*k|2}ILLD^TObbb6QHm%Do?aoO>D1z@`?T}ZTH`IM;Ob=CImRPuByLo0u=>?p%Qr;&t@4ad@yt%W! z{TRRD!9~4*XQsiYuCCKtKh!1OSMdLI?g%6bgkv=#_9XjiX8jdmNK@jPI3O|k zhe|Z#Lsmh?sgKtB)76=!lFUlB0mKm@RCVWh5e?l!nG^T6!h8Hjka!$>+11xG)_<|z zC$t*NE0s&xhKbY+iR@h*o5y%t^ev?yj= zQEdsyqi;0GC;c5{Qm4}hI3n1Jey0;Lu8676U^XVCsiJ<`;#aA3d%E~xu8_C}1LPU| zCqFOxLXrn;2#%vBM0nZvrj(I)LTer?T46;8jxKNHl>=xvr{jffP-6Yp=4(r&Tj8YyB0^TQoOU&%whogeX9-;p9=99J~nUy?-^}jot`o zh0)*Ke{?>Hs>zrK33UG#;l#7BQ~cG6q4V_7dHgc@Kcm>2-{!X+J}%z{aEple+I8OC zI38V+E;_ZTc0Fe?Itytp*G902X8D(c?O`wV>WFLFM0#z%J?f}Ca`q#Q zN;=bXh}{GG?Viscf1Ug{&*${{x%m$EyE5{Vx+5E9O4qj|;{nM_p*i|B_A1t6242Or z+&K<80WSDGOD<>rIcPA4G0rgyPT|GzHrB3h-$cY}_bvQV;iOJ}$X`Mm3jqZ1g7?6zc=Ky${^ z&!8g^E2LiHZr=hT?2K30!qXV;(poYNM{kJCBC33yO6xg9{25)@?H$ry)rtO6@!;aoXUG0Pltk46SOt$C2)CP-C(3amcnkNoS zRjfu0%i}yCZ@cJsA43a@qXHYgVDIOHNS3sp76iMBLyp@(jKOYM@%uO29G2QQ46Z;m z5+}F^t|(T`H=5sE_KyO(LpX`lO~r?3n56*^Imka)4R#pMw4FV%K*DAkRLsNt$ZHus zF6Rb8nPHNEmZ}hJsRj@YXv+!o_9;qf2p^ksgJ^j$78ib~DoOn|KS!GIw^U|rICGEB z=)Ev;%B>7?PhjR@t~#WHnRNEqa0#bQurlr0&U8v1(zv0dns_~>z89`??meWFg$kNk z!W2{XkGCaWF<_FSbkK#lhZhI^^Rj|w$uxcGf>)Jk{RYHAFMkac@-HEWgSNYvpV!UN zGRv#~aEUrORa;oHn6({}lLn||^v$Sa*ZCTP=WtESoGs~LfV^?9b#!^)0cYL`t$#)T z=+gKV^LUuR!!zKzBtUMfV3?r&ukE_uXS3Z0-j9Mm?Gl%Bd`NrbbzB{EYLMTn;ilRx zeuZ`)s)VmBxJU^^^o)%znHdPN63akI?l0gEl93K65QxWQO{;LHs(T>rW2pHr*L2qj z3n12Q>WS#0`$)_Pv`X4O+fw1VfSks9J0f)xO9UNAVlPv4#%2iv1PNv{%UHt4x$GHq zf-EUrGt1=3T$yg+NMB%$HKLr1z0=XDY=0Vzbf7N@~)TASSznm)lEZjuS$$8ysFQ=|3641B}jcGk?bT=!jRKpe&qT>pU z2 z+OsH7B@+qf)c23udzp zLiAEbV%x{gY0uRVBoImPL~6}x%kS)p1VnTYj+5yGRFUZy-gEc_XU80>_IPJ(wSlS0 zRsgY8kp0!4=7%S4OhI_b(k=8Jh11W3)jNvPN^gUU09iTyU+={N+~C& z6@501xybNMa`RJZ$3?M$&S}?TzMhW%{F*DaDcqPCbLK$8$p}4ZvgO(Gdp(_)n7otV zs?9a-NZ8D%<7VDjaM4Y+se4|i5~qpbGtgQ(K>i?35XmPHIf~?lmib!2p?ca0%?3w5 zN}*~IXr#V)?%Wi^$9*VR>7LLmgCYJ@GHpmBkulzZ>$tvIy!Ltq7*y^FvK&&WI>S!x ztZp#gW6-Yjq3Rm3@4(a9Smk1uf0J)co(H8QB`i-*Oq*WM)Cf&lH@p{@hjZQ%U~ zrsoS|SbjW7tg2#oUKvhQw^5d)#X}DD*A45>h5vZ-|wcOVfA%mTZI~ zH-M;2FE^1zPM}%fU<|^GZDyatk-;q1Ua}XPX8so|Gz8a}2(sOKbQ8bl>o20DiT3I2 zb$9UZ-iHC;M+QFZKU`d6X5SyXPB(~t&Vr5>>-~#wx{jb6{3yeL4&q8_YmBvSO({wg zq&v3N8N6T?{ocddJqKk1hFdQJ*^`s-v;KKWo|p2B7)F%tG=E$UXs|JuRl)G}SdJXb zEwM4+gbdY(THvJ7jslCNV03iCT!*!}hKCJ!sLu1vsR@+1SZ3{ew+T0aB^Xf(5Rx0=Baw+BBxh|Ekt z7ux@qC?>JlRo8y&4#$MiQ+${zWSF4r&F@90dW>1Zwpi%nAU}$fh`Ce_o<*9Ga|NsH z%ZZU=Ma?A_${FspFTR^Es*sC4)oi&7Qoh?k>Me}`IkFgI+W&lp{;8IrZL`)N&=YNI z;>5epTU??=YA_Ze1@gdDb(u!0QAM4o*qq81U}KFMvg8w01j^v>c)XqYf}e+DKetqN zZR3GRXehzNKlEMP4f(pT3+n6L@B&10sENw4=;bdve9pJ`oFqM+d2VX`_fL5yX|3BH zm}IBX6`QI#@T2gGE=lX43|MIIb*RXXz!94iq(I>9xa-D`L?;nAGv>*x?N~)o$n(hg zCqTBzVDG;sa&y4W0cl&@$)xk!nMQTeqSJ_*zXRC8N0Fn@9|rWwAMgGN$5|NvrVR&W zyC_^+YH2PXrvaNY@Ok7N(y6mU-$RLjArAZcV)Hnjwt~iF z^&||~0jTR>X*vkLZnytQ?05kf8sFD|PwdB=+yL<#&9!Pz#q)lIBpGXLdbk&hi(LXO ztV-a$a4@j_vyMTx-HZ;_|5esS-9bGJoYQ5xsZmbv0`C}Zr}SQee%ByTKB|26KH~XN zFx5ll^c%5iPIcLjIqXHlC&07m#Rucq?_3ELX#&){W+<-hVY?H z{JeG2wi#CpLF>oJPZ9n7C;@2Y;opWRb&|EL{B!TT=~5w;e(n)}a1d(Q<7po3tYbZQ zeZC^=i6Ofm_{7~EDg{UpoGZ58U@w05SHfTNFz!JNPz}T{fq3&SN_y7Y!yr(JX{LV{ zE}PriIU>UIy1%0D-vkZ@P#{A^hHjxccjB7{(LS8GW!+=8)f1XS+gV{=FDLsApYa2nMSY) zZGVUKkJ9Y{NyfcdivDHXvHdb>JYMthcArl|*7D+TF{%dJ>e+f)hDRXp9>#>nBV-SC zT!2Lk`o#SRlmk~mC}onrUjam|K2mZlLy--=*|y7i^sUuLNQM5hN0;u!@`gk)47S?W zDsJhO=?@o_(XX&w_z|BGSo*FYSXyhU;SH^cjU z8gVxBGK@HOokD{?d0cA|Jg#(i$$dD_Cco=`j&6X0hdj3@pjteNyN$V+=$Co&!gs|A zzD->1u-979bjK5ZqSP(ir^cEad5nUM9e9%XEu7`bn9^ePmj8G2oi=rxYUC4f__;HQ zx<`|a9K^4xHqb^*l5{>zG=0)cPEQMJ|>(pd#P<*D2_;(L2CeE-;%3Mu3 zB{!_m>@&g9)50uKaW(v;h~7Ki67RK-TWKd9G+D9{2{Ns+Z*yaJ=N{!E<+(Veb^hY> z%0nj@aQNkm>_8#Q50ODcg48-4Msby&srJQEb;{XKW9J@fGr{|5szQp*vsafusajbk zi!~891!EWLLe~eGW|mYlX+7;9Lw1_J^epK}(=F8HsoeLkpOf5=p`__({W$UD0n-t_ z4fS&nY+*#Hs79;Y07S6>mZ8sOB zj;E*W_^FRVm%X@8iB2dhj-??iDhYq$jbw!V&*0%eRiNP8j1ati7Oa2hm+wMt>KSyf~bA#swr5T=mKU=n5n#I2Vrsq`zz5y zU^1cbj(UQeG4ka~=E~M!K!MO?pmGAey5gVPT29^ul%hyy!NUT1Z?@^u9v$4w*#_7^ zmyuVq6|G07RT@Q6birb*;K|UP?q^(4(GJpNEKS(ct!nmEIJfS%*cCAUJyd2yzU zM6%H|QozXwI4n=nQq8+3X%5AKkI5iwD}q<9>4O79cPTEHLNNumVfuj-*4`&-=!Gir zk9`G}R&6mbORO)QaKXE$&A7I(4Yxuq#rqP7J5^SQ^~dCcjj!4dzp2Kfz)8nW$LbSZ z^tB%p3)fkQ(r7_K2`crv7iV?jrXpveu8N70MCu?lTd}?kr!-`;q!T*|idQk9o1uy4 zLFl?S0u6g(yQAlLVBu#w`YpY1gp2K{$T2$QmTT;F%RC!uzR~Dglc0f3eN&U~2oSiy zKP`YdvhWesdhK%fEbK;vPW-Yc{mM~*v6(=#DAjhb0e9LcUo{m4yT0|*T;X!)?T(N9 zXC5APYE})NlZq&#Wl0ez20!aw-5Ru%Ue=>X5VDYn=EiMFS)0Bnn}B_>A_HGVjY`aR z96^h#(J8rqe<(y0s5)z$58f!_VR7C+gCaxhe7}bd&0W`X*e)MlXvc*Clz4%5Z=rEt zgr$aq1J>DL+}jwBQZHNi9{Bt`iYqA-A5>_Et~Gi0=#l3T)3*Gm|B*MHL3w6sk|Qsk%!79Oo=Psz!W?tJVq+2 z1ja-m4YrzDHx-DT@+wiFCx#O6Wg60<*Igt==9~IQY2xOXaw(ulnMgX(XjOhod;<1i znG#E>uj*^u7ynjxM9XPNzy7@-cPX!;)6rrR>)`9uKdlPFaoso>4Yt@;LGFXBapI$D&GgiZ|(-7m9W|Qp}rJ2<hlh-rF;Tjlw+rHcIAv;yjb9mlHP}I%Y>Svp2is}|(!`Km2egOiLHZVJIkc4+!C&3XY0eN0ABQ38}?Rel% z;kS~$NE6y@U9*f@FXc*Bve^9l4EKWvwNKs>h)2ry@gCdym;KO+LJG^;+_47QKYLkX zAf*csSEavwU(l|Ri{S&@}h)Hdl~e6yY%rYSL~O^5knUU67h{iLM(mX4%7=xTL!* z7`FP0sc0@KT6Qn8VEl^^?Ovc6D_+b2S1n(#Lo&4{HVhc&A zh&;vkcna;aBL+z}AluE<7U^BHK`g_$eFNq)(z#`CUD1^~nFNr`E}P?w=?nDo+A{}# zq{&q(%5D`j-rS3u|EV zc4sZ!SGHPk7yMml@J)a`lB~lEL3)raXBuCgE$`+HE1KMb$3t}705{Ben~c@2tfN!K z&pEH&pISe~HdO4`=8Qbw>mmYynv65TV*B4^Kqu03hkx?2p)+xzlUW4GpxM|_D9r+i zTUnXYDAdYHTEV_!*{f4n1gTn^P&N|F_y&<0YNs373GbH=7m$&Yv4uWhr~s5E)OE6{ z)#?YHc;k@LDvbsyACBZHOpu~G+Ri3o9fuh#gX#0<TbS-OvZ3pFb)HVvY%>pnS)%;Op0TrDxijp#5DOayTUwLb0YZPmr45X(RtvF8^zp5J44( z(H_Zb9RXoS75MtKrV?GG!SAe?gwjxbb|I5B#O#RiH!v?zVR^d%PU!`Ndcy@w>%x|D zfzzD@5iuxL{sCa)F-4tzp#GIdGXh|JcTl%F$abdkb#YGT1d9vLip|_nF^^616EszOV#QAmZ5!}0(L?oBJL01E zYc9jlAY$;mD?E_7t%r&yu7ugBPlUXhXhgTb{++c6w*mj67O9t0UNW1J^B#pCM_Cc) z9v943JCZKxRJc7KQWLg(GoROP{Evh@n4SC{TCK z7NXwv$I=Zpb_eeeYg!y5yIU$SB4&wPB&4QFe79%74#UtOZL9E-*yo2+PdJfmyeO64 zpR-X7pETrZk#^eOMCO!3!W5V|bS3`1eYOUU^4gUh#(EH8PmFn&gx^uUsT^1rA$@x? zBa+|bEQ_ugk+S#Xy`E>SHk09S*9{X+1dP~0m$Ytq@m*KhkFe?^)G+#qwJIj~#Y9n$ zY1ZOBm0C&noZR~H&S%ttxKM;wDF1UzKFNZ%>x)=X`P;qsr{?9v^)e1Nm80{zpb!$6 z`o2}y8bD5J8lb?8ap=jwi$fhl!x5!;ig5nkiamJY)T6B7+{3qIYm^YkIJT~&^1IsZaEH9XBP@(`32|CqQ%pCPPGpPxT7|QaVwQC_Gk3q! z6&^eYG=Z;emt1YiP7ZZcJH0J$-*n>%?NnSmi1^}e7{Z#l- zRhAhEz|_Yk@xswOzI$)!tArZ@VvRoJplmPXt(jPuoT(_afn~&?P}HlACZhm5(zPVQ zIZlvXpTkV=Vn#aDc|x1VC~RYmKg(lQH4-Q*)j|4)Y_U^k*oqF(399`Vt2fk0u)}~-5yyKMMmOk8?e>|i!i?Fx}cy@opB?lf6rLZI08Sja#|r=J+r@< zJwaRA4~AoMzMH)UC~?~ZK8jW zm5by`=<(OSwBDndII?@0!kMc3EK<~#s$fe8*#vO0nBHD+bYh|7$o=W4eaG4TNGJ|3 zXbQ*Q;QBDXZL{rPrN{x`yz>9Tf`m&*X;R>v@kwRM>=C^BY6j67DA>0-*%cd?MsmSPa1%YlM>EsrL&FtiCxHaH{$cjCs94Gmg*kimre6TKwzE47 zja7?hmMDwAE|##i$C@$^$BF3!61a2=Etc>%hXeV#gW3NhVfNcXLfmfq-In@3XVmFW zV7QYJFeFDs-@`F4hQ_Uiff~7XtB2A4fjxdK%Y$9jkaAyi3(4UAKhuwWv69h0`= zXKhwN)~+58hdtV4lCA+4o$Nfs&yxxO&KtM1_aQxXk#N*AckdEYsMARj^(XcK(-rjP zOl-Kah@7?`KX3)7C1OB+x6RxUA$WyC2TfZtShIb+%(+_vIv&-5uq_M@6Pab)kWN#DFBllOy^@VqB0 za?YJ_k5+TakD)zR$-hS(lK(@r04&(ygmCG*xOXYxr^ZEqB#=s-jku2B76jJ)XBq7z zBDhy&Tgmdm<7Y$1LgJ`+LZb^qn{MtYN6y1Q?yG%ga!dIPAbgsnDPMT;dC2l5uL_08 zw`JqS2#3Sjk&sHMC}^&wy><|!fi`mlJJfF6QR|C!osd8=+SbC>m~s3;h(m4o1)9_tlg78 z1oeEYHD0l9lrBT9E^JjeMT!&bfxF(wBLI z(p|a>+Pwf^$cM>Vo`^mFTIv)*lwDnO|$)w(%paMWZo& zrQ*BmCh#Z1?w9)e1qSwsF8G@Y&RdB(b}nq-MtXq0HiJosD)Q2*-{9L1VZ*XaeleiS z@RvQ<%=of&%Jxq3_kJCPl3N)kP0Shy9W3HzXnLA^D!Deua1dAVFlE)qAQAVws|F$s z9##ZXq6D8{{w@xHBKWIPeU=w|%v41dm<~e?lKVBZgcQ17-#fHmp~S27ayLJcyyMWs zM3ix{#<{nNq(g$w{Trl?f{)d(Scc`es-kZ?2I!dXH#)HQ!syR^H@|WL`Q64uSi-FR z(srF}|D;L#?*){)AwSP0ypcvGJFxVv`lO+u{?)p!gj#nIJWyLs#A;`Djd<#L@czQV zbP$??g<6=Yo`Fc^2>NhaxPc6ymsv;z|&dR*2ko=FE2rcXfxQt*U5GK7lv7yE+zCtFlN(DW;KdW_kpAH*fQ3^ z!^!dEAD7UTBCfV%GT1NI!P6Np8>8)+I*x>`d|W#5w@dtRF!!tr2M2Psej)tA%iTyh z$O7EMa}XR;HJDGxGKvU@$Rb`N$qApgXA(86&kUu0vr@DEer5_|kYkBi;%)l(Ncwvl zt)&SERo7C>Q{E9?K3cNVEH=?8#57T+rlDBL*l|5o)^XfK3p)r#tn9GD9$Q0mXuGUL zAHin&8fZ?frI^Pb7c49wG7T)H@Q=wZv8@KK648wrV^^rLwfk{%zQXkmYU;7)olqI&cZ-GFm)6Ms*Lr zlFEl~7rtZF(rQiq1r}u+{`=5{qz4ej&_xvwv-*oDou9e73k-rMKOe{gr zeCz2?${dG&K+{F+bCkzPpM_O4ZXlMqd%EF#sm=?U(T+#0k+KsG(^Us7z5rW3k^>Mz zsedS%b3LE%=7GAH!80$G{6eRn}@(2Qf)4aJhBs6vxO? z;Qn7{e@8B#v-JTJ^{Q>KCELGWdWZE{Z=CXhW>WaQ1eV$wTkA%A z3NcmAsSA{j-j*0_a!Ul}JFX&LI{$PKj8=<$Fu*v221y+0nNv^bwO@5X@D-V?G0zAcXyS(Nc!^9SDC%3fg^+ksV#+9P4*C}lHQ z=iU#$TvE9yzWSOTK}N&vlcItuE#e!s#(BZCvQtgQ{M=w)DXCYJ73rf6HXy;7zwGuO z_GTy}e?=TvPXMR60r%D~;y_QYXRBR5kdq7AGkbwSQeGe-_IM#jFjQfgU;@J7pT7tIg+iQgw+~wM8QHyK=Y5(IgnMiaOGv zy$KU5cvJ(3M*a3N2JWdD*J1M@lzhqGnN;~zCVB$<^>_Nfk@d6~Hj&Ha)tk5M1OV)a zaxai-lNds}T3AD6@N>UQ_P#pWkzx-~O8SflssdC zPY8i9;MG5cHG5)vb0pRpOP<6!H5E(?L4Np6mfO?T!A)lI9vIApT)1dkW(#fogjW~Dk$gsSvM`B#Xt z|85jqIAPN|Jq{QK=l2>Guk7e_Qk`3i&kK=`O1elj>o1C~Rw?4Lz7z9*a@D5n-0tLFe#jsX)<4_c| z4`0rngmTYVdW9}+DZc=OdL zJC`9My~qP1Y*q;VWoEFr96U2%CrE)Q!J}9}BBJ`80mxGBWK7IJc?HjNtRM8@6_lMc zTt7fp;f7!P|2=4f>}RzkxFjKHcwW535R|4(N>4ldvXnCt&Gf+#2`f`nf1*iMar8nM zIE8sx8eGns1b%EVroW#>Z@jU>pMNldqPo>;wQA7bOElM69RN9}7cx)*T)hy;Z5^(W zJGYyIwIvp49@yMyTq7cjS^;*=7gHW6%T1E4)vG_lQROzDq3n>gA8>QCme+dKuRoFm z2I;O8AtpIupaM(Iqh491W}Eweg$l5->0739jSehY?v%md(Y<3Mg_T30jR}Gnybe%{ zWO~}5zr0861F(+6Om_+1ocMyVCZ&j0b+dj=wywm4Y}viHyLmtA{SMI%=plMQOCObN zrq9Fje!;T?wi!?+0Bhx|C|3SQG^W-Ib&iW={O&(*-H+d;LEm0KQR}kLQ!0xR;+Vd4mdAzS6@UF~==VZUc3*XhuPEgXlj^Kl(Y%-c&nNpl0@O}G4-4k*A9V*D=D(VUtCm>Zs1Jd^}Du!w@ zu}~s~`OiR3K**2H%ea^wn3HAcEJ3Vx9~+PfIc4-c^DWyP42-jhYMKof$k%-dPt}T1rW!2och$g> zMQE9vu=lLNYm7J|tm%& z{(L}9WvbKF{qg7DvXRdmnFqqf5w1{R(ar)a+!Hz3D378Ytm?^i9d}8fta{#x2(4&m z7S)E&>&f>X$7dYX@hMlsf z(-LZ+p{b4N>oLqZaMj8m7a{qnDrkHGaq4BhrUvyHVlc8}M!~vzYC5WsDFX-@pIAfF zuQ|$Z30qJP>@(qs-(pi$wQbj^l|gzjrn#P?OpWwy+ZKZ;)HY%mNGD}XVN%40bzKt* z5G6N@Axr6n*~R%m{V0?kXOf8q^>`p?oO|@FYC*}!vWhO`iZFd%q(b5{> z?m=s*PH+v_%WFT@xQLdKRpIg&+SWJFOnY2x+;wj%P4LkMGg!?eMnFn2a`bWoz{M~4 z_+T~HM8$Ce>+nEZ>t`fhH?nJ$7o}rQ!`$`WRtOqLA1iL(5qe&JBGQ>*jw-eqRAr7h z;J#Zy8~8UD%dfEVIn=u}?vh8tEo^xY?X3;M!o#eNKL%gw-e> z@(7TUl7JIdvhk8iu=Bq5lO*g0sF&!lm~i&{US)X0k((P3FVvh_iBS_EEd!SncJPs< z#AW;W5Xe!RtGJgYsTJ%?&J}x2!(A!Af)X|N?lIWn`#JPHH)HGtd*Yh!lI3-F`r86} zV03FJbKBAaFKNB|t$y=o4(>dOek>I2t5^uY3iHRXv#??T5D#`6hs7dr;P#98TS9#@ zkgjY@*DYd7A{#+wK)&Fk|E_@atLBAOkfED6HSgCWy)^ox9d}S0nNgd=(M52`u*1;f z=4S5NgrlHl?|>@W-sc)+3~bKLAC_Kf|8a6jL;v(%X7#RYcN-gNQD}Yte`O7BNn_R| zXP1*E^$?eIJ``Uc7>D-AKb@Y>(-d(AUH; zA|+ZYJ#^*N$rh}Yf{;Tz%{gDpwnWx=u5bny4>3sUry@(cE2caJ z{e5}zImW<;bFSc&mh^-d_!zA83Nd->-fIIGW~k zWurra{^k*{k{cv+n9`22yP}Vn66Rxlu^96XO2)ARHEEJ(u{d3ON08R-N-_F;#56pI zlKz}_S>hzk(0RH3xMD8i&Z5QD+uMi?lV;dBq@&3&ceRAA6m7G4T&CG@)05)yA0afS z+V%_pZ@>Nh{QIZ(+fENJI%6a5@_c0+t?JGHKtL^#dYl!`J70&9Ji)fLAisfdxf*ta zRFTrLnsH4n)!U&zT_lodMso~N(6f+!tUjN);}QhR%DC&Xl}tN_yGYAJkIeTrqa zdDpGa?8vu)5!`)1O7gcA*~9jF%2~n!Hv+P_86t7#`<$|b!V-!vVmxwH{IM07XxchgVlXHXv89SYfq4fFwbXv(Yhrlz zUqoE4A=B}Sj8mxaA|#VUPbWeu*TL9WNh~phhtr@I5|W$eLYzN|i9ot706QOK^@rq6 zOx5NdHdWnhT#xQAxd=A52_N*ducDy*)OWb8aLtd(4#B6*Bn>V!oEMhI=wpyC&06EB z%J5#c?+}WU&t349mt&ler)@|ml%0wH=q7Xkx=CZ9n2!Ts+hp>PpV8y{U8;R;BC}b( zo+%W97eWVhUolenBlP+#lb*pq;3SKn3kUDHC)#dHSrFG!R%|4y1oV%DV}-;IM;27b zFfy*`{O*g0s$drkb`R}`Kx)@fP;Rcp#JsH5hj`$MtZ?lQ?1#XYCF$Z6S6QaV!nA&L z*%wkh>&h;oftwyfk{r{NI40GR{HzY?D@vS_==k$l?bZf1J^yeOF|=#?yNypxzfR33 zXobJLqP$Bar9AXgeo#LSEC?3jqf6frCCJCeq}uKR+J^hj9o^mb_OM%t9>ATlvIi)p zhurkudOyz~bCG0&0_nFcC>ig-uXY1p&sblNH0Hj&(R00?`x_DUJj$q66aK+qtAVS8 zw86&g*5!Q}M9xVnap&*l(OF03pqLurpe&U)XZ8Dchb*M4^!)wJKI{71z!x3ypUR<8 z4#0nmXz)#^Ia@HLTbr)>y1RS-bn~&cd!MQ259(Bp>ltEg>~yMq(Uc=f=)#>E zdf`+=ekW`cPKeA%E9PV>LYE$?h*|G${1+|oBc@J7^yv|{*B?KywoMBU_^)v)w)G_3 zYNNhR^tD7Nbz*lw*}j<+#M&y@COQs|`rZy=7!70fUgDQ&AqkPf9{#8O8Km<#Xy%T~ zG~M@Vj#&@iSnR2~2s=*8>YoJ|6Pf$82@k_3!Fk?Y!m6@qf^spYSSI=V6Ez6BPUwcruG50o#;2K$NWT&;yuC_Gs;EXWXq5Qd+MyenUn7a9R~yR3F@r?P z@o?ktz5qSlwF$rggbarx8Z!>VV+e79^n&_RaZfB*Zp@mwm(im*0$A<)7u`4LN{~ z`&GNP5Opw5oajwO1u}=#b}(m5lSi6(M6w`Cd}!U$=n*iHXp&pYn^qpCroOMH>$zqx zGDrUV2REGP)f>PI8)px2ByMeE>b zfD!AA_%$tiG0tj@w;79<^TqnLPdC2ISZKA@{?%in(&NIq6GlI%h;s~u^}oxp5V+!= zCLWk!F{{~(^0~}rbQyPC8GgAuZun)Oale@M4@PbwQ+rn!%x9nbV-LU5Y~z=`{BlU$ zQFehg`7+G&CE1+HTP`$D&l`i&2c~KN=&w1v?MK73E8fvfyP+SS0b37>j;Q(^Q2W#> zb^y<%p5wUl70S~TJR;}Y0{9uamKDLwD=pRN5E>x;8IZVOkNPoIL_oCLz!_laD-JC> z!VirW9;th>_^V0X5Tt4M755!r0CX0PTOVi~f>KJe75gn`Ekh8~HHCyI1Kw%ceZ?J| z4y=eu`}QdPU|CQj7(dDGprv-sab_8}l9W1{Nup_u-W1m)@p>bfarwqAgx*|)`C9Oe z-=o2zAN>dx5c%bu4h)TJdD672ojpaKQ7rQ1tS)LZ-j8MQiEX?f8xdZ|Clx?2Uyky4 zr_%DxC4%{6_VJZ$Q8@n>>y3S)WD4f}P4|BhW47V-V%O!pj(h?N;*}w9(hE0Z*X;?v zLKVBwSVHz!F=U^2i)ddLyY7g$LI?TMqsw=^?cv+s8H9uILe2rk{kQM<)t~(Nm?f*^ zUEUfUv~;f?XW$eSAa#VF<0KUz?YawsNmqen2bk-Y;g5FR1u-q#xpY@HtjxYbT7h=m z1u>3AXCm%lTD3Jg1jVkqBzy{vdO;)c3MmFy>&$>CA-hZ71`}Xdy~mqm0-XkM!unlp zfYqdWIBi>JnAChwejYQny6QL$pj%x37nZlli>oEh5p`pTkf>hoawZy?@QMNCR3^KP z$Yqd6KA<>(VfiFE9l2{v5~~daEWB@qY%KsCFd}(w1ok=JP}dLD8=)W<@O+- zYkB8(7|&b2wHky1|LC9nPk;0mB9hUt(jytqt$`T{sPDCbHs>C55f0&o1}I~YSZX|95lJDUSHaN;plOR4&PmFC zcOWDcf*6m~{+OJkJw3i9r`5gHW`=JpK;m6{~?AILPGyw%Z9JiWD1+#_lOXMZ1 zB&8BWOL7*>PwkpHN!f!&)l!e3hH52qk`i230CHnZq?~1PR2==hNT#T!@2_ z&?V($&k1FKy-9C78h#KcdOHwnlRyFQmIUUKz%1|IlEAzY9(7Kj^R<8Dv%c*z%)Sz! zc%b9tK>&u5kO*1C4JRnpv`Lb{G)ADl%!yyE21HEaK)K-5XkmWAkaZ3 zv%WlLXLfnyQls0lumZ@i|V z&gPb%!@S0)IWo0$$}kS$LQ9SK^NxrD9 zw=lfm`faUWZFt>6Z`os78w6U4p5PUAU2C|#v|hk6u?k+p#&@&om6Kk0O62!11k zj=Gd*1;&v~t=FIqiYHvfU$8$}QeZS)5Pn5`YFCbL#y(Lx`6F&b{9i<_O&V0wnmKB2 z`bXP=%glRL2xbVNcpiCE*-m-WCmpyfL>wt^icDvf_dUV<4EAQ^Y194)-75q$1nTqW zcAS5k2A0cH@~+I{UWW|Yp7`6!yUtsFEbscd*Z=yz^Svi4O+9%U83iF{$<$shknnNv z`UqwM@0E-I>C(26LPg_(09}BzAFn$05H^6kBK3?59?;pSDAEm>4w^$#%@jc-f^@}W zC*6K|MQRxrJgzy@fQQCSS1n^-!C>%NaKQt3Dphf9HiN-fxzdEN%JkL-nb+nVt4b4= zWK0LBE0V*tiPx2*Hj+vjY-ut)uktk6 zWXM7s>QEmAQaV>yrlCuK|U70DRWXdT6#9>R(l3v=pH0_hzDs|PvOBx{0 z5XawXV^`w`va#!&;jx@Jf^1;?V7OUun^N_q^&`2R+^U*ZL@!TqtFQ^D?HWo+pWoYZ ztL$YtvHX}@^?x1T^4`x(;k95my`1gH)7ew)z)!#z9>ClVmkcT-pr2aKE-PMZsvX^` zyrM*Jjyi=#z@ZTVfWJwpi|k+?UOVI!%vYj9;C`X@Uau%Fw+SNnCc!_a+5vD2!HlAn zytW@2V+h3S?7Jg!>$RYU*S=(6eGif>$V+N?ZIUQ=6T(YiE;1GEni-f2GA(A+0omBD zjkqFyxQ5q$o~ZN74?1qv1~zcXlgd*y-zi|ON?GeoR(7hia<7f3Kb5yW8{4=VnVT0Z z%Dhpdx(KG)QQ-e)@7-f76^5FsJq2Ob#* z6gijxG357Kk8iE-tJ+ny&pqe#ot^^q++Am{`l@z4*5kL<`YqeY)w_qPdiP+ZMzIKY zOX=($g*sQ}-r`id8+Y8;gFB+}h(T9npHu6DrY+y6Q2*D@`4gKj`J~dMuM0gv3N>{) zs89A0tx)&dr4k11)~AsP1UiHT3U#}a`8<{C%?j0GUv@gIti#mRqz{X6g$lPql8+}4 z9BCzyOHNd%k3bTfG~uIbqbUgO^(Y6+*N^&2h45F`to(RguUKi8CBS!$s zX40Qn-;0Lq>#N7=fH)gX<4OzOt53Q)ZB5ZFVYJjyKLPWB^t2vJOL#V0xJ+yp#rdR1(MUS04fdi zhu*~!uVf=BREy>4TMS_m&jz`R_7?#rO~3tsNf_cZV3InY$NY>7L7uM(_=mkj@RktF z(YMK3K!H>HMTUywy;IeY`gisNNf_nBN<2j=VPz$vs2dOz#cWuihJOUr^@U@lW1%_QiR~ ziG;Zxd5y7vgSaw?6v5OO5z~5q8S~;sxD{i65IgcAh=>aU6_7345pivH3r7AWV%w}2 z7iC(MOKagu)v#?l&hi+@p8lMs_-+wag{Psx2%%SZ$II>tc-{^R>}whl`@m< zP$uxE&^;5zfN*4Gz0}=G$FH>y)5v(ni!ot$PNlsiZJM&eO&V3;nshqiUKRa(6@OtwAZL{C*y-I_u~T5R;U(p3v8#$v|fvt?64& z7&DF>=nAdu>}jWJ4?*gfvZ52h?vRI7R4)XnV_Yx@VS$%v@*)KJB)OoG_`vQSLHr}C zBLt~qTrdb>(Qwlqn$J=ZEQGKJu-P;}hIYmUIb0peXbYDNWhsrIJWoXu!|f#Xi|D|} z-R&zVYk3h}GALmYCA3#kFlmJoD{2!c=G!gV}=} z$?E<%n2L;%%{)j)&o>A`4iYvz`sxVg`1;w97CApp#8^m9GcxL-?3LHQNTTCNsS_}) zPoo$=`eZX8s#(>1LlLNY)@*@yIjUsl6Dpy43BpS#ku5@qA$zS$@46$|FXa%_(*fLR zA6_X|sLuk$-*`5u9LJ)Gls94;CEE?HB^FT#$>aEx@lAnI6W!@=^N z%5XrSG90oUni`%U!reGN0?y&EM_k9WKh8HB4u(;c;XoW!AD`Q4|2mDNm!}vGY$C>r zsXano@6YN(jlhcATW?l=<~a{`weZ*cu565*?8x$cHs-UxW&go9MT$v{Ro9PY%OKp- zQ9t&$W;$E_*t#-BQ%q(Ikw6E~CoA^2r`eCiJq)kY66C#pY-+0B+lX&Fn5CHf(69*X z!9-9Cl9(Ysb`Q7+mX(5AX+_KqFP|@8(asTqEBNtZ-r~?=V_XuTE-xe{*Qg4reQ2>U zF1b6Mn6`rCl7)|rBx6>WL_s9j#v#dJFRiC9DW)QMz)zy?z6HmeIx1=BrsrH$sP$!B zw+cBloqTE)ar1RL%B2c;^2%o%_O9mbB;aT27y2g2Wxu3f^2P}!d!k45-Hj7W)0Xd3 zr(gdypZ;n8Qp}=KOx0a>Ls-#w?hIM0)rxOy#&-pdvlIr%C(xh{mmM`d+cq06*WCmW zCKE;3pzkW)Fw6?ws!3rFodeoPh=0H~};mtF)< z;)!QLG2bQ#k}o6Y!Z4^7QWtgV7ZRMHtg#24xn*HieIYe=_OnFkytu6-C96SgIQKch zv`4x@;ct{rwcvY|u}2jqvRZO<7_s)~`Sx%K6s{3j#FjEDEdTVJAOT_oQN2ir(weKO z(YgPk(^4%c$T~aK9Lj3Zb3Hrhgq$D&eE~vqIZs?AUis=g^F2CXlDgP$WIv?TDme_#PSw3UJrK5tz4&^PR?bj<)%BrMf!0_wigx{b zfEnW0EYUaKu!hjxu0U%|7bX9`Etf}ud4y`cU%Ad!bB z9iQ9bpL0G{M%m&1Uo@Xe9Qs&JFOU28Z+coBdQ%z?=+NV87Ka|fP)e6qjoEsa+Mu~P z@NCQXtuuyCyG5-XpC@OzupXIs_ij;M(PE^}8$yl4NQpk2p~mCVK#FWw-b= z;WEGxojU~GWqEkx4nfrhmpUaAU`$#`SDhovw+5B@@)tkwRe$$UP_!su>Bhj|OT4~2 zC=aHnQ2eFFz+u=rmxZ=KL|pR1pHN~*bSwm^ZG1)3OYkiZC@60PbFKu{giNe6PoyDQ z&W=&n_=2qtk&T~w1aGlNa2`ZxQv=EaR@D`B$wCNMzAiXf zOkZ7SCFZc}cEYZ&ed-PG_{_-Y>CI>@t<3_0$4uC^v5Qq*!cZ|twzPva9@)|k)_7z~ zi~0dgSM7RgJhG)7tntW}76i@bOFXirjWNPI5Ue$}yRx(~N0>fKE6D<-V!by&(#6Ag zjH;38)|=K&4YFDAUS4RC=p^XQ7;+Wv3s7{2{@MvQ&i?N?)9l~#-#(f68zlzu)3!`b z>)ui@qL_QYkqAEO!ZsAfT~gTA7GwZ_zo@TO3pi3RB=rvIggbyQ!}J7rN+DnD&*-bF z^46|__`$Lr`%B$m+LSQ71S7aws1~^#A)RIiZk(l?wtQbO^4^dCo&V8qhKf|Iz*S_y zXyE57GKJ2~Hf5BtkI2%UcAb1xhCc29nc9PBz>%pIBB^i>Z|U6unWCD?SW3>)Wn{`Y zodZg#kf}04XAGGF*EK>fVlGCRm2-|YGPP!B3?%{MbTWSxG8IE~P%_>8o;pichUjcJ z!^l(&(K)QT`Miuw?aL4y7?c*itFm;3&KkH_x8K~2YRL&D=wpH!b*B2a0zwgtyA(&CA%L?t9f@^JcD~8~8hf4j- z|G4}I?~5*ueqVX8%sKnY`whtrh)SXHgK8l|L)lk80Qpt~9&5MmW%iXpi${VhKtp>D zEv+%6>?v8Li&AW>`tD_>cwFbIfgJzWNyvabvdE|vmN5DAxR z#Yu`wUTnU}<%24RQ7B!+t}kQQJEujmj=QN$>^H8L;FTaUh(-vRYm)puElCM~ty6af zuc=D#cRX4?M#-aV=b&6V(YsNk^r))>+>y==I>rE`%cBQK?jLN2hN z;^5Vq*+ET(T&Ir1T{)=eov}hLuow=Pk(I(Ylo2b|;J*2&rd4G*mb|3mQtjK%+fF{g0hMxu|r zBblDx1uCz}TFZi(oeXiV&NDs03(P*DyTXnZXrktEQ}@-5jy@K$^|GS{{zD~s;bR1% zkK8wi&D^Mh&D&`nS3`Bb93y9+qleJA^~^)@-LrX{iRR*tZ)AGP{7&)>T#WQgg&*$#9+LS zh{7<{r)@{)EAD~^gmpmfA$V{f*1j?Y?1G>t0Z9^_-LV-K4)GsGW>|nBiiIXSM2Z_{ zsh5|4)`SY!56ya07c@UR&XEe(1&^Tb6xSWU+K664kP6rZk-}6TAA-{3nOo*X0T)TM zVM^8ji~*8ot|W=Mx#UrY2*N>Jp%@1$u#%)_RV1NyB?)qaxfqQa%uxe0rD~^|fZo*- z|JCm^J|>DOi-cHznT#yK%2)d^T>am!9C|Q5=}Fa8R1SvOzgayt)KaQ0lM~y?Fw8YG zmDej6Ot`r>teLTAc|?ER81|aBe4l#!;^WW$sgE7&Y%eK^BiJj3YG$IF3!TO-=q=HX zA?{H#v)T*3kc&s;lAB<3bIqOYdP&$JCV{72p-70V;Z;JBR>=zibxbl6A{%ssx03nx z+(l>ma2L9SqIRAGUFi7j5{8h!s)w14yHTh&-2u0m_e=QfMmX|s6WfBE7Y-xdCl#+@x*hfEzaXF%65roDyXG9r|K zy>$mP%Xl4X9*6*>8B$WsIaYWbvZUn2A+~l9PT>rE zR(KtMSdtHIIH zfZ18IxUnN3_^~~DzClL}O_Ce5KWe{zb@Y6P_A3nWmEV3kf;g)A?bR*9^C(^p z9Juap&-aM)b_M?g5ZQTzrAo{0E%JI;OOKAkU2}9{XmLp<-{m~P1z>gF{(wyOvD6u< z>z&ngiEv%FAIsBf18qI57e9+5WPfr#pi7He^d$TIt#GsYJ~e)pNA}6jYSVHOlBS5h zL;BgW03i=o<$;8ZKXbkD;Y)d-$7KO(XC1&#s_3wl$0rh(vK_}qTCHL!<*b8C@^DR4 zj9wm(=)oeCR02{{xVixCBxTJ^RlSLQsaQbfix*+Fe=q^Qq)+1+f)ShE3IAtOq5C5h zGEFsdi)Od$`FFgqSU!07)jQtyPWbBP?!WsbY(M|@cRqU0%kO$sB*c$5F(2yCBgMvO z@<@EoD+XkVmmrfXbk5G$5I9t>d(2)n>P&kwIoUM_~wiDdmAl_t@078UTFx$>Svn^1nJ>yTINb$Vg z7AVyv2MxFQyDo_s<;Iq~m{+x@iBeNct^UphwA zx%%d^o`FHe8rbdhq9fystIKjY3|*0$^Li737+}N8vAStSW47zljmB)(&p3%)vOxMG zFTQXBsV+VkZ=gpB55D20tM>%vhOL0x^gB1o^;frz)qVfp|LhNZNmSHo8=6bzdW2_Yg#zfC{1~tr4VMcftMcNUTnJFVU@8 zuSmP@g0Rv|x&rRUoV-?$cHIT72#w{zi$innAnRV=L!0?rQ5%rwU9ixl&^*1$F!7Sy3=>~% zPL0& z3H`w?GHOy6l4FptCnCd4AG7D0Z zy5JMr95$*+ivqg}EvkxgWs1$v%@C|Ls0w%Dq*jxv*nPDpgj(l$q>51kIWu9$939<$ zbY$;ECpx-mIArVHmGYdne4q0Cq5t=veD?Q_!An7iyj^xC3S@W*=@S%8NnMF^Tv#B8 z+;WOKp^uitS&$?|Ll#wCPC{pRDF~5!Mk;4`DF~7G%g$i#3@;@@WX!0;p)+7r!Ankv zOu$NA<@hlfUJ62FOqr=uGpMxZXb*>if)IJLv-x?kWCbt97;Q9-X|qU@Waomf8Dq4; zURS5UCn?Y3H}+~x`J?BAbR#FNL#cKYs0v@&4cn^SP=xVmicBrtRiLvgdbh6Vj2OLq z^+;wKC<-ypF6ilLkc&c~M(gfNArve2UVZuMrMCmXncnw6^L7=ekL*}bpONtr3KKoq zh|!0B@8|x|AB&N3YV+GT2Xl8g5}cG3PMGJuOih9@7!c7^+ivLU_M-Z+IHwfVuR(<+ zhBXeI;~aEM~Skf0Iqm z^~bZFo_kBfbZz-3FL-?PSO2^3e>T`2H6n3~^u+KD?4@CG%CHIrUYzjq!h&UsTpKVnKa1C};-I_Q)MfjHp}C}fhI_GI zq9&>q%P_2{Xzc+ z)1)|0(2Uo}IF4T3Akq6GxoKRM8#^Lu@+0gNgI)~1`V1LLx4#Vbf2m9#wT&LlL(p($ zt}tt0il;F}CR`HpM%?@8NlG^SXKYNUXoB03D4i()Xt%Cf$RJRKX|kQ-vd5hQ09xlv z6U?}{q6tZ3nD2Am=6jFwPn_U7J|I@MG%ybFisEzIfMqFAxOpVg5V6H6{FzNBGnKS#a|>b zm`kEX(spW;oFp^bWI_87PMfVwl6*mZP4EJf?t&Zu8zI}sS4b4UOJY_%1Som%w!gGy zzCFhS=s@*iu4HC>tT|ap_bFjR0uv&r%GAp0s{yb@Nrx^BUE4e80!0S0kN;(($EWVK~Qd)7jOXcYa>W6zy-m`tw=D?TcMrG=O|u4 zkwhX-E95~_-5y)QKbOSxCMEnruq!##SaY%+R*QAMVpG3YPU&K^Jw`cadu6BVU64cT zD*ZK^zL@{pEZR9J<@#jeZSP9ZcCI3kix=oKunToTlYqnLssxjY^eJwzHDlXMb9D_?7K zh7@j=Z>LjC*)x8rQJxwSOC;v*M+H^vjV_`P04P_EbMiID0(!ERM z%D%FY$OSclNkJ4hC>9oiav6>AH^#SPK9#1iLQs^U2|@=d{~?Iztr|WAMH%{vK78ef zA(8E&<#9Ga)Jjmtp#vF#PAe$N&<`zXCJJuwbD#|KuNGxk4!uKth6pZ^Nvj1_8Tzrk zo&;lEjHxhwjVeQzJfPx6&vGl{G4sK-UC1Rt04OCLEXrr8#94-E_7h3Q6crmn^-)RY z+XI9WS{=U#v9QehtR(aO;qB8k*IOx4sU)i#gsvuBCA_DgUR3xq{r2h(VL-E9PmG|^ zN?Mm3H3U?a=ZrvnXQ7$bpYjX;Pj}8$r}mdF-c`&%=xY1Z5G`Q{$0ynCx{r=f7o14{ z?0A{|i@>?eVQDnBmTM%9FQk%L<06)UPZQKjP|^1~UaX}>gi@|d)EF(In3Onwz-oAZ z^ADC+JxrV+VaX=&1&>X=JZ>U@o2B<3HUU5xFbXjdI$o>V1S2BK-Y%vkv}gWOn=$9{ z?k|rw?H09mcMjxkCy@Kjm%r_p;M{Y0ybZf)VRlC7zm8xzhSg#94{B$`ibvLs#?iLm z4h-;w(Kfo^af_y3IW&r4+7u#9qYDlaiqSHIDvvivv{L2q2ANi>JRUNka3}%if{@XivG_q!xaz;?1f*Nw zT--%zS&u%PQSU+RaFluKw&mKcrsv$k)5wm5*n3^Fk0(V?XjM{fI?pT;2WNQ?|T-7P2>6ZCZdfgY#KA+$(e`g zBa`stgTMUipYck-x%$31g5gG?ptQT?x~T}Q$%(;Tj1(=sfYs8*p4u8PoV6FQBLd25 zp0!Y@gqhKq5Ps+*&;wyc;Y5M9CAIPbyvdc_D{TQvH7{CxPY_TnOYPq&1)}Ui_v6q^p#@hm1K2$fSL$?0tCYVCSP;*0>U3KFM!@35(B0CfA#_j@bP3M zb?w? zwQmF>Xjf>}bk@E>Be}!qzh=cc1}ij@dvx+ulA~IUue!YW)dvkT0uR;g$73>)qtx+> zZ1wUzhAxUvJj487eAD-dk|~mDbr~WVq_%xQ7wm8>e)+FbYd2E0lCVIjc zCT2t+xCN`byrbU7ryiuQm>Zx?k%S<1maZeMrgg2+^Va-o(P1tb)4G6)by|CAL|pO|)4K4nU6W;j zE?K5^p;=jSVEHuV@pZ{EtqXPTQtTI!lQgKRwetlAqM)derYTaD>Y2cyUpN>ftJLr?wYJ_} zxr@`5?^Ctk`6EB^<6j=CR`VyWYAu_B;fbsif(8YKE#<&D_bs(omij&{ITMkB2nSW) z*%}tC`-;0YinXOuYL9MV(Ig?5)7jR7g6CuBgbyJocg_#Jg0H6ec_$MHL8@9mG?Idd ztfV*q3coqDxB<3eOo|3iIdyW*q*K-UiZLj9yOZ>I7Ocw+wp-{pN#mm^qFJ&kH^4|p za-)MHaC7PopQftyqr-#8L77j%tffvGKi{2nE!*2TN!5nZyKdTV<(nHQS<#@q)zqN? zXDyoB!Bc+}sV%MBwR;UMX-Dq{tww)Dk z#jK`K0XuRIFjm}le|1)c(rB?{l&BT`lLRihnE!O|j#@ibD|azNK0z27WIZQz23C7491VGbJ<@HT=})JsSizM?ShDVtfP@V2#p}`whKn1BFb~ybEL8^f~8Rrr>I3W z_7zN&C~KFDPDNzFXruD45%0H1dZ*%XjYMH9NoDPl(WwXuIjYNQO)6`bj84VV9*M$6 zGT)x)RP4ErqO8lBdxkkkz8yiIM&G+SdVPa%&qWf01>0vs-a21%zgnZogljJMom(k5 zrAvaCh^7J^m8Xrc%vDFv_b56lks7V<`Xr;G3iv$8qTe^BMgDk)O_jR-U4#SrbNbsX zyGEwPLuRJ6$6;D~2snpW?Zwr(_Ey}Lk(su9UqtYq|Kgwg>hS?E=pEB$v?XRIizcxi z5@=EJIkn#fNR@DEPHAuBs1%wlw72OyA1g{kU@PwT)UjgUI1!mjECeMY@Iy}?0JAz^ zoC{XOz-Uvov7)#Xwj#ug&U0)4%n{JDD8)UJbImzwL|{vvFe*->5$JmqWz@e$Ar_a! zFl&i2j$koWgAiva85i5c6$CN3DsN{ycga!psamRA!6;s=erbBMr!U=IZvGo?uYAg1 z^?aR{zm$@4%F1yvlupS$FI4bpJOYv;Nr{!G@A3V);k=d;2RTj7!Sa>u( zB;nUVZ`?P(^zFa$J3h74HfUJUg4G8Q{{mV@ei?bc77xwQ`{drZz*c%%-sJKdz!BI= zPs>|{tqiriRoKc<%UcGkZRQrR6?FBs_)mqctN<)1x`D}G(2o@kA{40wu32fdJa{{V znz)k8*vfEsTEu#7U5GeJ?D$kM{(G(>AY#gObJcRs3<41eZ_|YDE?AyL*{8N79>xVzTZ1GKevco!5 znNGL7XgGZLMdKgyQMn^tw6|Fh2_35ap#HCRE~19ER0@Gv8oi1so8O78HVfR5*kOHK z@LmFf!n?6qK6rW~k6L8IWjox9&2B-gAr6S}tK!Uo03Ow}KeyBVhs9=}_unoyRbS{v zUh}j+x6}SO-&_l}*)KL#Y1T$w{kEl~!9ZT(R7CyV!2> z`JWcss+s@-)$f<>bin;$o2d`Bo5i*&P1}k>Ob6t4+W)xN=4yuRZn3R?|F-%4vYqzF z`R4n-JuJ2r0pC_sVmdyz)Bbg(nm%5|+tjP&n{Rr0mmGu#53z-Zvv`}>#I-J)SZbF8 z`G;6+m+RCX4j!y3GyD3NUVKp|dz8^3QDVyiwekWLL2pMHlPe2$n=SBLWzq=aOZk5t zJMy4&jB~q|Suk8j$DgoMrW_J|GpSW(a9-{C4jb9ce{DU=mM1FR$8p3oa3zmiDUxNO zN-*ZPOuj2bLZ;l6ez|hOeV3NI*!&k>SZ=JA)9wnTZD6qO%3r4aOXZLsd3S|%-#_rv zKmT8SYvK(Nki%0M4rG)u!w2LE=w)T7N9=@QBQa(eBYG8pcf><$CVfS?-Zo~qun&fy zSPoxNrvo78vD=HAkq<2@(ge#q;@}C46~&gAU`!8&^Va?tQJQ{e$E^S&8I@wJDB95l zk4RAq&a*?eQFD1JlnEd`J7i!uK{?yOj>N>+nsAj(5lo12zeCaTI#URe1){+ z*VcqSW;U6?KPMB{w^85&zdg9&yr8)QsekOUuR z3sp)g!;c=rn71o5|K#(W<}e~IQ`gJ>g}8}MA*-QoLaA$yc$m0q?pQb-tHmF9<&}_{xj^ob z3q&Rc!M33OLxJAi{aTn&ynRZ>EXvwR3+T8ah@1_7G9P$RIUj{e5Ub)eo46 zHYfL)GC;H4P~EizSBT&qOEXTfBK;8;+^-KTRmPzWn#v7pGV~vHO41@N1VaEuEwI;)h47uS*PlmQ=0>!SE*0j31 zuT8u%rb8oTvN0W;D3gup;6Rzmm=4W;sf?-k{0ML{o4pzRVs(mseRillLPi9V7}eJr z@`_RerP?TYq$LMUb8X2-%`S5RVE|KP8`*_3w8*cEFm|R$zD0^;@L#4E99bk>-Bbnw zNC;ISmzUJp3o)Yf38YpLRD}`uqxKA2Tpx`rPtm+QgNvt6@BfA09bl)PXR8mb;FtV? z2nw2*Azd!=-_paU;Bat(8Jo)Ni!ug7{p=DU@m=Y|slmk_+!n_30~)>N9J4;e$mumA zC1j>RL9ftc96IYmOa#@EAI0hV&mvYqyS+?ilP2NF4OR&ZB2@LQN0qiIp8 z*h}v?2!w}5GqZ3A#T6?#{gi)=Ni*rnh z<*8sMW)Xg647DrQt>&RH6JthqZ8gs_6L*=rDu-c^x~p;+f|(dY$J?{49EM;fKCtDt zMt~(d>OW|Z53F(+1_;4M9R{`37vv|!0P9|!c)W(k(C+ z_@mHaxML4t!t#$9%6;xX`|uzCrcW$2{rVEOpd5vJgu3jH1lD9 zU~>dca&W-b*jV0N_eJuW#>HQjymPBtO9fTYce zOKYZQdI3rywg%#H*?^a#HM;4cUI02_w;?1!bfHz`Y0dOhFVK{uMOM4bTKdJS$Ui;S z3*=onPLFSt6Vt_-5<-?=blj69n%FKX*P8Q``hAzg4`S0FF@ggcva=g8?XgB7xiyQF z#~M}M>9NLEdaSWEo+^!cbv5O9%10h+?2#X9Zl=c?2dy4!Za>arJyfsWlDSF8Sq|O# zjONh2og;+Uzp57>nA0VS+~k5pgx4Wub_uc`901z*NdZ^|Dt;Nwm=@` z`P&Msfk5^9Wjjt-3+m(gZKVD@T|Wra`*S<(kMqs_0w@Yc{e9k_>MuPoD5%f-h86}&Ni{f{8g#d zf!^%!Qt%$RAbP2^@f@y(Rr=ajFoV2`F1RNr6Lm3&>pEN{yuL07?GDZiye9`tPiK^v zi7sfbTyO`f5(x6PZLOXt4o!1a1TjDnW`KfT5*&iGRz+|FmJJa^$#i>YY8DqfBKXiB zgAoAQcOEN>59X4m)ly;V1KtXCJ(DE0k4pk)Kz$G{&~d%)@WhBi;gVpu)k>k``p_9G zFAjxE8g{{JxwY}He)LfTSUfFtt(qz!wO!fv)s|1c?*+-ZaQ;t4J-&@#m}NqG>^_J* z+_CtSS1qR>U#F#Ox(`FenG+%rKXjOOYM}sk=3Bi*zSSEO0NO(v`mVPir2~0ax^y5n zIc<3=(l$5wdH>(XedzCoGF9`^4QScv3CDo}Z5y#A{~ztJXd{)}B*ve#ob^Xe;|mS?d%DWlkfjj8WMoB74_^!wT2qeysAuraOjOU zI|P&G4>$h6)^k>1tidFbz4W$LV{>HDB|ZO@F)7B9RY1E#W>nY2n1J?o=jaUK2066k z=wM%Mk6zs!OxxBDWAwqa5qbqR9(HTgWipllt6yi$>i+CtHuV>^b8JQxwCct#C>d%F z9TTct?ccs8+dlQkhFV>H;@It?rtQNkMZxRw5un(IS5ovoMP0|~QF=I&IrIPBBL5$% zOwwyFsY^^z$f?V`iL5aKwbxP6$p^plkN(I1b0~J@+;+uo4BRNs5KF$xm_Ccl-6YQ>5}^u%(|=z9&;@vNs{^QU}m|pu%ctInMwcy zlyA=g1GzOeLFK)gs=7|4<&HHF94rwYm#} z*BZD3yjBcS5rXS1NUiRIXazQQKG>-C9I4e^@UX_up}GX3(`kpMRxg4mhtzIpfGO>Y zqScF}vT#f9una56Cn;LpCF%If&pCo4uH#UzOCO ztMbXYY3vJkoF!}Jf3t2V{H=yM8nxDH*yd~5^d$jol#ih??N5aD%f}(T+;@cdTccqe z+wgyxt)elstGGC>FndGHrdZnC#%;#XCC$W<_>qryBbgrS1q`uftFVhrp!1uCHPdsw zIP6i^sYgE;cWshP5BB1)#9qZGi@@ac3Vj0RHe!!b(P$fmKv&)n?k+@eo&P4+;wiM;eujrs`~w7Tu|WB%DG8$ zK~>2-%^HQDCfnhs;es`8AC$1?m>%lYDx2`}Ks4paEPe|fDc?kHE9pCAufQ_p!c1lf z>68lF=D@e2jmvRCFqO`#!c$8^>$Wmq-37blr=(`Giq)B=X{j_*lkZB-1a=veA|Kf= zS8j9PUEwY>OA~JhJYaH8G1K~)|L{xy*0)FF(isNZ%?hbTvf`nrKw*ktjufM>gp6q} z+hz%pA>wTzDA9%qB5YA0=ZN7zEyts{WhQ7evN$w64~2$eMbQH;h_YdLCLE^&=5ic5 zb5@Fm@IxcZ3%7`ZTXCSWpy((Q1PwXbduXpfdZ6$~99mp5Us39tD2Ta33bBQtMjIxH zLo(6<=#HTabf5CEHQFeWsD~5D6{dZ(l2Xy&r-|NBafU&wDP3jNn)&V+!iQfDZVTSV zN;2Ob)J!2;&GW<@2VV6`GT)!manHOp)&vPE?|3DdZxCqWG3*qQBn0kUrRokzdWY8& zf|`r+>p9JJ^nxgjOgfaF4I-sdmzF^5UMcs`=hCT=JCE6QJTKjcPsuu@^Ry4y$&8iE zf%K@!GseC>Q#C!q+N_GpaxE`>_&>58{?8pzHR+psDfBcqN8Mj@l2UU~a3{Py?tw9QiB=B%b(Ba!5 zn9pVpwEHLmp!Y(ucbKTNP6;pz*jzxM(`ogW9fO~392b@0pmdfO9j#^m^ z7sA?(+~jG+Lj3BjT6+{{8j05W9l;)qdUG1 zl23E_*DaQRA^DWtTa*;s&bV!RN6%>K9MF}meI=q)*h5T9*%!>k z=h>ytGe}QiD>_Z0{)1EnJq#4sO}j<3nXknyQagXri~Kt5hko@pKK|`*NG4zM4Q$*LN+pbkw$e5jpS z<$f2i8CM9q)Yha7gKVb=v85&f(NR?ip{c`kJU%RGf1xsoln#rzs|XeK4M4Zk{@hOc z<9ze91yoj`G9zUuB!tcT(@9MGL!;zP=`||QIxZG?Qv$=!Swq;y^zEGw^SYB$GOX{JAf>_2uOeQM+M_>7~Z~2AaK2`v<-zx3K5hI$~3YTmO zqW}nvy>&54GdMv>wV+HkTTD9qvj=S<-a zKS3(Y(N5LS@>}%lR#*p9!4w|QSJa$is*>Pz0j=gZ%CD+_j7Gn1hA|014f`5n^_nHs zShyrA8O#o|v>~rKYKPU#dKH8Hnx~@j?GN2SwG*L`G4enbb3E8ZeKmb-mLfJ_A zljMl?p)0trOwrsq7gB(872H>*Xl_ya-mEANpW$d}iY99$>qBR_5A+!}MRNmS5P}up zMkmkC1h{FLqDktFMpFDg2l3WqV_+6=jSkyZGUp&;isnWK@l{99cPFN3Zg9%Y4^m&- zj-IAy;>oHcC#u^*jG&sb)Q(Z5*`Iv4-}U+7eyF8%kdPm(5R4za^|Nq*q=g@QxF62w zHJhS|UWE3cJTpb}ut6Vm{Z#6}9leP$Mf0>|M!AtpPkNf7xh2U)BbgrcJVle`mGuLx z6_9rHG)0q>5E{w!s4rrQCLUlOJwL!&0cmT-6ivK6?Hegv3JxU26iqOt@=>qWOzHMC zMH47QTQ-sLNYshi6iu9sG<8>NCS9~ZQ@l^nBxiPgJ*92R&l8>$I~IZegWIK+oc5xj zC^C<$#bzhvUYBQfoDB6&;zdJErN3zW=wlkXFB*d?b}yqqM&^!g3jNKrOgowLHepi(D}Q;4A7y_rUiGwT^SAp z4_$42tVjc11j}ro6+G|e93?m}l7+t8aQupziw4{!O9KUZ9&bGOpv1bxkt_aT|Ck}Q zTkal)&3f|Y_qD}fb95erU6{Cc3Ay*(MCbM(kA%-Rj?Sko-$J^K&j0*7KJTUf^y5l& zt_!sq4?X6jaU?qpI;xvjI%~Wb^#V!@#VzgBpr0y@x53C$@k-f1)TqWv<87F^qx-r8 zF@GbNH6BjQ_y|`@7aYoV)XxotP*<907->U4b)#QXG%y?2%l|cBcN}EO-c%;`<0?p= z`MYmAAyEk(7rEO8s<=ueNf4GYQIcAV)D<#M)oY{$L6v-!YHAX1z*_G&^M><<{U~aH znYW7fPXpO>{3YjM$! zc!m9KfABge4E?9S^q;)>)#z5~w?&g@cn;3v$+l>49#6JKVcj;^XUG-}O$E)~H@NbZ zbp-XJGCe1Iz}fpo6^S*BAe+`&;Ou>)DR?jZARH#9U{hG&%KJ{SM0XaDq{eJVP@)VB z0}?w>Bt4qwn+7DFl}iqf1Io8&aC~Vd8b&+I(EQTMStv2e&F7bDbZ|b|SFF5zQ18KE zFEa7lUmX4Nzf@s{%6udw0h>Y>0JXz~DnMQ989ZoquKWvyWy3zpcGzcflH|f3R;yH% zJ<8OY_UAK|_D8QsZWiVYo~p8EgyV$G+1bAUjtc}hRpuxNn~q=m7gG2Wr=xW|#lzr4 zBB#2N89wP1M`cd>c6w!wy?JLWM#fI61mWy{%iW&-o z?hd__%jcE`{<)xyuRvxOL9u3GLy&5y2znHbtg+F8h9KP!7c|OE6a~rnQ!1wrq;3kq zBWOcen!5%waPzCh{V0+BRl;HBWoEh5SYM*M_3oSGYftVRgylX-D#A zTqTS~Xm5}NrY?yaqXRU+veYGpt8UQ})G>1O9oxB^UzG3B5uvN_!R$dy+TJ6{u=>$a zeWqMEIC_T^PpU;-lG#QnCLm>Yz2+$TqE1d!PZ7tEzoFMhzl+K#-QFl#q8pd`R2i6w zLwSXBIBUb?&w7GB_8{%G_qu^je*f@dFUTYN%KwZ4ICN5SIWV1$VW(%Feo#4me6b6| z$(x>*tg1c2l4HfyEG#AXGH=44q+(%M^&E=8r!<}r%5jZzsoPbhx(51M?CeLDZxIV3 zzyHTqy!UJV!lxAER~Kr{9atII??9V_(XowI$qMy=YAmQ92yRgN(+FmLx<7z6lYlNt zqY$aqda5>e&Myjm)(SG1bKL_fWE6ODMXwL|VO^FV`>2zmXdISZm0y{=HHHMJ89^OcbAc*z zhpeIzr2*^c96jG1tD2+1QL3`|<)iAk=tvYrd9=$K5Y@h%MPr}9)>YJ)e_2y>`CmQO z?NnU#>SjoFwKM>|2zgz>;*`8@gLyzw4v$Sx%4|=`EqsW@b};+JPozXzo!_U;%FP?9XreD! z%uW#;ndDUDO8ZimF+_WgWdbul@;J;fb=ld@?ONKyxhosQ9}M<|zjT#*#$UR6^q!aB z^{Td7$$tKmu#vywZsn_LFaAgYDa()K)~hdHz4UhZJ@V7aPdW={Cg!x-x9?uWjFnEi zEA+p+cdwjq-=$5-K3-UD3ZHgYC~YJ#x+`zdNBmu3%<|w5E+75ru|9H(S;(HPRn)mL zjb5>Lu$3{dn0IB>D$(jDp`!JMMVH-jxuIv3SEQ!*6+tRT3Kbq2{Jf1IRhkO|93<#R z_Ux^oN_j;(buJj}*_g>nw<-&YX0dZb0|`SBxcJ*uhrn8Njtd^)`S8%_1e6g!c}0Qf z{Me`c0sJ>u@`x!ibxRx^Un#J+HEj$9B-cds>a)~J5tBq51RRS;M-M;U**N;BQ>EcU z07bf;DT51PupvfheOGU&cBvXyzjdIRuVqt@WiFF#NM>}^q~+MFcS--brt!k=0-HqED>)hx0;B`VcG%rcmJg0z<#&u_`J~@I zj?XL~=$3+^S6ec|?#p%@zisE2ceU7kTrD6xVX7-*+>g0XpZdEQ8ojE$$kt)bq)ls} zzJ0nERW~EIh=%gDxJAjfyJbIfrd`h<8F&4di-4XGhPQv?8-MLr{+CZJ(4uCZ9a{7X zkjaHSgY`Ky(`Ch>vKzkoE*PWcFiAqqw0&inG#88t{vE8PSgR1EnRdaskcZ5?hWo@N z68-Df=*cYPsk__Ims$A}Wds56M)xU7GpV`(kFHAw3Lm|$2OkSOS)iK^-svlJDtx5z z&AvU0eopaQrpSc9L+KH`Gd=ZbuKe2hmD0VZcWRpmi7{MVTuHp6Z7YlvzZ6MC&MH~> zkYY`7FN&m&3sYj|wuW2~$0Dg?a^Rj~B3JtwiwokCV4lF77`VKsB{RG;9i730OCI2G zOSTtKDpNwh2$Ez{ON+w>V?Sg~3<*{QH%TVFgoz?D0f*Bi_tt%yBpE-HqhqG8xY3wE z-zgjvw8$mFkio(2CzLp@5J zAZP``wevM(JDhPKU)8t@V4gtI@&P4G4QF1Uve=)XBc8zkQ31VGATR_FILH37o%R>d zHueWyD3dVGXMmm4KopJsfy}z$k!@+TJFb>6fwlR`wlv_>HQzCY3K*u%&oCdt>u608P#I4zAvLvH6`PLF+pQ?1-FuUUblC)7l%i@inpb93|>BrU+}4s`jg zB%uL&oE8C+0@Z8ZcgeuIWPqf*jTOYk!z^W)MY4dTC?sv^g`_OANEVQEjb4*RH%QlJ zkt`r7E^OyKlas#)kQ7Z@?Ui5WdlVokCbPF{7LA#4l>#K)QdyxT0di_MH=EmPjIck4lYP`qatK)ftOSL1D8TajQrF!yoy{ zmxF{_i+dfnvQpot278$3YrEiSGtipEd*XtF9Xj;oTyUU4M~VH4AnFRe$+T&-tec&T ziWwadYp5eJKeon$QBzSs7x+x!Bd4*jV zBc#*ueO~?C5#oT97($N})3Ylz+@?cgUjzqxZH$-({;i9?Fy`Ti4>U(z8flJFZP z%en_oTibghaY&K$(Z74dF6t85SC>8&;x)v*z-H=Xk-z5~6E~pq)wz2nPX(53p9=md zoQ>{N0T1M+PsKpC6t#ji#s+?|VT@2%!om|E3Rz`4mGUF9WwIS89DMVNU<8rEMW7!1 z@VZwRJcw1cZlrV)-Z==<;`~|4S*3@?eb1mG6d|Fq9IC0Ay8#YWjpPU7M)Bm!xp6K_p7-~$FF}s z(&;P-Dd*30dsVAD(lR8GOq`BF&;^v$tL2;MWF{WtZ}LNI;o%I^k86L3O)Q1HeE_-- zu^1Bb=?{Ykn{2E5GohZXnlq+XFC**w{JS5KUuJGudA+u*{Cj`soB#di$1jNN_fIMgmiw0@t;+rIP`t1yd51f@Cc#MtSJnwj-FJ6y{(-(gO9n>jTE< zUDIoi><}gRJX)3rv(flrdf6iXGa&XnlRhQT_fJ2 ztBdaLdaj~JPPmRN0)hdQf0r2IH0Mk&bFT(-rWiAv@KQ91;_9L?OL`Jeki?8C;H z6{dE5Viqz;a9HpZCppR1s?qbjVrQt(jf#}c8{9C;@hKw|J=S|NR8g;(;HBBna*S{d zoIDZ5N%lwwmORarPO#KOp8aJSS)QV~u!cbO_4obZ_y4hR5=u@gB+n4&dunV~RhS_G zR3B4GD4mrH4ISz?JDQ*cmcHU?yJX`D54~M$Rx<<>2tm3cWy{Dz73M2~P>nP@ zC@}*GWlQoy73PBb<;mCxL3`D55hXAd;RJf+MSDWacHqzi#sUu7?S!@vu}_yUGP81_ zCk7fD`jZu=?a#?zESlvx_6E9pkFuEd=43GTsT1g`O%lheo?+hhwX`D>G+HGHWbe#M~#OndCz0_kL*z65;jCswC?B$Y>(Mg)QeKczul|& zRqWDwSKSLJwSDMT$$}?RzPmoWQgRt#Q2IX6RKdu27|=B`Eq1I}aKb(%JjvLtbixN% zi4qc^wRs0|V*>1yii|)ffgplCJM9m4?m=`{@XNHn5J08J+hfs3nCMfDI zuP9HfxytaQmBvkEKxQqZEPJkHvoR$;*g)uZdO3WN8JvQX+9lxDYzJF74N>RRgz z@^S&|&#EvKVl(y7uRbfwi!qw69-9ZTiU3wgS zyRpPam;-UD%bJA9OTNQdgoa8`BO3oh;7Tsxr}{9J-Tzn$)^ zhTnVT=>VUM6EQPjelOnY3Xh4S+26w1Tbs_bvRq zR$){2mE37mAk}=k=Q#&nlTOuXx2QEJ^I%RXE_?S&SMPY+^KXA=a7swdczUPmC%^I4 zAAD=%RSyTU@w-vhE%ci&*GLG^;9;T?%YML;ErKkwr%`snJyHySS7;f1_HzjGb#}qS z4r81Iu-Q0mR|wK57s2D229JlvNQ~ytG|EMAg;q$hwV0Nqjzg?SqwIp1Hcf^rtcY`M zSENxcf?M*05mW;Iu7(D_;$*uh)zDY(Q`WnUY<~C zS2O-ETz?LnPKHoQjtQjB*P)(xaoMpC7X{Y?pm^g+)n$q z+_@LBIxw=S3_l{DOA5fnQA?*IS10VCl!ssL_}jyISC0R*XjOJhan}79tKNuK| z);f8Ij+giCP8<5Ga3=tcDkyAUnT~P6;{pH2G$lsrVI)G@vy zoOCkTvB`bY;ko3Ky{?>T+2xvOzqbX~m z`Cl*^s7aW{x zN}KM2hYcrNO3eVk-DXAV0vELTn(*G%-C=ap)kScN8V#<9S`Dd+%fp~@3B$)7?P>rLxLsABn&1H$?w}<}eo@<)C-6f)9-oZ7KeyBVt*(>4x4gfA zb?JP$o%YB1<~}pv)5CzaAh@xA4Zi5b2`>-9f1WNhq5h0;fj{4Or8(^N8CkwhbNrsq z{rkW8e~o*2R(Lz+)*kAHIbvWk989=6d!3<@-KXs3p|J8p?mF`shI&N^-60V;0<<}jIx(^TH(^jd7_j-3*pkyso4GKV0_`^Li}`A zgSAJmygZpdt$u5V7)r|9%9`>LiR1=VcKO*D)!3?8Gn;hH3V+5K)ZKDy|e=Gnc(cIXd$aIAOjIoxYupWZT0(qL@2K_5`y+ zW$y3m$nt%9{~d4tv`>A*SXysz9v3x>p}?puK4{}0QvHVa*;jBasaRa_SZc#CZA3%t z5EQ?lQ=qk7T3=-dQt$hUQJ;nMURy6Ao`S7-*mGhC^bd;2I=vn_t%o8JOjZ^_3@?SE zifrAeqM|RRilnZB<=@43Qw3x!_wL`7Z^OM)xl4o9ykO6wviua8I1fs9oy)9*W)ShV zLBynj=o0vI&&~~~Kd*D0pd-ZfwB1K^L`Mb9$u!ac^k!=2;aF!Lrf$BIrpG2<>PNW4 z1=e~(hGV_(+}G`&iVh!ibg&g0hKpXdZlSy8fYu#`7e~;(YORNTW#CB>M7^7q!kkuC znihgIg)YdB3{6yFY>pbP5Tq$Af>;nJ3&4{Dq9(CNAxKl`f|x*q&J7qYB|2stqppF=BO#DW!b8x$ zo#T3Iu0kv+K(|YxP*TBjI8iofGfC!~b3CC{Nq#ulAlswUQSmhoThuMec`h*-w3W=a z=ZLCF^8!%$-Ad;BgGprYQ25(7n2^*;RyPQ)jFv*8PF-us(=V#-&|#;6A{%%**E8Ru z;~w{hp&AM{%~A3-FY;E@;h322RN)+0^>`b_h2eL$G0wH1f_=r1@=akKv#kpl8g!5T z;(mi8sXVNMqiUKBdT{C!=62v-3~+LKl)+Zz z*$A0x{=rlLX@B!c17SikbAUyVuTk|Lx!`dmmK#_OovbnjUd&M3kEj7)G--(MQSA%v}7jq zLP-h<5?@1(FzSr!b`d_8aN#6kWDC3{|OFTiah=Z0jxc@0UVf zx>rjJ7^Hwblz=xS1a#lAtFJp%nsXhyz$D2)aXS=rTcs-2q3CNsWjCs0w`X`np?383 z9BtlTv^nh-wKi`q-MpRB&CmaL{@v&P&q&oPKTfF#>Fb=7;9cRl+T1fT66azL1lwY(Y#b^C|`p zl}9D8xjNS!7C>rR;E6$X&59N@*RAVJKlcoyw_6+8^<>e{O^uE0wHz_!t({=$=pXvJ z&-?CwnvzRoH+;@@97-Xv1xRLnq*IN2EFmaflbz!p^-7Z22h*l0nh=!alK&Vl zi-fW>0-z8S&&mWrbYP^N>%Az$gP#?C3}Yid$Ng@LtHKJ2Eh${+jm3(h<6IJ4rTjH4 z$y|w!t0W~1w}abADy)f-EsQxTNg`^#CdzuPngV2`nygPpm(-tMA_P1V$DA530~ToDXD={U_MM>mJF2H^!?!GjzbVVK?m8FjVd zWnp14Q}<)=%=+c%&eK=&)z$ujii5DM{1tN9fLO&P@A@VtE>sA z{fp;c`HnC8#M>%1DDW3*1gtALUgE51_9(8FqBl^8cGNK&S`TfwYo4ky%}3r>b9;G|3tjad z#f)`H#;ARvGFD|Co#nc1N937GPPi(({K%~I|KH#EM}PQTV{X)BfHKYU=oWWoJH0>b z$tH}n8{DYYpU7r9BEX*F&a-aRV7ylPtb4H0Y@-LuMYD-UJa@iaw5r)ef&KY<(b|o| zlw>I-!D#Hx#F46IbGO{0Z4kBgNEvsXqg+u7UNP zTHT-h=A`Gj>8UNvH^?em5&rhomS#6<5Nno(Rd;!YYfJMz8bF)T)sp9}fWAmaxa?sm z+jw3o-2Ldxercb<3ZQr8Y>qly>ZO>obyasp>UUj-D}mg-m8-JfRd%`TFI|ca{i45Z zTMvIJ+O2z8Lb$k-*N09)Z6E;hiK1N?Aa@3!-w8q11#BH^0UGfSAhI|9~0fDg9C9iONSPtfEqHyad z)otKb?Q*vey(*HJ?L$=SCbORgP^P~c z1cQp(F0#_=4XCZ|>uG6>b2`YS{y;vJ@+x|_`M=11&*fm3^-c=$WOxC+ z!j;j~ z99FTeGoLVdk7qvNv%W>2gV9rO-g0ct&9e0K6U-n(ppr*H?>=qPhc7UL4Sh&2^wnij}J{o58F|$IyLZHFm&bFQcxQnze;*7&mv*cNj z!JP{p0U0aJ&KeZ}&5FF~MGzzWaE@5R?hn@$l%Te(Lu@ZiVQM%sG4(>;LatX`G|kBP-`ot8?oeQc%^}mb=xookw09m zqN}B8Y0Obgqj2(9v6Mrsy71kNx6}1&CKpq3m>VF^OTtus8% zQjZj*kgCC4a1UZj=o=D+VJ@e<%l4INFc(Co3%pVg>>HCz4d#Mi%wqo|?kFyLyP}va zW4Oe)2XIAE@UZTXYf^*xitwO}3UkX5LDaHwj$+!JGjoj&NLdl&n#7Vqa8%t;Er`}o zz0=3CuHVdU3*}9P8&>h@&J%U=WI1VN)h82PRYiu`Y)W{2P_poGR6!LOM;L&xD?&Eh*FHc%^X=i1Sxu4_o z4(iPjxHi#x4Bc#36rE&02Ck$Ioj^IK@twz^=~b0OV{oW=ejIA7x$O`Xuc}CHmq$4^ zI;f>Mv+9eM$Ih?hX1l|cL@CE+Ewh9wNkZnn=57Up&EJDHMQc}*`R<^%96y+A+9c0P zQWBbW^b?rt#f?6#51j^g!9e}!g~j8v)KSXOGyj;1TYax6j4T1CyM!u`q4|sdk~S7BXbwlS=p1K8=IX5 z4F85dL$kd?K-~ydPQ$Q)&rZXzfzM9Euz}A`!{ZouHJEosyQ0g(pu0y9rZztLcm8jD z6Q6XUyEN=`p8fXo>}CUI+Lh&lk&=00U9XQ};2NKdcxJQNznd=fAN!8q`GI#wd7Tz) zJ67Q3+k?#tG%Q8%#0(9)(9s;)wieLryCCGeXusS0n5*VN=BW7P9<7h8_?)z9hEQrrT*`rTpwTu+N7kn*gqNT+B~98OwPjR85~!lTM-~O>PD=W4yGpuL zv&oRtxrjg#{e!Dq2#=i3MYGu?i4~`#m&f}LA7T>?hnFX9?5Q8o?46{|9CP1a%suTE z&Bpg`jPIMj_$$6|y!-(eFnXt8ukZ$H8qLy`K+9=-J-rWmrb7WR@uxErs4jmP81++? zKcGKer#q~5j%XANXl=V6+PFbk{($CtSgS0U%O6e~1k=n3qP1Eo(X(Jx{-8x4NJoMy zrv24ao_^>(3Nxgs?}$E1O>oBLY04k=bWT|QaPhDkwPg2E{vh^Q4#$Ddl{uxMwmPr7tn{@`f!T>ijR;9UMt$DUq(-dX_`aDDSXe$UT-?=6N8=;5{Sp~D1I zg%3kLzg74!)bg9dhry2D96lU;Y<=H+njAh1&Zo)Y!*IKAZnzz8_a$oRfyQvVFHu96 z9BB8wzd%Ek(%|^y&|vZDymr(DoqS97us?M>d}F=7Pt>KU-=;@JN<~;In34jp9BfEE zPV)Vm$;)uQ@3+FM9po&tyV3~@8%YXQy6hyVXp0}rVhUv5gDj=P?m{o6i7wKnT%HIv zq-l8$HeSAZBnTkh|JMuBHwPOS3X8d=kKXh0yI$3ZLZ+C$`24#ihgW~~?yGma?VXEZ z4(v<#Ccovw|IP3D%%}>|ns7(@N{@(Atg(rHBTcHg?Uk>w3&N3yqYtDH4W&}clE2U+vx^J=a$tt!GVy93WhVP<6?Z-9ag6h>~ys97Vu!Zos3A@eK*E~f6Rv%;> z=?Ci^h46c1JN9qu-udRHt{9;vNnJ7N#YT28eLJ1v%pU9+k4*OG`S-qOQS8t2?@gv@ ziv79uVdm3rQ5%WQH9)sh1N5Qye*T~NlIXJ43got#;jrIwW)FK5wqStBW&L$C!|uqA z0}5_7HiA1E1*l7G3vc~OXOyF{KnB)DqrkIok?6oW3ig;W3-gN=S8EwBmj$b42ArAL zKhV0uyw&F@ipEw%p9SlI*+;RF@lbh1x(I%bXqiH?kl-O4ns!A=?%0ZlEhibVj{@MS z`aAKfwFbx}cbGUOF2WHr@YF>}lBr&uJ{GSe*4*?+N)1ps`bIn3j%%=TS3i8RIakBl zqz=znbQP}oG{S1ir)*@;8CqTbUYIk?bmRI;uNUGg{THRWb0mNJk$f`Y=~b2jpG>C( z=OAr<#J6C)@;ko$Lth%WsG7Al*gAj?N6G~`ezOgYa zm8}j(rTY#+YEnN(^d7LaLAZ!I6Fuu-YY!f8iQmwiR);&ITCZrN>VvAaXjJG^bNaVV zy+o_-RIEQvk?+Xc%$;oG@utm%?&Xrhz~$W9dRdi)1mh`y;VYUVmK(OV+T%V)C3955 z%9mGPzIy5HzfQq|%0TGtKzy$~FCr_qe;b6v&#i<#oB4s4?V(cpgrDb0MC(D6r zbn+g7%OCx+_xd}=cfA4O8an3QK7aK7KV+c$gXsMiOOMON7OkT@)*tO?aHjI6=TK@Px&fGPoP-dj#`Li9tu?Jvz4Wj|E5vHPjFed74+ zu`+CPy|&bVzl#d=_)B{N^*OW~z0Rj-AB0H~AOGyOs933T{ zRVrB5b;kAqRH?*J@}aXz-LIe`seAVr#@!0mDs`nJsX@DMsT2RzqZ&wcMye7^y5TN| zZR&CN(KMyX4&@K_A@?q~@kyULi@#YEF#wt@7f93<5(?$ZNiUw5j8sg}f-Ey@MUEka zm*RD#Xnyq{y?yzML64)cj{9yU&_8Vf1VAmEPACIuFop^TSspp8o@q@m>d4z}Yrr?j zILJP@mbGv-XQ!*A^J>LIb44h*X2pzy1lKZJJhf{i4qf3O$2E#?p=gk!HANGG83#cz zuTd>yRF862gkZ)&f@vAkDB43;I0!s4V_HVnO#5r9q;oJWuYCTNAN+<|j*3FmM)kp! zjq0I{mDpdr#PtcLSZ;M6+^f02w?*}x<}n);A+(V&Fy+~C;gKUt=bcPSL$@y@_p*` z<6ix?Z~gBhnWo{GJCPn+-hPjA(YvDqY|HFzq~Em8&_hOTlk#(f0!K&*y}RofH=Co? z!S?7Jtq!(FX8;f-lN)hM5ixgRy$S%_pf_71Wi(2OOB}zZ0szZ|aLg&|G)Rj&^&dXa zAwBADQhTXBiIN1}URlb_LhBH$%MnyK`<}fTQpH~LJY5f%HiW-eL{V6uk+mN{LwMTYyahkPnb|!$O%y}kVsNlwMmr3NxI!@=e$n=q~}sZ zlI!*a>UY5?LR>WkaZPO@CXNa=jrc`IROS^K6WNKNOn<|HtOyO(3PNQ;8gUmqqF7O^ z{Q=CW8tTN4p%HgMhyeg%UU0XQ++|*oM!X0f(a0e23kd9)7tDeZ6S?GRkJ0vA5(z@| zKs1uMw$LWlLK4jM?OBQu_cg((Pwxexo5B2X^id-&#`QXec)IDs3kvMx&SNy%nnym_Z9c(WEB0TN5jyZU)$+;BpAcW5w}IbDN??s8R&CiO!v;qj^9LB??8 zU#*(dm)x?0ig^B7TfQ>+qb9ffEO!u<=nnMGypka~svnE)Dj!rsMhg|c=u?RLw;bKr zU%HLX`h`b0qP*-+j>7j9V26^6Pdu6YUwqT|iIT(0amLf11U%i|sY?N%Ifl8v7-rfn zYC*KQKk9b+qYhvGp>O`o$m?i=$Stp>i{MAEwLc0O5kPKr#eB|Ym(FO`7b?(ZYqZ&H zE!JlXH7sSbVwKHC%315+QM#hGJXXxvY*fpzk%~#`g9JM{KTgZ*KL!PRw7f2e2@NPF;-UA;&b;I*o4s2dR+^g!%2`+STP32eiEK9W zSvboTrXVy2ml&oVg3-1QmzMHmGtJL^20H#`rrL8gGZ^_0ZOu<0+lf8TmzwIkPkn#U|MV;Gd0zx4 zdY-L{Oyk-?T35Y=8l3p+dfb9~oh~(|>Pgljb3){TpF#47tRg|NpowZY?P!(C3P5u; zerT2|O9mK4NvPAQ)p(^Mp_By=;&>ctzI={ZMIs5J6Sko5q1fs}XBAnvs35p+&#_jK zz^qiAZF~4A2=n;Q!N4$HNvK_fGa5qKR}ZEuKGjmD^m=Chi5|%cM|D=#cJ`MiE;t#` zcyn-ai@^z?n&bna4HQ?GRTk_E*h>p8aGlEwAnjScR3FZv%l(Be({9lmy4(%b><_&6 z&4=H(HM_iDA2<_^4apn^S=+p9mk~B-9^$mxcZ}l`VwbHNj;0Y=lOemTJQWZ`yw(Xb zSVQ5~7Q;Co-!3D_VH1_FSqScTD@<~0PP1WuLPwg$VgObv$;)khvFY+bJpijgyQ)(& z1IAu=dje~G-R#@Hb5UA!a@=YQm1St`S?-K?LHY(kR(JvEx7yEMz)P<~4#?RHcr7%2 z1ymc|^LATGfg(i;6u0759D)^hf&_PWcW=>B+&vU`DG*$Wy9IZ5cZV;$zyEj6p2+Op z>~8MNGtbQ4JC}!4@CNuCL2|D{qCyxQ5FWt;Ao*%tntdT;+kFU+Vo%TcX4@bt)+co2 zEnpI->Fix}4kg0Zw;E;9{oGbt@NW|J)u@(IAucA3_uZ*;QgCXCwS2-=?Y0t6@Q_1X zr|)P1@4I()e|uajWuPhuq4izQR2<+LeIvxJi?~Rqukz**H~ewbNac}11-XUE53+opN`XYShigMyrB=d)_ z=F#5W8y20?_?UU_R@SAGlmFlod_w(8;DmLB_sRMK%`o^3zwxPwKD42X_xp=p=$!sa zxp3TlGDTS4Z(c8C%oV~=&Qi@QvSkp@^|n8t^8592Ciu_>t62x7xJ)?c<5IJ|H~#dX zjO!G2buVys+rR1}vN`c?M8f$QuCe4c3=&R9!!=*7f&Nv^2(HVkU-s?$-&jkk3zSrB zqpTBe{_mmvQ8*XKSeJbRb*E{d4R`xFnO6K;P1%3zRb7%9$uLI(`Q0ZbSV>1RmqKPc zAmQ#`HWp8RWg8HV-dY0I{+7AX(g|?LaNFGBjGF`;u6V6vK2Zx2d5S$L&CqY>3AkvU zXbivk?GZl#p@gRm{qY-l6f+zB$445o)vBB@S2asIRk6%KOMYZk^RH-S@bLP&HZq?a z$-0RH(j%_y1=S@Dh!iy4$DWhv&@^KEMCm^NlT!HU#(~TKUGjUy=fG^s(Z2(j#8LV_ zv662%a3Pyp0J(&Iy5^k{h&K-EI$hXHvHr-Di>PpETdPo_en7DgqJNyzJzeJaOxeeX z*dm&7euNj?qQVs7Gn_q)w{NJv5xgNSXBu+tfB4CFMnyqY&@NT+7XII;_t}i^xtB<% z#it8|u){77M?F4J!FJNrQAe#8@J>#tMyc)`dm-6FJn$xTT1S z499Ifo=WE>mu;p#tNL^NK?RMlV%Em&Ov-oS31@T_cq{lu!sdXb;Ir=h-hh>@9NpsU z8me&a|Ej~{-9H?wO6^unoUKa|8E;8sK_Hc(FebIPuqU6`LgTh&{5ou}|9%zM=V+$| zbE+6>x&RvEo$pBnI!gRNRN)@AZ#Fj zomy_(mcKDH2?wR>Zh~@vUJ1T|R2?|`EaVsy0a7;+D*i+6t{vx*MrUqDoZ}NjA|kN_ zkDlChu+`&!fLo+N=DT&pJ8L77yOZ8V~? z^eZgMui;0F80Nk1$97_)kHwZtX%`8Ft=;u);uP|V8I318qOJEOmQYMLgayAf;t}(c zqnwtckos%&m4xdI*ub{yr{k!*0YM1bQOVz`ka`@RoV6r2;Xs~?H#*7V`S<|d9~kfL z7+E-RDrfxh01G6wlL>#m2qFAX4V`WOGgs*IG-mRHK#Diy4;wGLluTq|+HY(&=inHJ zd1Z;;F^SxP^E01@5E_VpO&XDAh;M2H2~y$VJ) zXMfjhx_2F_OTW_+?G>)3f>cyA#BmBp<8bmnSZel{T1ic*D{_=9R3JlR-`2StyR~-v znu(6?QHB)Ur^Y5O@(bLa2|g~h-8^OSK@Nr!3aQVuKDCrNo^=LrDrYn zr*Y(#It(gUC68((@?Y1w=I9`fko@yYkpSs;;~bN?m&u?<30~Iomr`Z>{wMq)G()y% z!nM)zuau(a^mxN#5_qD@Qc528slDdGJ*%Uxa_yXt2wBgV#RQPtu|7-G^`x+aeXIPi zx#eEP)$a+mdKvFBl;G?tqnn2Yabd2 zymn6p{=W95(Stfplj+?M4B4@Ndd*6=G*dq=x zYNs7Ua8r6<&+$#-)jDF%_@VodAb-&Ho$4KCft*7Qp;?f$>5rPpVjVEK)3siZac z`Uw*McX=y)d0BvK(M1%0k{q+|3d^FjpkQKt}7zxtG9 zamXgklU z!6RJn*1F*R(F?Jx040Y0)&nTCrsrhND=CGFJRo zr?oG(u(+p?Ma)fx*Z`5=h4gX#m%X` zdN~dL!M`Jy?Pm{yj};PaY5v4!4x1GIByuTu07o2&52z+#pzDo3{`6Ec`%R_-Q{jYf z8lPO$*=2pwQ?n6K_$L5W-x zDfajvVJpR95VNImMFO%nHb&Npe?f^1Z!o&@A%ukfHXq_XcN%PXzm5lZn>6cuhAdK0 zvDxjS@?gBy$Hq4D#Imu75|-(;Xj{;G6z97c@X{S3E`)Vz-0>{`M(!KdKk3F6{co!Q z`0O!RN%j+OQfmwhezS+{po0GNW7e?o^}@}cYJL$1MZCo|@qeq?#fxF_kxkKeq)XCp}-_5zZ+h1No#^gDY-{3o& zd>?`q-an>B%{%$ySx(4=d+8Wz|DY}!HvymWUgf$pT1Khq?%QqMm6!nsR@lAhT^evm?`5Wy%`JQ)g(Wb*fz zj26SGn4fU_DMC}+FDc18RFxj}^spGM>ZQpoP?Ddm1s`zwt8PFgPnrE=P^jk?#%ce z&vE$*v9DN6m3Ip0E~iNsyJ*_xzh9ME^Dkgsc8I``Qkd3=c56d446fH?=NtV^KdUBp z=jTSYtq$Gv(_#eziLHuKMGc$ZLN$U=;U%o{h0 z`_W<5NkXPywj8x7Lg+dO`+#{h1;j~0G5fY2eT0w4bG_e_$lhC!YNH1bsGftXy<~EF z&~vwG{L{)*r=DL9TM(LYrp^Rf>BuoKct2*#oD8(sH;^KRQTn5bb(e>=yfw5opTus$ z6l3`HySyc7mO~UbLecO<2iGf1M@Z~xbm(Cy{i4Jifl}XZcF*K{4Hmj;m=TX88m3ohZ%Pho+=KLxoJKos>ICROYvq=3dKw z4~C2e{p3Y(r4Q%GJPnHEwgV=gre>@4!t#x!!ahMb7PoT0@nj6REz9ghr|py{sM?R{ zP;3bGmoMYeOq8%p2TcQwzc-)6`N=&;IdRD#Gu#N@9WGMN-xgmj@oP3PnrhV=YDL^K zE|}y|{4-gI&7(}bd3JQuxW*>rr94Yk79&Xm4HFu9uv~}v(5?7B6}biru5@#7h{xyrcC@EENMlXITf$A>t7mo$Bc z3ozj5DbOu%{6OSa6b|3-2n z6?rtQg|*Pp2HjaQS9T+iD(VDmADpW(yFPD76|k2%eCd0c{L>L^a(9cB;MCD_)pd-J zq%!v>3fv1^Qy6|bgUei+Z`R$pmGk0gi#yI8PK%QOuZuI0Ur2G}*6C5wm!7SjO>&bX zN!6R#zqPBUh2cjf&73cHCMBI5V>16IHFY3nySL_HjFIEl!+3khK%tR`D*+E+{S+m8 zvf&(s*|Uku`{8toIbN$*{_|3Y3XAukVYN4XvgqU!5O--$X={nI}OFg`qCPF}3&JwMRes^MH5Nw9QPf!dU2 zN4*4@R~H{>#Si@$78zUSvq}Vsd9l# zTIpIJk~6f_B|j!(aB4qRSD0&>IA8xG->DknVD$MiS!b|mZ=lC9TCr^f_(q7F5DyK9o2w5TOoV@dG;4b|09w$@@ZumD%qTwGVxg zEBAE`lKy7ZBmif;x}AIMW6b&O;^DP4`9U-Evcqj~RuRzkwU;~HHOYxVt`?X69wsOV z&|Sb{$veUI%L-J`vBO=PA|x$enor8b9O(#@qBhTzb=^@uF5eiQUEc(27_u*vu->8Z7Wo3^;?3YblHLNJ?*eSDj@4^AsC3Wuc8CQ;WZ@x_lQ^15| zOv5d+zZ<|#+54H+Z=3Wp*O#rAghzsfCn7W`D>`*UM_HxZ6tWDn_Sfv+T+O$i}L)@^P|O6zLt&bSzs#~O01{y-gCbr=7eW`B)a zn55*#ht0MX=xyGN|HF6fRX^u=q7eoyOH}b@kLC`sv6j_@##N!-XB*TX9=zDS| zD78z=hg1DrYx~?!d&hTMk#SEicro*jLMcS*MaxstgIgkiCl8lvnuN}$r2porFeAWB z6fW`Q>?~xUg&NI^!Sm$TI^a2mGx8z68wm%CI?KgZLqBkX)8r>5(tCG@4|j*!F-oM} z-8|g#O0{!T61UAKgJvfWU3MDjzYGyfz~hFF6PqY$UfLE`a{M_FobiPyADA-?a!5a; z>V!65#MGWgUFssq*-YN9fihjb3Q`4KNgb!`zxkN(j>)x}0ib z*3%tfGkf`9+MC&0sB7zEAwCy-QJ9uxQ_3pWf{X zLt7K6-NWEnl>M1rqE(L1x(_rmWtj%zt%MR!i$m4 zx$iIB;e5o{BGnv<$1%Od^17_jsIV)5;-X{BL|ppa84g?bS3P-#xV6v_bze#YE3|5s zwCfWR+D^N5%x`Pw>edz$ahWe)k~EO#gBqZV2iCC!e>7<#}?RtUAP zdiz|`U>9Eb`GkzvqU6tcs5LMFJ%J!J+IFh=tFc#c;Aif2Mg+|d(~1xCf40V=v2ij;nMH`uItjmnCeCN{#?&TdOiE z``v|-+E_~7OTjdFGGtYx zzr8X*!oDceBey^XM@r{~Y!GstcXhRMT@r>;aI<M5oPJkXU&nUlz{B|4E)S;CcOGi>`H2S+4)sz(G z0U8kEfW-S!k1%QMRGBeN^QFFYN5n=rvnY;ISP%5S@zC~YHP*=1a?p;)J9|;v{BrNN zfmWv zWXuJ0&CsX?#VRCA)Q%)1j&j9-7~-QO#_v-Yj2oopTnSc0p;y21yq6l^=AjS@T6A;8 zz#TlC`F`P#=}1hdVB(7L*~>D#y;r)6VRaI3rSgitcT$iDzX+68E4pK5W{Zhe8OrF4 zcg7RnVtZP~1F@lNaDNsmOcyiel3&r~ie^2NVcie=5qdzZVT5PW)=Ef-qs;W|j+bdd z^wl?5L8<7HRRGs&KxVsk;6m4wLuLh9oY>q3FM2>o(;>#V1=L>qBN{MC;*0aFGkBfky&k!obX$5Eajg4%nUPIM}VoOhIQn|KhV4UAco)5({xPRsV_yc&qiKHk)?t~TOk5}f&tEFH1^1H`U7Vp}Cm ziiO+b4Ulr`s63YSEcv&ai$I5m* z^v2`Hp?pcOBIlJ8Wb$Wa=# zKmojjOC>%fYNo{WsJ1CnIZw2$P3c5NAIsxe8q~E^ts&C7nvCA8NdvjlrL);7{MM5B z%i4SGVL*My+CIo*f#bqcUSaoVFzQ5Jdlkj!Fh-#f?r{yKxt1G$xO80T3j(7!qoy9<><&TWC# zgA;Z!dPi-IL%~xq?#5of-l5E%x<)bFEEa-s@7#w>TAG^=Q}~Y7!<-{qm@yEy{(ZD- zaB%%=4Do#`H0@8iaP2c7b{S23UYFJ4*sj1&J*R%gnYw&pomXS#Egq6N5i6*~xpe`j z_1^C{c*rf@w!7(owyf7ilJmy1>y6=^ne?Dsx26MJJ7A`b%I7 z_GA_#T*e7cimFT8psArJ0;g&xvr1&?DIQFeAzBAxsf9|hCgU!3vwJ|{3h$;9$%byU zymJosrWJk~43V~9h6bn|;;r;1TaC1D6xZ?@reQ_vkCRb&8tasqz75vE0$wkkH2L#6Yf-D=~+yAY+WX62HmKPpB;O~{|J3rN(O9*l*7pu*28xVzb_P{%opb5L<$Jwiw%+n8s)v%)_N>1Z@; zxjhtwndmU57d6JG3+hdS#M8VPt(T0;XoqfR4Ekn#L;AcIO zihK$@ZT;U!dqZM)Ylb`gTsa;l(hf2ab?9Or%LSk6%_}8t^x_iou!x@z%uKG1M9v7@ ze*C`P8H`+(A?z5XE|eV0!;cS>S6^M*C>x+z`M2^?K;izG=KA`DART?9ech*xJTx4O zYCsxWArUVEFG-Lzv*iS<%>)UzX24eVIlx_gd1Dily=@#pWial*ZWlxA#}pwWJ%0WS z_>-!wp`l{<$mL2`YB)Ynt1)H85>>&z;hlWYsz=p&du!No7mFqR!N_5`xe2O2zU<9! z!rT8r-{%D&dN^6eC^*DX82o$TOrFfdox>jLW4C;6K(`&M3aIciFto7)Gfi%E5*}VFb6Ue2G;je=68Y;r0i@GEpn^kU2s$z; z=FXBLXjS)NaaEn#&SmO*BYcf2b!%@~z@(%aoamt2@2cW2=bHXy`DPSWPoJ1XvTM}G zE}0mwl?+UL;H;y{{?PLO^7k;WH>$}?5SsYZlh~@)Tt)M(rY$4FM*IG#ZO7W$#rD9? z>&H}683?6zbICIOM6b+EO$S#+UaIZr?K8mZM_I4z38(en2~P+YHsiT&Ruw7H@Hpn1 zoKxK6dIs<`g3>UH6m$b%l5o~uWszaIn7BRt6H=&m0^S84fD&`!yCfsEd`#F!GpGAc zHs~YFYxC)i>3976Gz-*;^)|Z#^A@|RXlDg;o~#z>zG4vr{qm6d+mx%P2UD1$##M%5 z6WA>F6km$`1;p5jdNx0rDrw}nTj6NL-dZ7QEO=V|7!X64i+tJ44k+FkC+{?>|C@w? z(Y7hmC^qjWwl%vybZN)7XUA3~EBkU&+axaQXK)&OTxw}SriYhPQXo@k!ZRpSIq)l$ zB!?b(TSa{G@e9#_tYUlsRD&MQ#Dt}>6_TfX4>HdanjDQ9SG+2OdT9POUTth&|DvPg zH;)(R0sKwN$S2PAr=lQKtj)XQlrkT0&WP)|@BO;QSyp10nMVe->D-Wlj(VN{xE zh?)?uOB(DpB3+=_&sb1? ztlyuOy!HO6vHFT{SJ`Y&e!OLu2brqp*+F0&KW+l(=qM!g8 z2e2!ubnhYkXj(PPr0DmgML~rV6c@Yz260Mf>Xds$7OTG>BP$tPhPMut=gBed=T5Cr zu0j*5fl-Mvnm)q1sx(=Ha}^W|x@V%VpJnED9(z9ua|Vk`KH$YGNZ~yg3(#lM^eE6G zpq2LibO)4-{fLdoQc|i+sBp>I6aduDlNyW(!hi4n&@j)Hd6_%u&&r8w#X0;v!J4St zzx=z->1dr6CBZI<4{jL5K4%Xl)heOzg-Gc84O;T3Sg96Wt^Dnw$()Ni<{8~e6AdrR zXc}e2+~IA~3=wGfxa}GfG)dmgjpHQ+1$p&vPrOKG+bGfU^ZBwYP@v-QOdYp^Zf*w@ za8FtZ8&0+mIGsjJ_JmNCA&Q7WmNx8tV%JBsOXPCB&37Q|l%E6M7AxS!u6_UN{8oOK z;JQ`BB0Jz-&-X8X-uiT7YbW!U<*bI^hP#038=(0KbINpI=?aSX`{Y$q*;l~Oao5I( zA2uX9`v2PI{ajM`DVY--$CIn_4Yo?EKsKJAm8%jqwS=EwA%S#aX}lYmLjN@f?Vk%h zD-w)R+5z|H;g-j`rli(}%YjujgNoUnqM6ebGqj3jw})yYGc*}W=_$f&ecB~6XwtP? zo{|$01LAWa)I*xv9z6w}ijcc-0lLU=!BMy0atx`4i$c{vkY=l>KDFlLYR-s#z zqU2Echh~nc_#-98hqlz3QpnZR)9$qaS+4R|%BDSchBAmy!*20F)%3I(Q*$w^O0?mP zl8L;8fy0s(;}%ZL8bir5Lkv1!oP6i0j~xgQwoQkY!adNPs_qJvR)rY&1Ce^?TXyZG zLjU}v-}fpxzMCqWmx*Fn4oGYxlEn@Gv*uc!F`+T(Pf;AKN~H9}Oxf^Ui~U4zD;5VE z#|?q*ewB#N<^9K#t=;AE9m_KIJM*(ner;nft_OH9?nWvlwnaiXT0#!M91kN+c*krA_jkT4|d~^zih=tm*?&pq6GPfC#PQ!(`nE z9_FVoux6`i;bSbRq^=j_WQlz$neQ^**;&~q}^rubF{*jbwNXrij45G5}7Mj0}ts$$~9DNYX z6-K_++G4{_T}4k_y^mzpD^$sXUko10Ma`1Z;*HHj%Iaq$WA~s6q~Z>Yt}R-S3_>q` z+76yVZYMbImqWI+u8*3}nhxfzV_6LP(8>Sh*(3t(rg>@^0$9w8SYu*G*Ca%7Ukt1r z&JKRoV4t9wh|;wRrhKuk$HTFp zpOA&ipO~=qQBRR)ZkR%m@jQ&OOEK8H@2!a6JM}NiFlaEUH&d^%vZ&89BU}~>(Q=&r zpaYVKJEC$`BpRlU*v*y=oEh;EPC=||c;t;+Oh3?5rt+7k_T@+EWYpOYrnIR)a(apd zEWV#v>#5)0Ex(jSsq<#@OhV)Gr3%(^r)WV2q(+i@?wYfn9Z`cMCZkDlIs7fW;|HHR*a1QIT3)FZV-x-Sj3dfc z>D~{6b#%tE0h#aptgu#Y@pKoKxn8MD`p76vd{88er7eNKfv)*&|4guG>~W3By%KbepTYRZ1%QLtMM+0XegiXN*ke?c2V_Hl!d->N0Q*4KBvh&J#2?P0jHQb z>E`Jn@`_R?kzn05&{BDQEwim6m^Xvt!s|(~f3ir#=IcAaP3m+gX^vcjX;SP!?;7|BXnewyq&& zTxcZXGodUpTK)yDS*pvh{GyLD*@VI16o77`e|ASWp{Az2X6(nFu|;-BZqSF=M9yR! zd?I2s2#+2mQRGrVgEYWMI|N}vA26If?BXu!^it_THgV^bG)+6PmKY}sg-){!vjg~+ zvtAp1W3(Y6hFN0gnO}yjY$iviDvv+?M0mj7X8-uMmnqP0^A2pe-1p14WU;9{b@4W# zsCM#WB#*65MuC4;-Hi&>xs|VnVwsSD+dP*g>MOn-Z2F!y@VkIN3jBfOmE(U=iyM5^ zF+=cg=4>|uFeV|D+G%YU-xC(0t+p%txKR-$w`}<;y7c2w5U(Zh148Rxr%7~40)9sJ-97Ko$f&~TIHaW)3t>}2VH_9$ z>G_@ud!~~7@*r>X@Z>T9Ebipk4%F1RxU-@rk1X@&rm<#(l(?zo=fOJRgRBIC;9V|j zhK`02ny=@{&Gu@@@CS`s@_7q?p2@iZYWLOxG@^BW&!@%_0AF5&SXs>!g+Bhbm`iO1 zZa#SCFJOzXw#RJZpEWG7y=$~KL|~GPn}|E`%HwV@^~M+Q+l}3n-SXi>iEYMx{J)l` z&g{O~YSh@ml~bQGCK)jah0zIx`+95u6DUD;OJCGP+UxIQCA_PO_szLI@1|5F*m}s` zx3??bcjFCH?3&;9^_!JwedP`$<#uNO0y&0aIJ571>f{6HxSc^T+s)wh%H21ge42=n zgN{2tZs6R0B(Bg<3u&tP55RTiD|>|DtQc%i+_HW~Uno;&77Jhd0NYh0p7N&El!s@+ zmgg1;jvv48n!9$7;2{0t^I zZP@$w6nefIs4_Ls`<30(D~h-Mc>K+hKYE=nkMtt;j9(asDSx>{-69( zyv6_UzZ2QcN!DvEtaQ%5JD9nublPZsqUbR%j<--guQTf44}TBF$1C^5hjCFXLbYslntKxJX_ z7}qLRUb7MXl=1O~(sLK&su!fToSP^9q_pJnu^*fZ!6#pZPJ>PHFP*z=cH_ss7vx5O zabVxKJZ8IsG=VWYuPJKMxneR3mhulrR$2AKSk&lU-W-BX4?`^l!(JMOz{Fbm#WB0_{b zF#jwp!wWVO9z>Am(GG$+eb|O$H*SNK;doA;G@E-*+l!@nJLo0?GCvz3D6J3XBC^VS=M=j`T()OAFz)>G34y;!w@2iijnVXO^ zpTo&KEEOL75;FE4=82+K7UY9)P+HBJ@{w%XB5^K4Klw}<3Xr|}0{{VGQbr-gaHg9` z56n$XHE5I^xb3e6T_jZ}1AFQt*?S-qdcPUAv54%4eCcX_I1pKcmyD?b1`P$~5}g>f z<5*tVhpmnj>93W8+(9;IP-%}tPQZ+|x88$$s)m398vvk-$~;4|Vymqvo+*V*LwV@+!3Ri;EQ^J*Jo^>m@k__BZW57Ywc6ui2&Y$G zXJqXhedB?9S_`xdt!_@no}(*VgnykyhLbCc-%sq7*3q%|Oy!@t87Qw0?&>7BZ0f-N zN_0(k-tkUgXi}zib$5D6|heke}deQ_q{Bh!A#KABBn$k=!O^Sn@9 zAHRIHmCOZ&Pg#mr>JL9G0IY+YEqH2V7pC04AXphz)1W29b=o{bXJpVuuLN{4Mb8{6cL4jCNz)P<+L{VTp(*0UTt56trbPeinzoZZ|xq zAkM9gRU|o*$5l`HQ_uJWOxGpMn!qFGLX)4P*q_eh#ys!ss5Sq5TdlojpD2{Lzh20? zc79yU6h4A^S&0F5bjRz?n$X^X!NzVs-6|dvG*K;dGO4 z1o43L?SAdHxQtdA*F@pfc5UOh9Y#yz_@e3o%>A+2f~_bzNniji);X~Nn|91-olv)* zcQxRwd*Z(W^Y0iFg}Dv?xd<68%3=0_OMHYB`MYTWeVA*GT*I>Q$?tCNkV~YAwB5EN zHW?q@%{X~@MI>Upje#!Or-DMmq`l7@@PGtgS4+03qT6bt)Dn3lvS7}ohdIE7q2CiYO97f^LvM8xrEQOIh zYx$)9v-ciG<~&Rtenyw* zCagZPh-; z@LcD1|7PE{jY@%04+|eW0&uQnlf(!tOOoqWB+>QE5^UxSXhH5&-sNz-_&jdJ&-~0D zZ01NcE<$rh%w}^!tNl>Jn92^M`jo!MIf-^V$r*}en^O?J2alln4fADR9tPNeWtlYdx$*J+*lhlwjcz87!>JU! z(ze&QP+2}5oj;$@0(Q4=C#1&K*df<@D314k|Dh9hD%)R*a#vr_xa?LZw60W*MaZPt zaQsu{!R6C1>vXz3LD)S-k!Ut-@>Ds=wA?gK!WB+)WU|8DKSjZtp$&0B8Qnxr3dRLX zdqKW{{Y$Ql2|L*Yl6N`O{Rr0nC(sTt+w!$l&QGtOiO8SW%Wq1P-*Fq8M$1pXh5pT- zn4_%w92^K+xsY|9w5H}|8RxyE?!iTbJdb~gJX^Wzw(me}fIM}{L=(F>S8~-RsDKyR zL|S9co2{0W@v;*lXO0~CJm9@DC;BPtuHSeqlp|smXFUrUNupQ4L|MMI!;y1K@_&kA zd@Rbf)$ARe=2(wH zreRok9VG7JIjEDVBohC%VFKn5@eBz0F|7B>gnLl5evviqG5f^t?LM%yOrc9@p<=+O z`60e#$;kuTc*)7&D;d!TcpA4-`fc$xIm5_N&1$hzTX>yyg8lX6x^;s0IzmSL-tC=g z28?9<*F#WMsW$0LI*V8Bd^>ShKapR2bs2C4BdQ}p-rtBu7A9qVTlHPfpfqz%>BGtz zPb=2o*jH|B;;ELc7S|>)Uedo)%Q_4@?{jPKO2l4SMlQTsJ|4ovTr+TbhJ~obckVvO z2vD{%T#Fx9H?JAl@}Ft^|I@=_1-SfxPC-toq%!F*Gb~3o9QQ_mVZ`PdjNW)Q?cj9Jtl> ztJn`=waWztggUQ3)nZ=bCin*+wX*<4jes^qaaO0h2W8HV*n}5>tI5>oS!_D&)-4l_ zyHHVBE0ztL24sKIJBzBY;EdC{G8Zs9VVu?mD<&q_xY- zc_9(!MpiWkf{7^zB|w7tL^P^%+z~;wlv5+(*GrwE;P&ZroSztn^Q#2Q!@LDD8rXbe z7vT!ABd3}OQUDK=M1s+9Wp9P}{T~P0G@yT1VZreD#MvSqL1C8E3BN%AmB-y(Mm${z zmh{>wFC#vc&1KElpMsnvaILxe}TU>W@KecT!38zvX;6 zpS&*+q1$yglOEFZ`kLmG=sw<_Kq9a~<`CaIixM(Jd?GxvBfD0^+m5Hii|9HRo=CHDHw1q+MipxZbq5m%!YU@!Qc@Cv& zpYlB4qd>_lPJB3%MjqNMAb{-K#cuyk+Xie*&*L^8F4aUxZA?-voZW|rGmB0V+Aa%u z6}z~TaR_D2*wAXwb;lCqA1gyk!xg*uTdUFo3XhHxb;w^^{Hqx&xia*G=q|p-r?Q#e zeRE>L6b6f2gfNpajW%(nbTDIX3M45kzx%PB|Er&6w6yFsevC|p!I~ETyL`2%9}BR5 z;h(ef88a8%gzt0ls=lT@5i#!gS$I2x?)}XjEtuSsifi}A!Y)Jm!o*Cy5@gTOK#z__ zwN9S|`Kr0{78bf9qQ#}vxaZ`gE|y{wX$ix0<6DD&K!N!~SmNYAY2R~kg5rMc|H8n$ z6>I_b^Vn2V9Ejk7dxkcA2yBNi(#eu-;77_ui^pI4a^=i5BlMRlS(Jm?ZIL6&1_&K0 z=HXVOQ+9^Lp(lf4;V|NRzz5OLCzkTv78xuaQ+nl(D&~WX7VXg8sd+^z_E!N}jraK{ z9EM@8B`cEuTqp}HKXO255$DEQ)QZu>**Yd~tyUq7+@r(!Jt(n_bH(uM9NH(XNRP(5 z$KJ_G(FrA97wZ4A=x0M?n(V6X+MD4FD9zcl~Jt zjT)HwNX5zjYm_KBU2qwM|{}v>bf0{~3qr_7P!8 z;FIT3Tl`14`PAhR7}vHv*wxDf7o`<{f;Ktla=fe~5LwKrTry#I1WTl@MHV!3*Laeh ze(JD}ceDHpZVbA6>eVDvnNN-g6yJH0)5FUpRt4-)h2=J>yO=C2F1{;w0#1;}lQ{X%iH`Q%O$!9}-6XZ1(DD`A0v1kr13&nN)J&b|<0JC;?%u_z^i4|C zW>IhlGSoj828qu!gXP`$jEN5RfXZpY6S#ni9R3X7(*%|n<_q;Tp@7xJyDbD%eT0sP zLR&cbrywh;rJDb+3JsjCwR`%`ncee+1Xvt7um*qW?D?SunV&I)i2ar~YL|rovE}+w z1Lj@3a2eLl{kO}SjjjMkc(wMMUYrDy1i^AJ(q)meh3l*$%t$18e)c zd(&A*+s;ZUEiP#Br(K6cP*$4jB$FA2zoSPls=_?lJsD z5Ro?M0tNXyP&C6`f98xc}Sz_JVV_eNpj`74=Yhy-gzTAYDOcHQ%_`H?RjungXRsl(JK z#Wf4ecI3cB68oQ9BGg{PES+>P&8z>&$TJ*>1HOEW`2W^;8?#MS(>%9V4SJ1RVcXr` zrQyn+Pe6;*F{n}S4Jd0IgB3T@)9^bGTeEfqJJBD@r`BnWGZ4mat$~FR`0l|nU+3a5 zd$Vj|@uMcpZzX2RYkeuUO`2$0l|U@t?}16e$vkHZA3f(k{*1uX4tk9uPmCQMWmd%N z@4yiQJ=>-^xu^t`HsS0R2a;B~W9K^8&YZs&EOYA1U~llkVayCTsjDwl=zK0RZaN*R zQ|$x~8k}<4FBw~0Ay}WPty5R`wn{rBt4;)Mexq`x#FelmWGr9PUwxkd&RA7&(&=sA zK|qN9CFoyZ$$i=I^i@kwT=7UgEDGwCAIm{8SclSl`kP%`Sp4FVV+VZ(o`{S*Hew53 zqn00RL08pj)HTL~O~aKTIle0@s9rT)!>Za}@%}~-VMyD#w^i0lI?qOIW8QX)ozv^p zV%eQtq8+K2`ZJ31S zc<4v|1?qww8)9n|mvg}<$R}#N zxB;O4LN7HGa<9DxgLI=}1Nbb;l{#<^1*2Z5rMi&$9;ny`K1*ykl$b)!y;E{f@DUnF z1*!Z7#TQ*K#=&18K&QUAc22om5?=^5>acMi5{8T7dA^uVl*(LzB-wCFYjuXn=5QX> z6nw;zyvX^DC0R00Dc8GXMSTp7;;Qx+ddZn+W5_+vSwIk^z_>?I^b!`I3AD2t%!aToyDD8t!1T zW|I(|U^G+s{H0PmRU6GQ>L-gxWabf16CG?#_gOfm=G$$vgVZry-B$N&ZWprZUN4cR zlCkrmirIM@6K%6kFe|Q_3s_P4=Jo!O2~loWr9jP6huf=5dFe0)ReRZA;O=)XC*v-b zHs`YGCySCTV_3Gm&ZT|^r-0Tp+$dKnH5)cFQP5KZTl1gIEfG{zoWbNR}%TlOpJ2Mhq=$4ILmC)L^pyCatmBY4X6ZU?3EZonGr|ze(9(+K3Eo=;)tk$ zs#kQd1gc$a=G-s)&8y{dW4(a;U<12PwG8;&bY)+seF4X(qvnOxX6SCRweB6vt-mdZ%16Nlk$sb)Vg{%Ac1Yf)v15m z;`;W|L_V`kXM_WhZFtFF{y;uXB3nNOSRJ%1d5Hjwkxm(a2f*`-N6I(re)fW#@k4{@ zxc>G4g0)h2ej-TqQ78s&+5-G{8hj&kk3unjm(yQ=AR zKA&jdJdq}V(VGzJ*C3?*HGBU5xOxkysJicu`*~CZL_$eP5$TpLQBaT;X@-{W7-}e` zMnFJ1XONfy=@^EF2M`dD9J;%^+xHIs{_9=uyVl5>xehV+o^$p--|uJdd;0)`-NmO4JT_JB$IRE$(GUBD`u-p{ z_|Wn(+aZCnVZ2-M1+ofU3Fj%XnIr5w!Vq)EJv%>hSJH^bCXbbH<&xI8b$2gUP!aGs z*RSeE)kgPHc4P31M=)*#l)Wes$)KP~kJ0%jziX@NAff~R27fG9wIVGRAN(cbea=7+ z8erLs@hr?4(QvMWf8|uW%PUaDH@jRpJ7Vy3#5&H`pz++<-u|Y6S3sm(T>1Y z$O9tSu|~k+bNkfehbN7rET>0#3e)cJcBhuk+9M+#X|S}AVP;Dub%&~*JN zGFdVsZ}{@oJJ6~ER&2`7MHC%A$E-QC5yNj4&UR0X&%d5jxZXBopJ=jVe!rZUl&L?m}(?s7QS zdN&+nTpm=LLX&houOJabGKCqKsz#l=C7z6p1)|S;$Ot-wHD6jVOPsJ^*BNn1Viz2T z3Voe^;q}D_6G)xvK=k~3;1y5|H|gvRJaL>SM_mgkYTz*V*)h)SeP>q5J?!1_g18<( zKw|c_7q`4>$hXV$AdM1k8lzyiiDXgedm`ZiM#a04k_%B z6|#IcQOJTz9Kuc<%zE30pkCkC?IPAtvARJt2yKK^kqd!PtWu!w%ZYj9Fqb005)fEFBa2j zj^C8acVosTDp9B;qKagYS05?}oWuOJ0P=z;(}GS;m%`ns&Vi{suTrN|t#gLPp7iz6 z83YX{hE|6?t#uQrf*aT5!u-B6oYy7%e0VE&Lsm8sNVutCT5mr5HdeBp^T?%2k_xLh zlT$Yl$S4utY>Kpghc};T82|6IG8MTxWcokA6$fYQ%34#uBu$qm{8CYsSY9cAabd?3 zkv5rp5OQC`-*;L*k%X>6j_4`U*XCmvCH2?e?b?j0Y0;jQF1!*`1(BP_V7{D!v<4PB z`?}iZLLqJhkN8h=9R+$@o8H(aktC1owJ*I!H#1ZFhm(0K`1hqv zd#-P_^}SJ(r!!b;k1oESMC#W(lim+Vx5AzdroziBAavmj({XU(mI|lL!- %fidh zDg%2*U&$NNw1Y!g;Yv-9re~3Jwi@-}g69*Y+Ul8Y6}Tm48_m@dWbMsylB64qeZl=# z;evq$5Q9yQ!5rR4P9*wW)xAc5sGoQpWRbt*He!=X!_ZSZ7WL(ARc{rO*AWyWd60^8&r3iBRESC1G>$_rypshM#ny2nyXr8 zMtTDCF1a(-Ek9!&k!FqhpTgW=8|qWB1sg*?Jfi4#z&aqc8Hxaoxd-$DuGhnuIjWm+ z6*?|4K5FGBV;rdVv&I2wgGVoHnB-UiR|J(wKRD6}YbuD7sLG_5$==v+eOULIDS6Rx z4o{z)q3*XO%u$-d5xCvx&I0ZNC31JxiOA<@57#45{Z>VSs3NmdOvJr61tgl;9Dv|_ z7g?YxDyJs+4i9a*$xp{{ykc_vu0>XdE-UoigsoJHT+wRg(0$DKbHLL_6ph5${0DyN zc6XBDq%VSiXp>zuxz|bD5v97bzIsV%Z271!pi8EDz3_UvOvw=dX|iK)5+^v;alhPG zW}VVjz*0;Zm-%}Xv_QJEdx>!BtD=oqi}7W|HOSaC>);8J_COt{qng4037Y6nn21Zk z*KTtn04lIwU3vh^z0$OA)*754r0rZj)&H@22IrNdP%Unr-FbmLJYDO$!nLP`qoU5Zi6$dvAmhe1&Q8!NnSB1Q zM#k;%4v^a*d!E>NmfUD+!`Y{ew(Gc+xp;kDmvLJl!IxL#h4Q{aZ4k!)X{q}J;Atqw zLLoyB#2l+}-9=`1%Te%919GhQK;Fn=ugi*$LZfTU@w@hGj4M$fE^noQG*$&3;J-fe zN!+A#Z<=r=$|?GoYNI)L7~$Ddei`oBbI{dl*p3om!W9rHU-m%n+(iq1ksBFzm5@5H zvGVjZKJlK`BYG*(U;K%H3c7R;N)wkZJhGvHps7SDP6d9HqcHGZuxg16lg9|mxBn7w zm$R(r{zHAyaa8Lk9qP9~Nvk73Xqf@R8F6st^h5Qt55#&6mf+Iyk`Hhj`H7>$OV(w6=(xGvO8=5SXN4 zHk&`Jvq__X08;Gu5ZDL1{{DUtceK$iC}+K1;qEtd+MUtR-y1*xn4<>n;8w&|6nB6h z8GrG}H-djmb1c-3#z3A3UKP532*8A!rJ)p6$KSn34165-ya5 z;40W3B5Y(EjX__Wc-%_c2N4aGZ-)-;#_*-`uh^Atr_b(9Zx146&G-0$G6)Ps#qD@m(q1H6(DI zD%_Kn1s~@r8Qpjn;aG>(me(&Oo##MF-~$Pa9ULWdZhn93Y1o|jBfh8@3aQD?V)I`f zS!p+!$`8ut}`m1et_Lrx0-dlV&sm+xVZaD_a(U!X7ATQIO2UYcrlx6iZuGV z4b6-lC|HGq%FJ8mGh?FXYwP`R^J@3e9r!VfvDhMc|)=eg%D$z`d?_r+KrI^xl410am76P%lJ_->!UyLF%jE)x`|R+^(Kl<2)hUB z%N$aWcagwix}b*~C(97{eF;Fx6owj;xi zwF?{ViQxG)&p8?1`zmOQm!HKJin=733su*mZD9w1PR2KEJ1*zuh?Xq(?Z_@lbO8Uy zlqk;vx+v1S(mcuvKl;41BUGfSbk*17@nKcYS?CRrAf2V~y&29SUUK?fe)Rb$nDjRZ zKG7z(sN4tP!X%tU3(X(>Ly$va&aV7GIqSr|QEU>E+Ek!)2wFExc3m<|25emSE>py}8aWO5?? z+>(nMQ^}!&YU}`m_8(;SMyeR4+j<-PPcozgUW2BDS0zbz) zSW_8Qqs50Gx>WBxvHTL}uvtH$MyW>+@E7_Yl&X1>c_1whUA*1}RGFAzSBW`=MuOvb zt9+WCzM+_Q$ye59X2Z2#$WrLxN6x37;TYgzk zc{ag4JOrUEm*7UOvZK%EC}nBuc>ZGH{sL+k*O4W^)5*KKt@qjVrbmlfD~}XGQZmSY zF`X)OcJb?Ziy+|0E!XV0HQavQ#aTzSQab9%c7%5*&F%2Et-?tay+wT+Fxj0>8WIiT zsvtAvb~!~F^nj><>PT;Sp)h{stxek86fGv^7tBxh71ArwIoYDLGiqPA-MsJxC?y=7X?>fqQ>}Pb#saU884d>x;HVPxR@|iows4M#o8+ zL2V?Et9Rg5@~=Ky8<`R2yagqp@|EY~?}yBbb`4*Gt3dTyQBDo9J=}hb;|nEr1)Y6V z&`wHPBf_6Ql2Vx=i+~M2kduwlB$_5ep` zvrV*62Qo^pjbzpJtDa_JtWNc9*J3*zAU6pbZ`3%u`9_+SXqlvDGz#XBT-H#kh{HOb z-*YP_ld5E{o97ZnhY^`rE-_o?rInIXxbyPAL4-aDNw`0wA0%ylT+KR&sNxj9H!n;> zjZyeuRW+<|d)j+N7$K4BFy$la>;-Hx%|~~# zB|LJ;EwTIo_d0MZ4V1E|yI4X0<0zlpQW+&$Oc)v8ypFX3MZJmDR_o!-jRa_!uQ-l# zQM_hnL$&+f}uBAl;fF75u{hPNS3f`ZO!pHDM9p3Oc2BP|6U1wxqdn^J0qH zZU&h!hVuHErz{VK?s7V;p-=;Ek%oN1n@08$T!SMVAAm)tnlLjgn>hN-j8>@$Ac&4j z_v@jDDjvy1wjkhEV=d%SRUp?OI)*ZwR|g7aRZEt*kBAt5>d>765bf4sn*N$$PT7d7qe?Olx4C5Uf@lLWCqILuM zDIj%>V<&ARfgva#*IUMU_d3U_ng7Mr&!nZeAHr?HyiU3XfzN7v8}$~q8tV#!k+-4d z|LgJ#&7dM4_#$z5O=`CqO&Upt!9AEC*6SQ0;2vYZ5Mf|KAcFo&p0qg zsApKifQS&g3%U#JSWda2EP97r-I+1ML$cQ2=}mJNxTek?56%=DCAE&O}n-l6Las8Ww6qWxQane$gC4^qt`QlIC&|m8Hch_OdFQ)&E*&YZ~{p^82KuP;EIJ~ z@Q~%kMC}kk3C!A)>fK+qdkI~OP%d#~uL4+n!r*jAKD2W)V8m|i5;99nJB&!wTyC1l zQZ-)v*uI10Ew-uq)L9Xnor{A@8rjhL^bhLr#RO(O`0iG%JwO@&nqeG>w}LitYx=OS zA5MXl7a`&jE}q?{_&&q{f2r^6&O;@yW_7CK-kQNXZ2RH6eVZTGKI>R+BZvb$%ny9(I#T!k+FCWk4qxot>eyRkkCi>RVNP9;eZf;C1~(H2YtC#yE9txTYo?_%i=@5u&ZhCb#?* z`t9>io}4*AC>$V-(FuC0-=A6iN!@D_A8p&!5wO;~7$yBHF;#E%#PNrog9Du*-$Mtx zC*RIrREKpvJLfnVBS#51EovBOru`(>tRjXe%YP!erEP{~oH{ z;835y3>$o0YzzaWaUUQ5AwO>?|R(V@g; zWE(LvGx%^ZDd5e;iMuu#m!ta^avW0H!<;#jtg@uAdjdYXkM<<5I~4^801~-6_3CbP z*_xTxrH;s^pf#?1X1S3EWz$JzalU6b&!$wL(tFj%<>jHRw9GZ7I1Uuyv_2MjYpjOwoNFJ&; z;x;Jh=v7$iGgqQYl$?H*yD8(X>v#&Q;F)$aso)KcP*E(B1kRDjoNb%y{8M$_{5jMq zOYhPjN#rMdy6-fc6jcIhk&8kBz1(E+217{(g`!Irm|xGv6nk~Hl>`*j+0Oqw$yk49 zU20+-?oQa6h$eiTu~!;l?@S}kE7*UYCpA#u{sajf4R(7c2f7ftJETzeA3r#$!TOe`E)(BLc}eG z(En*IGrhpDH&-7}b0YKW7C>kIon7|~D7P?%r9G}L(zt_J+p}L9z@Ro$)AG~Er=%Ss zWRR^!0$k&qDN4)T?(~ajB4S`%$Pgn#=>OJ8@X_(k&Lpbdu;kCZjcvRz=zjgh%DAH9 z<3z?|c>Of?$DPq% z3~V9x`*KhF*FiGyRfXl7zkb!FV077>#Fz z)<%?kMpJ|avHw$lQ^BNzFT+GxV-EJ|oo+A@+b84aUDIiG$0KS!?c2rXU4ImwM zw=hma$H!Zi^6}FokbbbnEw8k~xB^udM|z+FB9N1mdxzUH&?N)^Sl38z+;#$I-Ewxr zWhdU{p&C%y06xNBSz)dixzL=J1PqG6&AlmmPt5w-?+Rlb5nh0Q)Np>-m-B%wq17!@ zeES&ewYo1{gWEkanewj&?~J}=E3EIxP=1p9c>H&PfgwItbGwVI5F%R3i6z=?9NkdFYRW))2~CY514DT{ zq8!<0un@uoD9RCRL;uK@WES`<+$BCV{TTPSNjXGt%6`CnJ8R3Fv z$%LDwsQ7yVps|=(b4^OmoTbg--`x4YK8PyNIV{m}{a_ByGvLu8-#zw4+w|V=*-xou zYm#=v+a<~QiZ`X&P4QxjX~rbUPjj+a@b>YEWS;^=-`vY3McMyk^tV)xg(k<)uhAnr z9#3DG(*joCkpbG^uqAFJupr87^1k;gtMEsZDm>D^qh=o5swT@N#wL^ZJD2Tte*85C zWvxxqc#8yG^rg*^5B^s&*7UJ5a=5Bz#}4gs99MV3fiF~VsSz<^!M&jHBHtm%-tgkGqi6e-c`uOp z@fFJ~dNL-{8oiTT(|(Sv6d=*po$X3AYCiQ5DT-GIZ@oCS)flAHrpXxrO_Z|B+)aCi zy`o0I6>UZ0MMnM3h!&OgbKhW+AV}uFmB|x~z+pwMgNMSiLt>PTj9Zl$xh^mta&k8T z0L&T9ft0)|3qax?XW?T0q6UZR8B+*lt7!v1J!d{{(d4LHKkI^Y-yf$DI%3U9SeAEV0ez<;iFopzyS_6p z{P`s-`a1O9{>~ZAhOY0CVFx=NbIM>>aBMp_O^iR0U$*I^H*xag9P$&T>4QMwW|7os zjuP)TZeRPmOJ56AXH|!3G;=$4oR&Lf9PMTvS7A{%L2u;!#J+ zXupxqyd~bZqurxTWnL39*zQb84LePtZ#A^$n*vPHmzcbMRhWGRl;N-wNDRBoUe5gJ zT%*$ucTp44&1iJCla>Zz*2D-DOLYMD zU8N5ihQGakxYhOY@A5YuvSXko>{&RD*E}o-NTkaI@X}bC=25%!9AO!3eWO-%QHjv; zJAJy5FK?x&mSU0|2K^1`Z03QouTt!YFP4!I;3?Ei5dg;BTXDeR68rgBCTMeV5}^d_ zRxD^GmuC=4dL?0#d8XulEkvW^1bpuGNk1%^Z>tNOx>%MZoa11M2Cq^>|3}+(jTQs# ztVLEy#?L)96dvMnieeEiDqzRokl%mdHJ0tnxv<)%mQ4 z|77B@ZrRF2{3#9N+-bSRsJ2@g9Y4G44WfR=FCi_sWqGG|W8H^$zhNs`{v%l(C6T&) za$D^3bjfRDJ!D)uQ1;i&#nfy`r068_7m566bfxb)Sfn;sJ@-9OJHkiALhW;D2=4hv z5!T7#fx=0~^0k$@e;}|T=9Wc$hO7-h_rzwsFuIYEtEjzS`yF`@yuU|oj$duATZe2@ z4`8~UD)3*0+A4O%%f0+{pLat4*+g=&?Wg{QVPhB8YHGa{hDvJFexKfG>c+2$q4$A45kNoQ~1#4;aaFwK5k7^H%CaS{GExozc2KtFDJgTbf z#yXCG9nB3vs-6??{3#W;Tdz1${))<>X;6}%(9IgPhr29tMP<+_9f|vF?{{@uej%Rz zC8xjm;!tn+>HOKHLQIjxhoS>R8?U|sh#>%4xaZkp9Jhu*qN_OSD?!6Bc$Cy-PCoZ-;eL$5xK_FKqqq5!i!Qi|ef#D=~$RXe52Mr0TW}QeJKK&r=9j zrN+u@t7z6Q^uo;5_U=mJ#FPHhD4*vogcG&jd!rq;Uapwva5NW&o<+>CpyXy{Q1jW^ z2Fzj*g}TR#X1DVDp{G5d%(-6E(E!8n4@Fjv`#$#@U^j9T$-G~2mu7y-d*czUZ$$!c zv~-H2Mls6$N1tHrko2dC_tA4oSy0G3P|}4mASHt6JR%|eIrp_Ib)9qRmE_LU_ZzIX za$@pLhR7wz^X2zA4DUpYGhKFr58nkbRBdES(J42yQkX@?RB+@aoyiDT7UicZQoK4d9K zA}_MY?k_{b?EsvgQTPg$I~gK@3;oeDG+9~Y`BOpNA}YP)Mo9Q(plde2H`jB=qz=`b zrxbozhwW!<8LhQT_p*PN`;%hb z@d8Ez$1Df8ke=3xp_(o#oz-xcHL&YUD7q)%di$E@F98}gpAo4)5gfbAkC-13^7$^l zy?B}=bJs|XHBA{6H#4pUCd7y6Pi)8u5JL3V+`B$PUTe~h4&9%BFoX+) zT<2X+m1$9BUNSf8Y7wl@M|rLGgS~$K6T$k%lg?Oo+SnPE+dv~w9@aNj)`Yr5YBRn6 z8;Y(mV&m>dJj!A>>$E^*!hteArU%0<>4!-8`@|)6b}UB3woxCL%%Y6cI!@oO(@tAX z7StuQMb`YSmmFKx=X~=`b#wCV--6w2scRlX=I|?9_8y{WE||7&|8sl4n(eApzuu$A z0Z+Ad&P|jh+;{>dqRWC<_O*j$A7y`awpd89Ac$U(1CX9&Yo3SdFLUF_MevKg%iCKV zfMYm1vP1UuPqNldM|VGlesQ6C2CP-mA8Yq7Quke>So2+NYs zo~iCLtDom_bIVcA?geXkMbhuCn}NIiEy#@ucpew`w!J*u4xBLG{iXHsf4TJ46P-TJ z^SlCJpxq|dYJb4WM|THWAq2&(aV~g>*8>O0hke#C|3q0*VrjHCjNpHqTH#=atM!7N zYThtV=zLpbameUXi{a1B$;hW+2VywjG4A9qPD5&szW}<-;2{%84VSW~^cZ}0g+Rl5 z`~3Q1&#CL&>8dWrwojweZ7;8}m9A0n#BJxo+#qA?2(Rv5IIuXE4gy2H65+4oxc1?q`SF?Pq#^YC4iyuV6NohA?=r4RU zqMd*G9yZ|IUb%$^-bzx>P6yA7yb%!Kg8|XtsZ=wpdeV^{`xZzraJ^MZ>hSN6t9+r) zD!5lBNT}~`^SNiPvogJZe**ZLFB?Bh^>(UUI#9i_Hx;XnsE*5D3aBr;_{rT{QY5uo= zD*}Y1sDJ0*FQAihKY!(xT{k1t|BxS$y95Xyx`;z3YT>&og0=8fY+~>VhPf*N3K>0m zLperfaDA_RKYM|@)*-8){;G>vnB8DGWZMXwWKNiQk)@lu@>jk8yQ2JsYgK2OD)uaS zoBe3+A>;lH^R}O-v)R}7@fvne&E2JPbUC%Z!a-uZM+Yc8i9M(^ywGq`z2^}wa?k_C=X@+R7`gidnf}* z!vjR8i)SARa`C0hy6EDm(5&=WwDWKJ=1kyf2U@^uG^amEzh@JLr~+6A+<8ZwI=j2% z?Dq?$dpZCC`@rFMh_KHRtZ~<&0(TKe$tTO7Ce(BivgiT_6{vmBtB4M2-fBkGBtsr|~rITO2Xjj~_+9-LyUT?NeP zyVWwL-1E*5`HCG^lLQ=%C-?A+siqZj>z9z^+v4c&ha9lNTpuUL(K4ahm!}N+6NUu? zHA(wT`DXFEuQ0VyX7o(40&qtB%YqtSIE4Kt)NhZ-GsQaJjP$w@TMMg#C@QZMd@d~eI zG9o9NHtVdi>B9dsB;%b8`*z=oCck5yaXQ~C$3@0awZl|FXx;Mp^aRb^R@~82#VtVQ z1P~y{6&~Zx3-EQr2Kc8aSl@XK>{Z06O&tw!N#8(W_cxiC&bVv`hZv~_b&P9N7P@0% zy}omFq-oVX9LbmDAk zxJyiTgOX+tlOKATO0PaG@j)nq6Nes4rKcU4Wp!`}80^&~u!ZqFg9rudD3_CXTwEEa zQnV0xq*++G4mS5}DMz8Sq>}G%FdqHCo-tL*c*17VRTS?HPmJZvOC>HR3qPT;yRKxY1@z@H~b@pS&-6*TnTzd@9=8K zVXHlHssH%C?T6Q1FR-PTL1BAHkKA?1ZD{m8=+f1lp9+0w^f0aQjmV@eg#nZmNN2Cc z$)854PW-oXA1Lw#IC3lBzI_k>ryEr(=5%nNN~s4pBwA5{BMg*=I{j1`H&T<2OZ0gC zt-%QVn&g&WE;@}oEY!6XT{0vHe21-De)TI7mb*AMtxaVp{a?ilJ!YO#q)zkbny6T3 zR$>`Vqy|V_K<^bSsg(h6p$Y3` zrLr8IRn^8{Bk;C;2+#!tsH^Ncs%Isb?)~B-bg$lt5Q(WE_6VKxRPze`5A)-w7sjI3)`J~Kx4fu~yGy2j zr->!{B5?LPxccy3_nU8MeKq83wcIp@B_dpprjZwqWd7piwG#xN_Ohu(kIWI&g|6lM z#(KR9{+-he?r<`!FOY1##cT+!?dl!J0W~=?%V4_z0Hhn*-qA--{gP8;7=YCQ5VgxAnNVZ3Te&)?L7Z~dkolGw%No-`5-5ZaXT>A6=h%I!xS4F^B;A`xrb-PBId{@Qd zE2SvqfT0iigDjOpJ;ctC`c|TWXZ7)xw}y2JOgbU3Yz-E#8NG~i<2ljwiv^1AZ==V3 zg}W-_rk%UV=AnF2%LEcpfJkxx%%4XN6+us0zAMpys1>nQy(F$5`+AYa#fycPk&`}% z;s!VoPfedp^+5foA>Qeo7T~hQqpRTX10#uT?AGxK z;MXLK-FO{ruW6ui1+cw}0C!~6!{c(%Ep+UrdJbp{FbZ!xeV0a8PTcDRtakX^)0s&Q zO3N6hQU{<=-UH1|-jgli{1nyhNU?M;~gn26RTL?FpZkAUarl2wwLA3osiQ?boR!Rs$o4dYWGB> z22F2l|9^V1XmKUwyP0jASI#j-2WKV%LmJqo42$wYqGTo-Y|`&Nx!Px(5;n8W!SxTD z18g?y`vh&rs*C99F$K^L2O)bvHN-5vPYT~2Cu{ag%M!nG_FU~w;@;3=_;Pxdo@+bQ zN^sf;l$W0OZcz)_&ws()cJ0kiaulDM0DH1NXV8bx3E49OMQ(sS_<{d}dwgq+_>^`Q z=N#YE^YqEYx=*T3F3FVhH1mXwzP(3$_AZI>V2qP>{lP`3{cGUo~9N|Pbe&$=J z{<^1ty7a(-6T4pX5tHcOJQ|R-27XP*tmd=`6tP^Jl$ z6FI_GjNi6nW4(M#nEZFWf&uX7LC3Wydd{}NljYBAAF~XogDkJO>F27X|$4 z<7LN*Mb90C-Y91)^C~#-(Pg{?0N4qj5!t78=cdggVF9#wAcUKyEp=XQ>e1?9o5!qm8e7CkQdK6=IsMvX5H!}G z08p6MY8^~r^ir^5H2{qHn`FbJPd;c-*Z@);K*e)jl`491--uW;-a+E_K$W zhRzAEuj#j|N^AGZ*nVg}9ppI6D68B|zw$h^-;v=VkUir9J0%O;e?|nP6L&g4A1l|> z1=-_G#|6PpJRfFhd}%c4c*>x7G=WL*To<(H>O@K|p!IfMj-d7KjUJ0FPPmcQ&g9TX zHQoItu(O%hi^(_wS8=#cwm)`~0rIAFAg>KLibnqvHtPj$zl&`~aSUX_-KsPutTtg>Xt?oHooJd)D{Iy1YkyyxZd^;AhrQ~2*TrU!odc|fjH6} zem|PFMQ}Sj*~+N%n#uxjP$wprJ&jH&xU^t z71MCs#nqthlZ5^7M077e&`LGTQA!xa0GGlN??;hT571j`Z;0_nz6L4`1R%s%zFNz0 zy+Q%3^#W+!v0lLap3Q*|CJ_0;FRxBt%s*z$9lmngBOsONXL^b9rSL1~+4}&8c8%z; z4cG8ERQwpBk*<0LUDp&&>U#bf+e<9u^%8o%Pq<1sdT?Z<4j*dgfbj0zZK_TTzj%C?x>&#UX0}H;b46`3#;dM9roKnuz zbo}GJs-c&=wfW*(*ue=^4H+E};9tJyGf)SB=gMoEnrgeY-Aycb!A3e_tE5t3#kEY0 zb-pa<`#~HX-E#f%T`8v2_@`;KHUE7`&oXkG7VeYS)dN05ucVU81K#?g_ttt9I3Y3- zNp=54G0Hiq7pG7t1EnTF;E9X+1SrlpGI0O`2rLS3-JE#I^vk*TPyUphFq=pu7*5h8 zWIh|rDP=a#f36W5DX0{BpKv1nSMvRY7Ni(qeE1vCz*L%L4=6~JEi7v&aV0*U@xzT> z(XQm^Ots(aq2!-Qm->#X^jXM`&$L}F(!D4`5hOi0adDqJO033Q(eALsom7FQ?*-y* z5A05jdiCil5;a#LVi3H@H-(b#e%f3U2`?=dq>-(zG1C3Jm)(N<=Y`r{>P>3hySNIJ zbpG``3S2*rGwJxC#6{FoL_~~M=1h7jjq+KYF}D}X8yh39NVTScofMqb(MhI>aDiOB z-aR(I3_7;^BEGtpDxuIh<)48%ae`auZt)pQ-0+*7Q&3pKLMdwDeRxxTV}gpDAt}mLt;xVa}b5v`){y|Sc#LEt4NTmNbR!+&sU0e5V$*fyoLveA58Z8Y{>WebV^FdkweZ!Z*=9W&s?QJeyi6r}8@+De6-*gb z-W6^>a;ze%7S`t}+PbI(6_E2WbfvWN^6o|iu5v@)P-z=Z^go{e(qV5?C`y_+_l>>g zoW~ALs?DAuwD&kY?7cHv55s=8(9Y3}u`h7~QZycTBo<#!3RA?*8)xW(5n*?#cm1a~ z(PhauOYqnwdRRYR^eZpXNDAut%qu)%gjgg6J)79Z1#G)+HKEYUsPjyHvZ0zIrhNPB z(B&otXcHLdM+HPLpz@-%0-E~Y=;;A2C44XFMk*O^IO8x%qxfKgss~W?D_@uJ^*QPDT4_0VVO)(mzD%Vs{IZ@J5SxmeL*CgVL8Vr8?9_U5`T&GFLjTY?#s zl((DwaG7h{{ltDdX6q>1ogPokS(J-T+gUV3w03V<(%4y7JV1<>n*YBjM7=AFI2S=~ z<%Or3(mtKkL+bcvP_ay^8JH4A+X10%rH8}glBS)U8a;!7Zt$m~@e2s6NeXYtvq7`^ zExCWEPcO8ZDj7w5mq|J0!#Kp#=VL_E!?wo*xXYGzv*m$Ld49PDEUIH4^9Mv^xB0c& zG6mZh<8HWJ6@9_S8xM)GuQO5AdLuXdPb!WqIaFMLN%CIbpizc$CEnz}U1kmaexH20 zPspU9p3tcv$BMiCXJ^{NOB7W_AHbcOpk=vkrEv}&7Y-F?4i)-=xryxY8XQXdOyFnu zHz%T8yguWk0q37#xXcFPYBX)eHTKi4dfH<+@x;K#OI9De^sPY9DyOBjvLhs_{oAJT z>KXqMM^7bm?>_+yaNkj74pAu$>bM1YX40A8^ewv5*?Y27rt+tOOnnDe!`hnP9`w9n zlO)kU7gHml?UcJNFcncm7dxwB^Ik{|IM8=1;la+kyl--?$Qxn z@~WG$!~Ld+kqUQFzDdW=nOT6kgPdQ%CHcw^@o(%A> zr6g3p@raPmu#*ratxEuCNfx^$le%!oNc#*9A{KJ&wG2-iBGa zwwIloJ-`=DiaOjzljexVQzW=X+gF8_CV4=F zU?)ns650`{k>0aZ%K#$TJPWW^-86d8B~VOxcj{@TVy8ARS}o4^(+htNw^MvnG9vpA z;rL-jE+}t7Rz-A&Bl0IT9`R#4)D=TrGAXTi1-|@UM2v0%t|C!^0jTtI(!%@l8TfzE zij+WiK_F+VbeyM7-MdUH<91Jw^S|stZh7Acyv! zw=nG1c2XRwUo*IR8n~uL?OuJyXC;{VE~Si7bi*U1kE&a3v`tmbJxAIg#C9GKhxj*z zI+%8BU*0rp#qo*?af=Gl35s@%^zDzuxfLL%NGRe1S{}y?Qnkd(DYFwY#xjyWcxjp? z8r(m_bw(6${2_uJp(nv1YNqJY9xo{sm^|3`=A`%ZvpTKxLDL5b>aPu*9!iVQ-AWty z;MYg>a&4i^!zdUvs6PmN|%u{K5xud$s( zLdSKneI>pxM1ms{au3|)YBV&exdQ35%|MoOpupubq`&Du>*_7_f)|*U8 z`vPG#V+U%#i4PqebR&bVvJv$u*hZI2m3i%E?0jEkQ|)_13$K{Y$Cu5nWqg+BOfZl> zc(tN7`T66EtP(m=xga^hAhDUXLwQQzK{+Ob*hf--Wq-1cC3&0Pv0&W! z^b?LLRmN(^G>-=lGnC{&y$Z(uA|mIVdgAHQ18|k_4F<<-^#e`wqIOJ>__+0wbgkJ- z?rl%Eo{SottD8mR{=tc>Cy7KIaO^l32nF`J32N^BNsPLR(07}eFUkXx5!1$P5UsA24bGEg&CAr? z%iw*mcW?EpdiWia^}Jr*`0m z-}Y;6HD_IYmtXM#Zj9qKduaqSOZHasAi9sg2nxvj-I_BN&P><0k<-j6tUo^*uv4>; zt79s*KLiF0d)390oNQPmJq|ahe}@o~=z45Aw)mo8<=`HWE%feviy*=yzUCqF4rG!f zllQtu&@qV!HmT|^)HHMnh61bN&D*CAU*00Zh{DBd!Z#Vr+7g>jEwTg=vrY_lM8<8MqrbVxs=x=CV*=BmKR*2TMQB4Gv3W7hb+MLc-?_7G{F~?hvXQlVQ zshq}nMa%gX+V~BhThPi28=I{R3ADam+U%9%7YPPy)8oN@)7F2Jff`N)L6QyFi89H7 zyHft>J&@MZj0@v7y7p0tx?HD)Vy5pwNhU_=QoCn0Ce@ofcmJb4$=4BYG(H)LopTmx zTX)R19CMGYz7&fS+hGlKo1&!UPo+36jvlDGIOn3YJ7oz4_(t zs{d1NdA68Ql%jE!(OAK9uILuZF8PbSQzU9d-z;HqRbR$>iER0EY_@tFf$Ac8pW5Pn z_FyjYW~blHS;U4E!Hy{lucSj9!uf4L8W-Z2zN|CWv&kn3M~19sT?*Q{*oaPl%=N@f zL{zHT)TYhGCLl{CvHqaA`DLptc5>;8L%M~=I;t~%gRcs6NN5wa(-V!{vS715I@Pgb zu@Ajx41d4`&Tzq%hkn>8J-@a;XC`6w1p>2Ly;O8NBB5s+XK*=^TzOhDDWJ_!BFa?+ z=ywM?Bcv}bwlauP5QjTrkPTCCpLK5;)aBnC!D$UIQPpU$poma#MjEvYu(hVgqw^K@ zzvuRe;DJ(lxQ2DJO&H|F^&n3xJbhpJNKBmItbPMJudz9H%z%|u zIO&g^Bs)SS+vefn8c0`S(6a;MWU2J9U!=4*GEF*Ewni&_l}BFTyCo;KHwlE&Pyo` zYWp;|W%wxl_pJ8nqSg`w`Cc>0@KGqqjffyc(h_h?5nar05DbbYe}5GoC8V!f`c-}( z@au=`6G*zFQwiO}p!3ug{BC=>HLU8KMTEB)x3@_|_^$h(C;01dob)Pj`30*U%OSDQ z#@+h$&-JsV`qqK8TE6A}LOFTfxxC_|C4X$yJd&9xINZk{RzYdCQ(tbx7Ee2;8YFS} z4h~Yxo7SIC<^GF&%hPp>7AgB2T`K|!4Xf!T^YX5z$_QqcZ=^C@jdc<=>6rPf(IlpO z1Noq`FI!7x&bKntRJ&_h3^$@u&lhJwDcjXGZmT%qEGo%$_9m~!E>>FN6_a9nDl_ML z-TT~;NL7sfipXV6v=bUE0#V`AE=LAkz#ZHW*jZLGbKrzPP3#4zf>ea#MOHq1sdaz< zKo1l2&;92Lx!rj!zv#TC#IL*sjlX6|=AMQGp(c|0q-J=7I z`UWkLgij40$tJR1xSscP?bod5VPrBE^Ke?0vvpocw6{G{wM*)ymse7Dny_FCJ?I#@ z5*MbN%liw$&#kCEpiQ@X-K)y zYOf6JLa@v+kmZXQ(TIJ*{6TW*0d(=7>;I4$yC2+6ise*VD}=!cCI-RfPB;m^!#c){ z$_nW$zfb#TaKujt{rv5f=vXPw02QUEFKWXjuL!jsX#0N*9)Y%L_>4O!G6~7Z>$R%; zkWjvu;xl|Oh)8Iz8uv(#mwI`+ZJDV0acPlv?g!@v6Q8Eigj>9)p1N~O2ddB2-}oWi zAnWnf)UGan)m&bRm?x$C>4AnrLK6S{saqA@8k{=iff%*tIU;xU5B5hrwpLG z9I#2Ws>on^ueb9wCjK!xdi3dT1N~;_# zJykgx+8U0ZgMt}XZ;z18ocy{vS5tsz&^k;L9o2Lww6(J%E<%O`^$fGgWc}cd) zf-m@3Fl9j*GaaD0I`n&8Gm9D_c{#qmJ{`!C9y&E$(YjdKqhpmT+kcxi)Ev`hXx4H6 zmqcb9R><`{%5yvEVP=fEI|*f@tYz0+K&q3+CH$aZ1W9UN&`0x8aPXlrsC$u}DR92>Up+0BoSfxUCnJ9)-6VwX6lnHrZ& zSD#QrghtKTJ(yjxc-PtohR?Hqb;KvE^bQNNz7p2L7_3Ev3;XV4n?;SCjaL1mXKt^_ z^|AHM`}ky96bv@?0oB(OlJmFDLwA^WaY;`>$<}>ja7B0uzvRH)uWDDj+1vlk`BUiS z{dk(zD5Yf81C5!FV3%Za^bdsdQi3IgLJUOdnZ24EX@gqE;OUOPi?rT!^xK$|CyILW zy7{CSmv*kjHi#OYg-Obsp`+~lHfo267N6tuqY)R~VoxVOJrm=Z;PUetbO zN~$OMt2d{dhOmSd?|Y!EHQ83xVVv4F4v4}Pv5F0~(-y^^2KS86?6#cu_>eW*3Tvj$ zsy4EZ4N}`h){-q#$k^-lqA?r2XlnAjR3eMdikJtgO6#W;L1r`PGZX#l50d~B6_TH` z6NBIjv;53Vz%rCF`MGzlG3P48Ps zDarr&CyhPgF0a}jSaSX6CT7H%>rRsmDfO-xcK8lr;uQAmDoAeul!#42;B(+DVixR+A`P5kAW!^a=4}3+UJ#hHbz!j;5>rqo-r2FCzcYSnhJUB= zr%LfUFN9&i{zT(p>r{ck%Z~BhrI6Br`wIRu#(JGhX&?s?joGsdEn1~pW|p?h3qVX> zqpYW5>5XQz4vZTu{}UDp(os;f42w888XM5CpN~wRQKLv9b;f5kx!4AwcS<=gYBGv{ z9nBiX>dX)qSp=LoB@O>jF>Y-rXEpR~QdG)m91YP%((UIS(#Oy}HYop~xJ_j7j+RN0 zJg`c;WD@q`%D&-7$(xd6Wo}4qhc|t6EHb91a?W`A;K3T#-77I71Dmq2`Z5=fSBZVy z=RQ6u%U?n~c%^uMNfH~7c z4)S7&N8@(*@Juw1sv0$u;{mjvqOd1w-=^T7 zJ-P5ON}E|qZdwGktn&RCKxkoYB~JK9QP1rGdhR)lJhma( z?;4J%nT8PVX*69juDgrKFLOJ0$B2Nhb9W|rVwhw7EugN}y)m3dvKZ_A;9L&B>*!%U z8CaN6!^d8}O~K+`zFLdOT#o4GMU>=5$6dFN_2F9>eC@lpQPkq1N6OiMHW24;Vs2lg zWH*MhJ(abxHV=n$UdmdMB^rBs##CM7H3f$$no)&|Uaq}3V zAUSRCE)99EsA)O%q32m`x2lRA+;`N!izEGwQAW=8`LCB{9L(JgqVISUmjPsuT!(t2 z@V1FcQ`|z~uHta5jf>NnJ|05F6BhrH>EW|0#oi|er#rlycbmYXlh0Y#>_VIBkcgi- zPKCxpN6#UmYONI(*nISun%a1tows!~s1*?pI8KmMWYQM? zGD4Z43ZOV+&@W*AHtoUDhmEqrg*I)3+O}9I)Qc&#OsJOrGhKcxoeP2I5kT0KDSHyC zv)(QW*0SLsDx}P;>${Um#++()J^TM?Z-A_aPc^})i|kVdI=ep|b&+nqUHZB&%6BKs z$ZO#pg&1Lu$?_~|;xtV+mqUUzo+$sF%yp2B7!gh87c`r3zMmEYiEl`2F`L;DqOa+E zZF0Y0*>1E2NNliRTDZ%0mB}-YOQhMn2bngA3p;iXDtfzTXXR@{6hW1bJqZsxiqXfm zQ6luWS)0UE$th5$+O{vRPFR-^MPVfisgPj(^G9smk&Kpi=MLRazn#J`;qyX`sQ=`rg@-Gq#nUMaRHXo=enSMY}MnY2W&7q}X6Cr`qzdxmwc~lAHC}ZTSwgUm$B` zt4mO$HKvQBGzgB2;&5mm=c>>iv{=rmduKZd|995xiR>x|^fHJ=Ip!7zWimFcJ1=J;1DD=pI-{jwLK*Z>GV+|XJ9^P>H!t8=z!OIrpr1zYgQ z0XC_ms7SX`D+%n*Lx4vLoW&h}pH#>ro$}nK-yf-_8TW z?L*MqUCHG22}8j+h_Kjpjcjqo91k$6va+uKUo5ypkeHepznJ8HR1fN|`dBQ5VR-vWs?;JPIujLukR8vp8~%JhdBI5RKR9nEo0|I@m~YST zhW(L7uGPEY(`)PfMQ3-xJO|gCDuJd2Pi;dxQgYWiUA^UXd zvnr<1GGRS1&HbPv6|MZ|Jt~_#4@<$&M7iMrg*{IB(BL!s-dbgTqjsmZ zP#&h#`DV;wMJMb6-#5EmJ=%Qw)q1c>)ZfDod>}uQbAOc9f^om5FlrrR%`b|$U!QQr z_6#?_yo7^CgNJiA!v^nUXSqMF7lH=eh1jeM8X0UcsZP>}f|Hseb^Ad5JOKgS-Taz) zDi`+=qiuD<7gpD+v|4)_eyS;$xM$E9mM<-U{B_qngLJFi534SkhxqiLr=kZ>I z1^4vTV zuD7*u6>cGdJZ;5sh%0RH#b83Q-(indl(K~vm>WK_85~w*v7Ov%h+&m2-+IzE9wCT# zNb#x%_^@NGl7~;mpOxhS=9||?Y~Q<6rkWB5Z+_EtZFxpWU64~_tl&WCL}_t_j%C+| zKSIX0I>{z&Wmg~vI*R|6oBz4~X8qRK>XQe{`|znN&+;31`Kn*I)pYYRMrZak$fgAZ zX2uXFUO)-{s&vv8gA!Y7Iqu`hY|cZ)iTL7U@)g!7SmGJqkGh?Q@HWAnI+e13WUqH2C9hxej`TVrOe;xXo;oJU;a5qMVC=?h{cJ&03ol_~xi<46+ zSSa(Vf->qHW``SLbQm^mj-F=Px{7!?J+@}kU0h*$dhqU=NBUm^Pihm*V)xvR>r;3& z6Z_sxy;aVw>F39vS5~Qn#F#6SQjTpGH86)j>lrjFiYre3xmQ{2W2=Y6I0ua7?!WxA zXp=PR&d#f|jwA1i3?_iL9GB`aRkK_Qd-&T%vWah^%R*MIv`~2C~8v%r?_779D z7k*SzHG`Zrv82IP*f(i zHAPXD#7;3UxxQXk;-lsJfv!6#sii_B+0w6raYA`ZmZoY=V(e5*4`K#v-r=uRqtW^j zADw?GR=KP^&Wb7(dcOA1E%@9$&6Lp>_NxBqdmyI26g%zSiP2@4#j@Mf?H*WcsynT5- z2sIO4UwI%@s z+N&o`vCWp6D7k9HKt!r27%;pxVhx*j6t3F2WHm8SvAim!bMnWwQUUdZ#ve9H=^xK= zL+j3^on{{?WUU3VFH@6PDXygUfpU?gb}~>N63ftIO2p1gkQH_ZFWMW3ou{hTA%y`m zu7zD5!R&=R6l{^F3d(%ZhP2%l+T|91e0~@zzn~~@70BeK*nfqL3UI-gLKOF;mLBA( zLF=bdzD(M8e^J-9XofH?GY!8gp0m$4-0pB3XtMpVjnVXy4ep%NmVw#Zzy z8FmGoLc=fn2?-A-Z7tX~|0#2IcyQjVv~?GN9U# z_V_zyzDAmJ1?e{aj}>=vs_SyC+l!lNnDz)d$-g%hQpf!ix7$SlRbsSa@2+Q%iJ_e@ zry8pC=B7{sLb{dH=ia=K6zN{NJ1LV)z>bcchAJjt(;thte7!&lkcw5XQoR3FZ67lZ zlb}hETQ0#=x(c6pZ#*x4$G^-#_Hg}HU9%*lrmN$o37EToM3@+pQmB_U-`et_YL$o&doI;NBayZF*EMoQH``1cll z0xPTk-G^;_q3z`h+xT=_f=R|Q#4*-I5kl%F;@VRwiLc4ubt3l>FoWKqu~4N#ip^~i z=R|Nx+U7#3mdSx)ifM{UpsiHky!wwv2M+*!-vJot>7R)KvbL6%=mO$jw&6NaM?2<% z`lY1Tl@JY$Y}%H29R3I^(loJIGRkPCKhIO9EaTQy(=Q2^gM$Rz;#N0YLZ{Twy$tdw zinaOA{0|iv2{S-^Yty12k7|J4d2y6I$^-8pX{^Thp-on}P0AdkwHs7CQ88rK? z$A53#Ew_uT!a{iE5xic6U!p#NhqU1il^I^s83bi`Afzd>APphhK(o{FG=yuv|`iE})`QMvwD4$aeaKjs!)5#Vc7 z?sAEn5StaQ?xmoKN=vqOjOwTy;w8hpU*sp;2n6!@Xh?Hh^}ZAXZ@AP^wfbr4BLO^R$A0yM&`y z#qUX|TWj{GY=Pg{2Bfofl8|D0$!vm)7GM!~UwsK)Dk z(I0w}y|um=%AZUzVJdj& zp?w{Lycl<;*lvH+?*HmjnB6?{KuMReo6KIIA3>j3u;YvBcNaZoAF(B;3oFr@Y0IWq z0K0-UzO6V2Vj$8F<)c6?IoxbCF?|LXmRi)u9rgGVC;?4aO{T8u=1G#;WJD4f0qa2# zRViaMdkWUc`xsaVcR)yzv%9lvJmomcDX45@TA>OjEbdhpIu~sk*qHFi*i|$}t79## z{(~9CoF9)~&sZ=G4Jb_!SigCcP0CHJm0RlI9B2|%)FW>J#+uCQx2-^VtVpO|t2I+f z(G4|}>*8oRMWy%U?EKKgUCFv$JGvCuHX3AZo$dc7QU}(tH`s3sS7vkZ~JbD^SM(9{;+j>8#6C#A$iPxh1Z+3t*}S{v&#KBGJ6UyT!`r&rg@9%xl%i&7U( zcJS1h6Lp_4XpgsUJSPGeGf<0S3{AJAClQa_`mh(Q6Y4S2(kjV$do|K#pTlILG&XxY zPSEW2^d(DkRCXnH-UEeS>_oUvwCul8eZja>$m{Tbm7dqnn}zG8jF%8E1%?`2k5uWPWt+r20T5goWK$*ROD_r-@k~*ms{F-Vm5BAJ zeK=BalYmwzhU5b|gU~cQ6?1y+a$>muxm6WU^Cwj({(?pXsJ2AEL|9anvuDAP^=G2@`&!xSW%-cllcjq0nfn5d()GNVP(I=_EOBUtuNw3 zkf?jJi_X;N@L!fcePmD&3E?lh^#(Mdj?p2!k#hP#N;xE*vRv*I^BySa!A(IPaL=*_1`Gd+$u|0#QV+0uWaRk*9pq!?6pdms=e1oJgW zO1J1nqB2s&hd2W5hfU03D8Q*-Q9|=EUsr;Mi~8v3U5bY6e=EaLlE%8}qS46w|30TD z!*SuBUmf%P))>Z|Yj!`7>SHhiCYM0FPp4JRuFjtUm)(G#%W8e;keJS^OviyFSM6Hg z%_*uf!8#Yl8DwT(``FWkuIcYxufx56El)>-47pLxeubpZdAKXoSox}q5ETifKSdsH zS2ORtX5!zWm)F1!?M2yc+b8_zRK6%%zFG(Rt_@1>5f_ABa z)>Vg!ysH8Yu%9;7GSVC8)ou#B5E`VD8kn&g$2ktBC7X~H*^G-Q6FV+4{O@{!kAp4u zd;@!}>BrI+TS|`$w`fsREWbYjFnuab5-8lkn=2e#F;<`FZ_Hr>lSu&Z(^ca)=Q~Y7 zq;D0x8Ck_Ux4h*zMHM?70X;eBRnw}S&NsHBl+J8tQe_K19DUL=%U@+g1(ohFs((pP zqf9vrI+KTg8`j_N!v+ze%C+!sg^x0J;r(S+F@=|5#>lB)?a`y#X*%0!THimHjzHKW>%u%i_*(*%)f2x)<)8e8;~ty8Fz@s0%wqyE`I8^{hQ9c(s+c zo5QAkFpjkD1!E#dbj;22&B_b!_`(R(OqFzjxYRn%Rcj|-6mlBb$R>Bt7woqp+r;Ld z$M|-MyZkqcfvUu4iI53U3=u>_xNR{d|EF0^eK1%PRUaUB>c^A$sRFy<>sW$P5YRkR z0qesN^afQGrll26Cu(C!q8e?B&^2I%rLp)-SKQ}2^fgr_FVZ%AO%b4j07`8%nY*Xt zuQ4}{R)<|wBI6GQDjwcy{lf$q81u!U3mObDJV5B{%S0?_Yu<|^@)G<$6# z9K;@|iamg&J)Xaao}bXng-{n7=NbHzW15%;zc|`C^=i0i?*W(6;aCsBB2>qC``SUf zIJ-PGOHtRS|3Q$FZ@+ZUHmAIbd|@`T#vlFic94!o#HX0%h-}GQj-U?F0wSdE%)=4cET6_So3>MeSZQCm zXj*kV&&W=i-D|y7x2BnHkyjv-wNq#aI@!}A!0SenjUKD-P8HNRe%uYo@vbT16A?oB z#bI*s#?Xm9eISAW_P<@)=r@K)R(CxTNWc8p%dOY*O;z{U$e!>Mty`Cg*G^`OX|7PPdP7g|X7{w~c=#&5a%_E31 zu<@{E?h3tyoeJpbnf}azNj9}Lk89n%Vp5qA6&kxH*{WCRPc6u&s}%RGov%!nwM)9w zH7wd(nVgcJxy$FL$jZ!AjrGEFLZo=JdHd&h+0OfbYGZR zB)oZuSBM6=4R$@0v!BlNi{fkI@wcuuag6b))8x<-uPr#HD+^I4tU4a^sUbFwv_4eO z-|>4_(I_GWs-`=cc>}CZTrVHJcG1@h44{uDg|Vzam~$s(L^~BmYTw#x-Z2x7=R&m~lA+OQZte<{Q+AaUckLxd08gLnjK()*VK+==y>t3Cvi@#GI7Ga%kYF*BwYMq3YphW}(6z zx*ya>vwgmxin5~P4EZds!HgCVUA(=b%$=3T>TCZJD_zOp2bzUSpBeB8DB-R^&*Nu?!J3E(W|B>GtSfBo^%v<^vrp8k}6(+Qd4+lu+Tg#S?;A{p958Tsp$8;^xqo??c877Vaz1qNS6q^2a&SMo~%aa;ax zws3T&aI{wR3ioFDs^^Px8~v=id><0DqkFuciroI=)j+XCYY_%g@aL{0O(`6|tMaEk z_E8J3YF1c9C>5nf+U2hp+jBp4`0YG@Q~yzO=Xg*&yJY;Rj_ItwEyzW+Py7b* z3`qrtSrXroQ$!>;M7;Jx%*T5ZwYDxEV2<}vQlJ-Tw%>-9;9pja-@Kk;tG^XY@ONNO zEQ+~Q`^XdZ#XeoEQF;eTWq)mPsgY#?cM!oKgqKf>U5q}j!N`2N%)2`LiSVn%=M@W=?Fn_btbWA4x9r6X7~WM^?26Y z7{hy)!?7jGW}Ir@bL-YT4Il`wB2azQ2wXM0;qLe?uoRI{Hc_#h&9-rA@NCxRC%;Pf zP^j!SF5_Tb6>8M$;=K;+f)?>=xg`*f^L5<;M=e;_{Q!ibPwhr0h>W}yN(sB>OYHk) zL@y1jJTTVdU!1x(>VN*$m=+sjwAYj+pxn;g$IQ}kmD^0<51BqFAkVq9Sxxm1Ji679 zGD_LvI(Km%el@9d%;Kj*fIC~y{XMWy7uGtkAmbFs1u*h}tg+&4it?s498V`h%Ept$ zyV7pY(^xBi{}!K7Glo=4g%8vYhrw;f$81(NWF4CSKq(M6mc08~gfh1ftiUeX#q8s* ztySV?FmvSyH-(r6w&|td+mXQW=*!94*hTewh;UbwMB3S1fQ6=Bv76_2Acr?wh2l9PLieN_K`*T*;~% z9cj)ZR&QHw$YpmxFTvgm;M+v;Qmm4rGe&pkF#cur6Xj>ds*m?~!u5-Jh4#2B(Y~+@zW~W#SrW+Xl-KDBwVu8G{_TmeNb(zM_L*J)ay-I} zN=2?WOy&U!w2pj@Ax3J*s55xY@`we%k3jf$KC#wz;4ov3Jsb^trT1{Wm_D#Ulc@^^ zcFYmV%=g%O+FY{c;Us_W5>Z+6uEb{`;H+sWZNSGYr&vBh*TSGfMNZy8t>!N&wa5-GqJY_x`AoeP{#OLzWn+D-;M#Yvs^ zA!ui;)oK2Nnc8bGujI~2_kI^^fuR67O)T~dX8WE*8t9k_yfui~XPLCr^9qbjX{Jq^ z8Idop6v^rAXH(!rlZ%~SRb=HBHJDiF^m@PSodPJ7Un9@2UNdq%@f+3up`sLgv(3cJ zmIaT60DX_VD{8hJzdJ-?m9wO%1i!Ri9O@5`uMixu+n5qs^vkfSVY2@|QXfaJ#=5?o zXRxCG;_5TNGH|)Li`NW1qM2IkJ#~TWu-_o8_uLibyEA9C`FaSG^KyDM9?K>BwYWVp zH&4?sYbAmP)R*tJj+r4c%c#TTMBO@lN-n`a5OY!wXHsO{G*V? zWro*?bqHy49Z#*M@5)5c0<@Y!34Uy@k6mhb$}t*g>-7b4>x3(IIJV?67zXvw^@UBm z8}0>J#gn$SI_=MMmNO4uXiWq4R*tCetB6PKDwJ-Wj$`NBzOjK@AD+wkAj&$+s}fnt zd;GN$qZp^AX5}wNvK~y;7Szkf>~y%2$EkSQ?uEaAHm7UcdsBKnf;#c(x~W>W8I=1p z?np;W(SEmXZ`|W(?}q+g-_8XN;zO1fk*Cmsd}8SQ2u?@6E;Tun$Sohk61^mAtf$XI z04ilOzr`IZf9|U{*f)$Hy{9r}(Ey#H9&_u3W=r@WLpf0N!$B_&oQx$H$z#QR^c>sn zCv7%eAM^WmZv@&l3dg7DZs1!#in3pOJoa|K16x1HEY}|3`>6BLQM`44TyUQwLW1c5 zGqE@CL-;W(lR4a!Fyi|nY(^(!VB_>_RbPeG?3+sf6kmsK1Z5b49Xuk3Uw|*ybH+U= z6Pi9B&b#H}LDS=rxXv0&E2WZP1JnnqwpS5V^cd}u$CF!+Mngjz^Rhie(1gha&7GGV z5hJx5Uf1Ppkh2G#m%ctD39PH?v|U;CTY{%q#evsmr1aSrC?rlZT~n}4uV=^h4SA*< z!FTXfRpYkUGmi+(Xu=@p^{De8I-dXL*C>Q}e|O`jEuECc2x$e8rt0EOWs9|m;O)VV zurPuhv{7#8;O)rJ_DWe%h6yTxBmf~Z;m}*v@pSW85Z7!}y}yv^1iSqTW}?t?xIfa+ zI`Q;z48mfh*=S?hlkX;pf=XdZ!qU;Q$i6BC&S2w2&*8nm;Ncz5<(Dg&H#-#HJIQBW z>Wyo+Klpw<7Wm~1ewemb1GR|8m6q_ke_tTZ&_=_QHVT^KOb))Ap9WMV{A7(JeLr^A z#AL$BK<=3DAUrB`G_=_WCAg}HW5XoWN|%3}1bqfH>e-VAID=kKJme`!ttzNVRlwU8jf(8XETsx7XZf z>jz9^989|{OB&hyeW!&-NZYJ{&3gX+?6>t%GsF6ieEEgm7EMbjenJ`HwIf|cSPL{$ z_v#X9*lL#O*mhyC*56AW$DbeXU+8g1ovJdXB2CroZ~}W$`q-IKd4t;*@shgtIE;0T z!C>`ZD&;~jK&^4eO0CL{d0%r;>-oIe*<3CgRo>_G8WeF-d*2@#wHf`z_5G6fc|fvW z=9T<{+p&|4>->yI`_Lq_#w;NSBd3JTSt9O!4m=bZ8?|;=W(c8BTeY&|1;`;EMZ&v7 zW!uMXZfsOb77f@V!;+ovT&w&%IqEP9Nb={pWlBBq>I|>n47#-iTrVgDr)HDDnW_@8_b zNnlb_29=lS^mD$GAU)NDXP}B(g@`sbN{o9x_cz`Vkl!{UTa<)|WktG3h8c;q{`f%) ze1I13lJxBEjzRNFo^Jr9sy#H%vUOf^ZSO7p)WU4L*aVlvae945;_cG+l?J^M=L=?opLycIq^z*~B=d}*esczP_M4}X zF0Teqb}!_^H~Jah_hF}0A;l{kGJrj4^zhqg#2OK`Tv6id1V8s+<7(f$?mVvRF~-HRE(B~6II{s=K#7pNc(b_pvt4=dq*?~x zSgBv;7RL1_g4#%v&rUOv&3HnK`B7{HZW_!2fG7gs>v~#E$jVTVvgps`z)Ab30Cf|6 z1WoThuL)S`ukNC|wmFstxkY24OiICqg;JC)-?b1NaeB!l!`;P(w#7(Sq+HkAEkL*3 ztf$fpX3valiqlg@&FnFC0}<>46cD*SCN6mMe1>TMekP5AGN1T9>HV`!meP0_Khc&)SxO5#ZQ(V`}Do~i1vIy_8PmF5?YkaPh< zDzUd z!DV(^FPyY#3cwW`CDVIx+u=uz%R>#@E5l>Dgsh!S^ZxrUc%*wVYh3_98Mq#U^Y$i9 z==)ZfwgvTp;dW6Un2w}>h%~i3ujafSUqjHqfdvIO_q)E)f6uL0@j5p?XBT_GK$7-^K z{YxuVfX`U`O>T4i92WL(!xa?jl#iCbmfW-QNRwNIe9p4sn4)m3d#CAk1wI2g*AUE} z^Xvx}^d|=|n(2C~Km)L}ZRgp)N^v!#-@gB8T_D1qtv zY}EmKHYJ8l@N7FZlDVfT`K-%TOF(?^wws*Hh5tHv(Nb0FUvU;yDgVqle}rO^`hgFe zSJXuHsCq`hBiTqa0r&X(_Rlul=O8^tqlLQ7e4BZ+5aY0?zJrdc1Id?r#<*+T(l(mN z2XPW#w`r)^N3u(lh-i{_p5lj;(;UI^jbH0I$asrmK*-; zUUBwxYaYP0VC`?UdgJ(k@rKrWYIz3FJpdPP6W=E%lr0xsPEIbN6ZN)y>w-b0t-cPq zjelat*ZgIKGfI;{V#BXz+iETf<-#=^^Aj+5GQ<8DUYW)3aWfx!E)nedD-%OUqJkyU zME-k9P|G!jxVnr2c;;h~BCFu~pO17^TE9O;*gtoHM&q5_w_7k`7fbbc` z$Uz7Tiy>l-ft;WeV2hHl!gia!YfFB_L$Uv7eOh>%iHp%(IoK(Y2N>j*cQf(U-vn8E zQH#XRVoF^39hhyC_4WMK^pH#R#|N#jdLsH=r}?sfOM$b4Qbu!JC&dG*96emRF(y&pI^Vil-#nrqcox}Y&Ur?VUETIH z7Vp|>XjsQ9akrI;&OaB9*9G@MfvvH5j&mgIUCH{_r^sVqaRjbgh7(+mDs9y9`|stmY@&fQYb!C5``P?er)@7;r+$fyEbMpV#=)>RC;hHq)!kd%D$>-7u#5sj5N)Edie3_2=o07ifU+<2Ms6@VTi;P@ zMvq>su5LwhdKBI!^I&&WK4nrl2l%lm*Q}nSJXray<+@*7l*|jQpggJd;*8FmAR-X* z9V5=RQ=P{|;Q3Uxf$% z45nOtvF~yssX^t#xi`Tq9Zfgpc(OFww=FKXtg&y5uZqLwN+$bZxHCC}UdKf36|<34lFVfF`#>p$nsZFV zScE*>5k6o4Wi$x*RFKK`jW+de=`LUQ`ltl6%G^@K>Wi9?QSPpa_Nqv!kC4x)gD} zXfQ0=pTDHW(zA*6RLQlvFf25LI|#%Q9ta&(a@K?2K-&tq^eI?dpt1Gex4gu1Z;}5r zaL+`mVUi2JweZ7n>V%7=xLPAn2su0{x*7#;|N=GZW_`J2C*C#9eda<w-p zyu)w7=?0__pL{#WAvto^pMpiQr|h=h0R8Zc5P=y7qEOb0SeckeFyYrmncIoAC2%M( z)-QGW$u8a>w0$t{ZeyFxCb(06#_5b5kMU05l7W#yfcMSFJ(iUD^;wU=AxQXR5QzfI zw8A8K8~9vyL}o??{ZLBAIVwX};4UGS$!Pfz{m~Dg`tJanl_} zgXP2GruheQ`$*E_xXD(dU~OvZ@~r)OT?@ZASu-u4l^sk$L%-;f>06qLn<;k@|81c( z`r-Ixmzxx&*@F&d2q$^h+QzqH_`LSpNu$U3U?KUd7QfHEBWrT#_kFAH#?99Pr9BBn z0v3XUTePteKUx3=Ax#3CxV1pS$Q6}ew+NWT3uO|=h{!y5ntgjSzC%I^7fuDoU~I>D zL-#5~*fDtPr%+V3kHA4#&h&;d-p`Mf)_bH$B)Fz<+cly_IzGYWh8{e{Z2gI)x!u&; zyEK<(@Wdc>U@fVvfY*=N04tl1n}o-YSWR4O+lE#nc+sdngKc(${ZV=Y!?91noJstJsnEE8#AhVbdHm8>quR3F6RRe zUB2IHZo4*XS%j-1!Mkm*Ua$Y=#he7^z0m8zS=Y_8Bfqcbm!TJ!wGXBm@hS8-oNOib zm6w%Eu5>#8WK>Y^`#-hGhcMQ}Fk%TG%L}vM4e`UT`OucQL6FW1*BIJlktVZ_x-FS& zCpOI7#(jL*8`F8)$(~XIY1(2y@K&+B2HvWF^Z+wC$uug>VoPtBp3SILT5o9oD|$K_ zmUFD%@yQRIfh|+A{(&mN8_IA)bMrmn-dxnjzu~rDY3VrN`2x+FqreKp0`CKUGu%!6 zZXOjSK$+&Abfh$ZmWH27+U+a!ZOYSgl#BjO!`Q zg0L!R)ra?uDy81=@#X&?;f$pt9+`Wz4kYXjQGZE4Z{Vmrdcf!yJ^vwd5_wE^9p!=E zIr@Yk(ug*nVk-|oG;T6)B5ilGgi#J(vo2V`%+#Qbo^=@@U<31y!@WX^ADAaeF{YG5 z?PaDJ?&URq=uvq;gHpDaZo#tzPsNQ)72Ruplu8EChn;;;{A9Oi22rc7)y#?Dsr`{L zN79sawLa_Wp)&W=I&#IHZvUG*Rg+@l8eyzrcgt6LpY0&OA)`LbtT7Ir{dB<01}IlB zQRPmIDFT02rY=5*p!)vEG*voeNgLDlbnPM3@j?iGMNP?^)^-Y53WWw6gq92POE<2u zy5h;nLjSGr=!dv>Q1^>x1muPjp{vL#*wX3$ooMUa_o5aDDyv#jL(i!<`mDr_^LlNG z7mRAj1e^ZyAwAydR-7k6TG5Do;am*aJ<$k-ClPpQApg5+Sfq00y!>Sgjdvi?-_L?J zdlrh&t+f+q_87X<8GxLfj7+KPiQDvRzS4`X#L!o4a)>(lPqtFE1-Gl3hJE6dbbW|W zZ%WVsNm1*>L&;g81a=T^UjgXDYtyWX@3x)C7NNf(MH)abHhUg-PZ>ZBB~Ov*d=Pq; zHZ?PwqHn_c)mIm8@CqZ;(;oQqN&_<`MVgFk+gbZZa@QHqCnRipOf&@R-({?IOcJPI z-{?pl?w;0|K*A-8j6JK|Wh`;_+`b2wWupZ^kg8H$)u4v?Ql$h&NQs<~k^>bl7Q2-I z2cV?(+^#DY$p*9z9EaCS)(dZ0n>;t2gVCU+TEE zdm|>cP9R;>NpY?6lXM9RYP#fd%LICxphrO{*XL;CpLEwv#tt@5xMoosL#R zY>Z7W#+oXMSr0cb(fceTxc5FEJ>%SQ2{=t?8lKyR=R-XKzNre6)| z+ql+V+4Jh|EpB8)rqd`iFXUsa;-=W39oVT=*4#<4Wk;W~VvRrEpdZtC^^WnH$1}{y zyWHM)z?KPEpJ%YpSR|5GQlZ5xxA$Z&?^e>v_K&=n+x*F4-Fg{K?Sb*2b%apJThQE8 zPMhDV4S7?gnnyyts$+`DkqA8(jB6L;@s+3rLdK=g$0)Iio51V3_5WSu*Vzqx zrHI^uQv?uWjXqE-X%sLPQzFKkB{3(j9lI!XQPV6)zV$`)VVhPrlFo#>_453WALqCA z$`Zswt=}BFdu40MGZ51R8vyF#(~J)6(#eG9LrEG!cP`|nwzh=VCn>(J>upFZHk4Ag z-bLKeA8JmW<8t{lh2i5OFSfF^n%-8N{CEVbier}YANzk)y#-j4`yV%ajs=Q>v`DE4 zNUL-!2q=y8D3KW5J>rOzprCXkASI=ABOu+I#OTpAy7T?r!~gR>&vkKm*bWTX?%ntI z`^k}EuYVw|X-D+EwpmvkD?&JU9?X%2k9nulFPj2 z3s1+(dLchJQDYOm&v)w-_ZaIUEcba~* zAu7~8%}cQ@)ZNtPr&00)@#z*SOiC2CO4eytaj(bXfk2>0!+q6?4$*%CV+mQSL6<$y zS3vY(Vx_`3teKt zAyTwf4kw9YC(8$dmBbJgShe5-F`eGWU8I0{Y~rZaA+2$narIA_Vk|sha;g~JbeXu2 zhSE-Y1thty{N%==x~PR-_t4gi`u|ZVOq{omZE6uTWbYS6u?c_b;7n(dJ zN4wc8VtX~o)ACn*xry5biAbIcpsdNC5aWkJD$3`N1or#q$nW%cU0o1h6d1Q1#eNHa z=xf&A>%vD~TDb=3Ziu6NoH^ml!{HS?la+zyDcC++kpbw)~6=i>v z89Mfe8UeOFSe5Bez9P$;X-rj|6w0w@A!r|MOlsQvJNv)W?baF8}kJG+LU5Z>oB!>kEh>#%Ey(}59J6_WM~_Y z2r!2yJjOe&HHPZE;KP1sdM8)||5CVPnR^7`hc@c%`D<&$&1a^Tm$D#wv2Au@vxM4L zMQ~s5<%{;PwIpGtG*yTQp3FDqrD>lGrJph0sF?{wNWZ5MXFoKke3r2KI`jTGcU3`F zZC)l$M&~48=)Y?f#JOn8mHYP{sn}KZc8&`L9aEw7r86DAy7E`|HA~^9$kVk_?Yaw} zA5Z%xN|ea%#7XIbgQ@7T=j-f^kpwxp<*Xv^$3KhRt%&!l4_6z}#i#h<7NOwt7>8C>z=_~Cs2%Pnl|ggdUQDCW?r!M}K zPp5FC0vMJyaGjM)5zAwaqVQ3vh4&Nva*&nl%`<|(I?L}YdOd47ufyW-c*B-WijYrV z>qpW%fCx?O_#+$1cP(F=pUuV%mck%dq3xSbXVT?EP6!=R0CU{7J{o0ny>Yg>#z)!=l?(kziXY510!D~SCx z@x3TC`G?+&RKk}zlGLI6l6;58099Cgp9I*RF#@79VbSt_6LII}?H~jK#|s~t<{y2d zZDg6uQ@4jrFRT|OoaMvkC@_NiJHqtVa6$c!@|DRavi4aBpV0&ii5sU^l!6Y$5x zOhjU;A9I^4H(pk+!(t*5Hb$>&1m|5$K1&;ud1QiUqpGL}xO|L&*0?On#OFs%<#Era zWvquTr@fKi&ko04>k&a(h=L?=2nl$PqV0b1P(=O(^pvLdHF=k*YkND)Tqo>pQ?fzU z4~_UXoLgzw5JVVdp9BwBVxzN>W7*`138fN}L5p+$YKSM*N8I*QJ5cnk_u*KH$>a$} zgWL!P5htakgMR_zk%o|MB_b5u(sL>=8?E8jOB2nyEdFyu!M0bn%mQ#+A%1w#su0x$ zC@C#P_pc@2dZb4kJNVO8Xrem{<4-=Jw{0=o3kw6Qh2$<{>OhZO+$sBIcoj(@@9K5^ zXYNApXgg=XZ<%kqal^h(5U{9%^Y+ia)V9G*2GeLT42&u)YcT(K*K3C+^R>2)%$KnQ-xAjg8x{cB(djDi1)Al*JmE;nDXEakl zM1h_IQ(8ARFhnbAI(=S}kd!BLY;ewh;pX%>XRPF_L-CykCeL3ew%n~@@mYr^1x0WO z+XmZ8O<=Ji!h^gVSUYe0vYdEb#=)k`G>)Kf~OSGf55<2$yZSCMgwq|EYA4MCcNSadx);2cu-h zIr8vx{T0{AmDS~?{Zo;Y$^G@Ji51xR`jJSl$6(36K4QHzYTj7N?cPp+(Cjz1p{384 zs4!PGhyI!w-sXOVR+gbYY zN|N+1(4jlO+?n{_`f$Hr=!F3BeLMnI>X3-6lILTOjmvOS<~y7kYKwZx?Df;2;uOSvw$B z#VOh4n45abHGNNk4)TTkRn19jg|_s&47E(N$$d+i@AKc%C(adoe~=joDTMqKVc5yo zwK(U)4%WR3Y-h9goYg(xx%~}nl3})TvzX7aMs9&Wmmp5|0BqfCw-nfjcrNDWq{5x= zmhWqpN4CfhPK{waacU%=iG^w)|WRKDlL|>=J7ySf=H*#CxE}*X?$;Of=$q| zx9G9-0tVl@8w=h`rPbOI93T=5sL~dZ@L=_p0ghD#zUIn~+rW7*dTO9!@;jyAPP=-* z^hXvTnCPzxvs5#^8!_%6@w2$LKl?3Q2b%xxsJ7CZ3Xg8exuSc@kRMqv@50{?sLD=~ zfLypi>Uepw7)mZ%nTWj&m$JFbn*>b+J*BZ7wz3@mXRN=-8iaICLZf9pyfnJw{dHQf=Lj@Me5M~&A zadOG}@=|NsNV14v6m@!~!n|xhZ37hv0b!^Ay4M>`_0w1|Vs0CG?0#tGEnzAg;VpRq zfDe+yC#Pey6sZ1Bf%LyKUj3DuirW{Ia)<1DWl(|=4!Shn4Va(D_GLL(1FGv+vfv(?($hJe93s>FHam??q(b@vV=YTH*ls>WXh6r4fU_0+ zuoseVMGO4hD$D6~i*9EM_zgdhp3iqF zwiYMYN~6Xf-AaYBY05g5wMsQh0MG*60!YW2qa;@-YcvAC)Wvel1P8an>!iTD1&HY+ zS+q-8M3<87lVlL;teH*1ibcYEhMz76mt~=Uy0nUUVsBf%h$$38B1+AMd{%EZZzH_g zsWd7);z|>gxRPJX8zFegmH}37l2w=oQCyiGXmyMhV(K3kX#?M6hV1Zj`T0 z1Z!5wtm@GA4dI(hS-IHZw{{h5^bIJaP{ABExLWT#%}^-W0xazaEG+o6e;{BiyW!T} zA2q-~W>&CtdoeYs(mghE>yR{2jz7=V5-~0r-@ZOk*#>D+73|zbJow8N&C@O~Vti^A zNY{BMI2|5!H>OR|)cQejjKB)j@=?wFqrR+UyUHg^>m8*2fPh#ks5J3V=k|=(w@BA? z(6+Q~V4`nxZ?x^q$0u>pe z$e*LFRfE`c`M9)mEJ)3rdAT7DA6TsV>EJpKy2OvfOh7mlI5v$u z;G~Y$po#8TbMT6e3)*w+eQ}={cGgI0h3Kv2o-ROZP(B~7&Am8{p{?_9d`YX~#- z<+D_Coxf(hPZ;lH%WJuDfg076WY>0|(rcOTe|!oQV<5?&?ZlVBTdimAtGi z+Y<8UTck&H-QaZngabqh>`<9XefHej2)P5<2*LO0=)U2&hqBNk{C+UoQtVW>9@5OZ zUQp274ZrwBio>!-Sh?tjTW!Q}xItuMxln6|qlXEhWGHBUs(ji?&zN9?ZLWF#`8OhR zqEohtv*=dENlDuUjz!vkeI0#G*P{^wrYQLrjgq~wkGp&954|22TjUO{y}zD%daI(e z&=Rp0$3gSfaosp&#kx%;5hSGj(T%h1oelgm9we!_*LSAjstLW~!EZ^yRh@-Ji|Yf7}@U4grj zp<8d-RB%##LFVD<`4_&bnfx{^B>lu*{23YG zxD5iJYw_tdtp_)3(L*F({@S*FJB>~etR;9FXOO6jWQVES6wT}vci#X)P<*1mL`os8 zIVPL2alU_W`NPT%uQPUdo{p7)fAq?uB8(pIM)qtowl zX;ecQ++I@D`_!U%HVoWt zTPaXEeSm`nuJsfz_!HW_L)69Z^k&H+CSa)mKI*({*U>!T?97$f6jH{?cP}Bui2Ad3 zIz0zsVcYh{tu}{VX(j@t(p6g5^J+8sdys|7sH&^@3%am5E@CQbd(}|%BL8vrh#A}U zN~qXnE?F{crOI{OaLIU#+okFyq}2L_#HhoEKeCIobmFV z*Z4ulatiWuM99t1`^7zxk2z0s-?iscf4}A-k<~;=$vnR&lz$!mu(HpI2q^y> zctZm+BTPd<1{$=K@z%DEWo4V62g`8^@L&z>UzL3~j}PUvfWc4=DOmZ%HyiVVT-rE` zfRJrHAb$szlxem}ar>NNwWtM~YrTCfh!n_Yc=c&?Sb*f#WF`VbmzPpOlPDtIUq$uk zQ+pax_X+`#Ut6-ssNY5>9x!D!AJXQBo<@+)KQkN4ejSgNvjb{~o0=ZKcv!2(yeNSt z0(?j4odbi)qVUA0!>}FyQz6)mumY?N$5D~s&y0Ez8#Nxl8 z3W)n=L+TYDNtRW8kCmr1Fe^P)aoKQSMxbZWEf=b^xU+Tu94RSbAqk-29#z(5K2kHUS9OE^ zY1`#(4jZxC)E4`^l7?npZ8BFGo5xEqeAIo19Ec3+r_DH>3GG1e+20@q8NchKA;%iR z@3L?)o~`hQWw(Ab1PG{98+D0B^q&8`oMuh{>in)|-HxfTLNKhkh1JSY5-4_G@40ij z*U+t1hkw3o%yD!Hg3_Brx2e}krL3RsBp6j@Lro)Y9Q&%{K8Gk;E0u&>^*cAJvY^8` zHL?cD_2l`pQ)H+P<#CcFUHNH`lN0&qdRI!U`G@>Q&1YXr9@llS{);iP%`<%qBCbHd zstI?EOgLA9QP{&Q-e8&VZK`I5_9JEPw?gYX(W2prr~NH-uLu$OMKa+-)!`ChlqPCU z_1w$z`k&{IHcp3y-e>$3aGqwpbG}BfVqlxpfFq|wxF2mZIeHEkaVBEEsh@3Tnj~k; z)D(#R6H$K9QAULvT>IkWCv)&dNBCWg>sS}3^kS`@#;=Q@m)0h}pR2Tljxmov*OEh4 zX8EJ?JB^L=Yur!#Sa4BPpaYP$-04I_e($#xB3s_xPljZGv&c0Gl-DZnk{BLj2&YQQ zan;mw!nOXM>)6CeQn&6A}(zy2>Xp~NY-ujUZT^lZ_t?NaqA#-KzK#Jf^rQA8mT%b4l+ zwcJwuJEGOU6V#o4NXttN^`7{n8tppkI^#sapntt* zwNjGSGWkp5EYr0_3Qk(HZtn2;4C++)`w1>IzNlB3nP1(+Y${MjsKT}^$q^}!L^^lX zW^`e857SmX15pkPlgU;Q{`A3c9*G9S%4c@0*ibGDyTl$vp?tRlRR|GdN|Q<$))h+e z_UW<*mzxhS?H{Ijo`+$nK5b-J3_S+3TKKmqyYt1WTN=YxBu;d`1| z&RlM5M!9Q@t)EJk^=tI(ja$N0nosZeBau=zga)cbAC3!`n{fJGNDl~goAJ9$UWKC9 zK$MOu4O%A*3*@sn`m;Gp&;0azQHMTP+xwBs5~ri)%o5{P*36OvJ=d1_WQ}>}&8gfL z4U+DKKSj4VE(CNY(Jy9fL&D8=q*X}NagW)_=`|Ex)Zn_?`RIcOh6;~+waU$|eWCg1 z^9Eu_L1-dQzqepMxFmFPD2sS2213vj?ApkhBe37R2j>I7+yt>;=D|%UUs}b|y6{a# zqREC097`>$vySbR^@ksOyKu5;m5jjNT!{!P3uQA*P_V|TU}mn~>_z9VhI*YjAS_$r zZs0=ZJC7-L-`Od-M*a(A7tBzxggbL@tFbD?xJy^D?vQj7C|)NrhvjNySAl&+>dc+t2Ph zLN;g)q@*%j;livvhebAdLgVHde?w@NfOkdpw392Kxi%Ma^yEM6Q>#~ou(YG#an%d! zd_UFGk%^caL}Q{}Gh~kY-@J5mcv+!yvm2~(XgytDoUE{C?Eph8g+UcNktuv{jkttP z4zcC|u?L|5iIrFk(G(!cFI2T3sCXCiBaQ8N*zC5CLmaIn;21$S(8Pf@^{uTBj?#8K zZC4j+K!=b}?cRgx@~AP-!R?D_?uXOf^dp@&&DEk>ElczU=A`qZCgnS^T-G_XDZE|L zBj`vzz9tTS89zPxQpwrPEp*u*0NsF-=b_;Ad02*vn4_kSMeAXF2f6IF5WH;mJFmde zl~KOeP{It&Ex#0A84SEz#SAi#Y}}bVXX0cyF^b5UN+j+yXLOTLtM&JnDMC285d*Hi zEgsFTDe~OwUJBpfjf@KnPgZhq*8Oj5Ws{wU)6AC)zn-gH_npqVLIWLglDhL;%v{JYpP!VHo{9f!z=s?<0q6Vb1ef){^OP)P3%8l1ZrqH(d^ZZ_zE3}H<4Obe#Q*HeG1F&Bh05Tm|;P88%+)BBvr#aU~%{R1Q9 z@mt}rB)&-$ArcZbDP~yjtGDZQ$lFaiBy z79@uSAIRPS9cRHR2Mnlen1&>#BOcY6QE|-QQtIExoj$y4aa!e@L3r_{(i!U-ton_p zttUjV2l5^%7Qi|R=z&KZf^Pr0!$hpUCUN6zak8D%u4TpP4P3%?FaPQso3VUL@=76a zTGdL0b9OT|nz!qMg>EFuz;T3mu6TWrXZ6>M*j*QqHWr*PQPlBxZYEasg!y0Yh8*K{ zj+n33our59Tb(P(TC-$_&nl$6J3TEg(Yey2;(29oV4FlBzu?^u%D99oh)z#04Nx^Z z|78gj9PQ#8N4^7gZzO>h` z@}1M_wa)uo@gBQ%B{$(xJ#&SUv&h0%% zZxGNY_qA6ljlTL|9FvsyumGn$q%}DFFDViq!S_gZ8Y0Es^^x09KHFb%PR#dhY)Gm# z3QF$Vl+MNOC>>~VC$wDDO{CaYi>8nd(p#YfaFUcohr+3E!lfk$spsQLBKvRp1YJMa z2sB*VH*NrJIak_W20A8^&`A8wvU=OPe%gJd8IvCDD9z(qH*VbPl2p%zx36sX@DeCd z;K+WQqemb zFx}CBewXKmPnh8kj&*b(q0F8d)n`#~{(fQls9SHKcxYxzN}v3QOBR*c_1mK(?1Ifub8dZ}RZnaf_Z44-{?o6~=y3a$Jy73sn<=sNKU{b&P zv&mf+ICh8{1+|J&tes`D3d@H6na+vvY>+T?*(A}qTQG!rCSf-&Oo54$TZI|?=yEQZ zKJ|5+EVuM(sJL&sGIgY$ z^H91*NjdsiGerhqM-4?uppdw=RNwX(Yf1j^l?5Io+ojob{gV8ZH?w5xFVZPd=*?Xi zH*pIha1Z7=(Tcev>U#=uxH>#37*DcBdOj{~q_Q?Ig5<}10plB%iX{cV+rQ4-wcAln zM7;#It~d26gV-muC6@9)9s?qrj68lnqJG`foE7ymd5@#kgF83iny{W(vk%U~Ba%8Q z?h+&_ViQDu9yKrW98hqJ3w7)EcjI1uNeLta@6(F zM@3i()7EjT1!SWP4OG1%F{|0!Yv)y=F|KW=$XP&dd)nw*Xo_}zx04EYG2K&xWVgle z$%VW%nowG!-shmt5SX@#7Ys@B*JzUY;Y>!XASW4ES6OWYk;^@=PLDgYg%Qz;Eu23Q za{b<)y8imldu$y}?3Cu-0m(7&5=PPtQR^4=znf+p<4zR#DG*b(9ik_zQ#C)sr#N37 zR&4(iQq|B%81wr46eOESL2$2dGIZglzMHzQx3%i zC6%2_%uh5l?XC(0O(zmxCzQj>RVtsk*Pj<{LW8%-PHVNW`%fNU$>P6{a2gg61qBr1 zGw*QKqpj?xQ|P7Cdn6hg)(Rm@xL~ukNFqDtuqXSUzTX=0hu})^5!$0`8cpa*H5vE>fFCfsmK`K% zH_~vP&MJR{wX@jC8?8ivLcb5mb4cYWE(cOAe;h)f0cB|`JMgSTu9%tghm@ud2TQgG zqrAfIn2WPs-`neHc9*~-7|(e~mym}Xw|J3rao{YKxNB6tOcC$5cyTcjU}&wa3Tg&( zOMO+%+}$Z8;4^sWkBq}8u1jtbG6~`uC6NdALC$b|h}CS0{m$D3lf6_7Q zL3Nn2mZY4=yiKrIR!aj>d<>a8w#2GSuHy+H4hC48_2mZT*Qg?UPOWqv6r8RJfb8=i z7O<9j{^_`^{V`PW=YW=+AT_Zi;+3YN-y&9 zDD9r`LNaDk$LGcVNB(2ytK*Kfqk?I1yMqxZ+Y-I%3Trmuw~?Xs*#d4`-G)pr{E5DR zK)2F3J=>r?F?Z6?2nd*UOw3ae$&Md%RkAe{rSf_WJ~x;W%|24u{A#fgFw^Ss)T7u< znU`8$BpY_9Upeualy|(w?6-JfVbVW0h0or8I%pCfGcniV^x9c*t+2okt1N5_pcF0h zizaZPF9R0VnV~U%xoDl9wKvTFkVC!Q#@ufOfvhJF9gBnADTJ4JEr1 z<@hZ}2m9n1xZBP8qF5cgtla`PH#gbNXc4Ow^kPqO$nNUfK%+$9b_@wS9uK(fV=HYx zO9nQM{sk}gtQShjmRSfOSO8i7MF^&04?Zx5HAI7`B50y2I<_s^wL*SR(EFx>(=8Cx zPg-Jc6@Va!WaL%^sW3^DhMp;0EX^AltKbjTT-^Y91cDYaxw%-aJFChD;zY|c?>BLi zK;QkeSxK?vs+^NTc@vZ{Pzh0PHdTG03Z~jID*sEHu^L4LSDrQ$I^|SkeYo@$bI^07 zaV4mi-dgG(C~GwEgWJ4x^Ox);)ja&q_}wqqMRvNLD*aQi53=9iDlK|WyrmZ1iU=)s z$4M9NIq~e7P>cE&+px}GzZfAf>#fnAt&e@GcZ37Tm#J>o4K=R$T_LI(dNoX?0Ik)3 zcK8q@NuP}m_*|G@m|MVrDFi(iZEPT?W*$L`h)Eo~;>uTcRdPd1eZ?n9Uwf3|W`D~l zxx~%U<;$k)Qwz`Q?Z-Y{StwDq`>i&zbDCy;@Jr?{H*^>?`f~To*?y@lmK%dV1%_9g zP2uTdgaPINtpD^+qQG6UblSR)Oj<)a+a{6`Er%g44_VMBl)|RjQ1OY`(i3LN7}PS> zJOp_(RWWw(=)riW{|`8$P#7U z&vn_xOt?O>%sAZD>o>_fiB@-66gf`)o-`9IEeLiN&||qy$L4*!P~p%3ByNHXW6Nbi zYOs;*$myv2&^;`uVc>FXMQ~*xFG06cOG?xoh4n}a;!el__YAnOND5DvP!^6Jo9skn zQC@+wRFCgMh>Hu9JRxa5C-&m@$FWY%n)%ZhiZJzyVQKD|owH?Wd#w@*!JR3YQiCN> zX=vRngK__k1Zg_pA*1CJ4E>uIL+V*IwLbD&nx)HlG%WFXJnBw-0Os@dx)7|GTcko+ zza+>R0Onw}6S1e>YA2GLboOIQRE2&ZuC`rDY?e}|H>2;ZDB4x_8gUCa^R-%86mD@f1J@^+gF z>e&Btep=%3acNfEIXL;q7sS{mt}X5UQ3`uBu4(|Pc0{rla|&zsp3H*G(C7)!eqA@K zw~|AzcWO81u?&Ad`SZccvdu4i9y{ZTqaiWe8>-~nC#<4Z zPMpEL@np9(-9b=%wSdy+CXURxF&TRPxC_cWRSx=ds#`uPp1|W(=BYTD!#mI_mR&2 zlb7%lncq4-2~n)&;IR(p%A9^V%W#V{x#PKJL1QvF8=W`+IaTC*%qX}?!C5NPwpC8& z8I&#qoov9*OFH@_YDB7dT=WrcRr7+zOL)li+fpKRzQPye%ikSMROWlSPZ+!~@7_wY z^1#0}zJH;M>2x|#IXrd= z@g_ywtyGw5r+3|@RL73#Z37D`j~RPw_lI78A-kc0991I#o_#WnC_I0499OF)q`zU=UMt>A ztXQRbMXs=X$aKZsV&LCRufkDGeO?pa;2vMi8lUh<3@^G<@m}<(xv1!&1UM`hx3OYX z5O?*NB}usoRW<$6@57L}XkFD}NpiOx?XbByj-h=${e+S%;l7S*!Pw@fMFU}N;uq$B zNO9?r%$iVC3Y3u29tB1UTS3&wxj%hR5s63D%yhGEN1&rn!E6|;kV=t7PR6LP_^K-M zfLG_S$!Q@wh5Y477N3CDtElI~!=EW>T-0xwu0Mms8d@VM%-{6Jtcw1KV6^xX@)+xx z@Jk+a-(NR{o*H4qwqG&O3fE42EPm?W{k40Wk3k_iX((D!zoxM13x3li4PhtaX+gQ3 z&UsMObPy=yRnpoR^`_bKg$tE^Ly|NAhXWOzgGdOY6Qp+)kHCOiV98++`iA(3owEv^)#SnHo&5(jt}^xn$Sy z08HK$%air?4#b?c&a_6d_P|MJS6>3xSt&{OsVn-}eM!l@K?+X`5AE5B%0K;zLs8<( zQd`xH#fh!6MZHd@^QpOs=eB!)wva&@8r4kKR>}`%Bgf3D=I^Ee!wTR=yEMkM*>Fjc zi{c^Hd?f#F?M6_cKu>7cLu>fxCNX3wdB1^w?o~od|6#ONRo9akdhV4SDr>)Rg7H5a){X2gF)Q}YX__9B7CkrWJ+tc^kb8YR$vwiU;^=`uJ`={U1+C4 zfDLY>uiLEhm#NtbH~in!f3W?M&DXD*a9Mk^mPb*<*kxm7bTCcLJeeQ7z5wLO-MD|% zTPBJ*m?A@B+xL5)GVC5tWVEv*9(}B;_xDXEX8ui^wW-UI6yfHh9Ed^#kS2r;THH{MU-L32r3uuNBezUo;Dau8E%VDpI61 zjE*)_U+ByVpZuY~KO&288>(tm*^(JYncb*LGg%Ppr{QlxW-b|KX%xXwrYl7%4*S3OIA429^j{IlhxWfXDmkDyIQRXtTw-kf_hC zuwf2u&;qDt_h`;1L-~&D$zXiHwDsC(l4R_3TMIZYF}ss7B7`6#eV!h#9ez3#9gop3 zw`#sGZ%=3l4$;k^YO4empWR?fL7>M4r-{gDaqmyGcp22 zIF~>FAuZ3rfQ3y%&7sD_m!>z1D&3Ff9W@>XO|Z?+_q!Y?WHIWfPe#pn|5&>0lCFI5 zQ;y==SdR2IcuwVUT6{T}QgOV_UW?_P^fL!p9ZQwFya(%VJ>u)dhW5)@YsB^)WbZir z(C@~$7i0VCk!>vCs+GLKkY!9M3Na5(1@q%mxmjOHvvU&n zx@B1%3nu3Eg;IhI|1)zby<`i*veUNs2FOMN2q_6kRL5n73;i1Nx-*Ahr7|FwB0`K` z(vFTO^wd=C%s%kQuN+U3H*nzTt-1l3Pb3Jyc?`JeagTuKT6pp?BIE}qzCIQk@k-Ap zH527Z_ftYI`V(&|${=Oe&(y=UC(`+(ajJEN7cTiOSatW&%~+SVJ}yE#}&D={jg)D`E7~L#rx+JLK~43qONgC&I%KWCRrKb zYzV&MtG@&dhRt(sq;Ss&h%)}Pq1Y7H9J&5m*De$VCQ9j5d>tIi6rfIUy?F7bamy3{5 zcN#F}LfdJ``QGxOTj`YbMWZs6P~(s?%ws>=<(WXf(Dnn+>F0Qt4GhI=#U)x6Hc!#P zHvmQS7JR4507mNm#wY!#>WFYcEki*@xlGvq2`2=K{}Ui;xCr9RojjsbG&)s}o@OM$ zcqsA78k@Pb;WTT7nV@B@!f?ac|Y(Vk_|bL`taeL z>BW^mHqA#}%-&^0Q4~Y*<{uu{4gkOIZ6O-j&h^txdsdEiy;p&vpfC)mV=}HLO%_Oj zz|sK9h3SiZby_m`8mhf(LFo10f*6&+lAt9_JxrbrSG!2?UFm16;(W4!v^*j?y6dp| zR#Y?%y2ru>O$`>bOZo*-a*0oAtG<91 z(_Fm0um9U)R(A^(%p2xqQltUJ2x91!VX-cyE>~45+^MiP9(@fN`X(zgN=-0fsXAsx z2L6x*5YN$B(AkPaorIT6cM(s7eVtQ zzo>6B)cZ8@8B!p%68y2#yeY$6iI9(1|kkliZu>MNI`iz#{&8X~MU;*hx26lQux60{vSk@e6Cu zM)nePsZIXys${cJgpfpZ6n-@~7Xm(1Gk199ez)@~)fj_e%P|-j-8c4hT%HMv)CSfT zWJB#L%CbVowrsk~GifWF3MOt*R5@KQ=Jq@5hmyX`_Y#!|KWX2N2yw&_II89*d-C#C zj4mIrO6>KK;5-e?)U4La$r_EEwxUY8 zLX0itUK)|3VpZLQj{u(wVdd@Lt_^ZGrm(XRx`IUfp_D?K?iVzos4~{TlV^4w@gUqx z)aZvhjI8eD@&A6nfOuEHiDydGAh!zSp#n~CBN^)2tzsn(qaBi~AV8;Qcg5bEuD7mF zAN~6O$^gBvOX11(@Ou@_j@ASp%eI7#YzT8CXS~l{V+&2MSx&ZJ;M|xa#R|wOVIs@Eule>CD+=ODbz+E#8iF9`Deo-29p*sXW-a za$9XlGnVBmx7%amiUIuX<;%ev6~8ecINGq%K_~bcTcB0X2L4{Mh93z3j|Uq7okN+w z%-+c>CEy_jyJimHr;DzQ1WFbF zEfkP>f6>L7`N>RxBu88~Gyq>u&uo6asW$N>7n8_>UVxKqtF_(M;)yR_DbZ^>r2L{? z8gb^Oh7_RtBhx?d2{@yG*ob@{BbeJF6@hdldpXFCG+W0q`b5R0OtasgsF?Vy$!OK- zE>YLi&}^QgP*-m5w6{9v0%ILt?+W*q1x*VStY2zTaRe}=D2mj_rg=3V7jc?(#$oDr z6gNf@k@g7a;w#{u|21j*X*=&F&};!YJqYKOc08h&lVh4$q0`x6`nIA_1 zj~fkuQ^&ElUE_)6uv5~m9bambpi{&Tv`9i;ea&VXGZJZXK_OdYm*`VOwyRxcxK^l9ZH4TyY#PC5t?8Y>0bwRVEal z-{V(w&!H&?n`VBfzLimKUxfjijz3U`y>8#r?nwToQ^}6BoK4CIxnTJoMdr$hp zX`_1f^bSqKlAx`1o%!zA^ydr6Kpo2_MC0p=w|1BuYG7-P@*%&wS7BM1lFD&H zo?$8I4g&gWTDlc8Ga-Rro2q6P?o_t~@%-~d12I(^2{y%j!DWL}0Y51=*F{Z-mT2qs zj)nLnUDGvWRfP&BO>I$he{~3F9ODF1|x~v7QCx>_iM| z9LV#>x&I69=^4HPm*=P)zmc+3$i`U|O9Cx=ktB-M9e^KiQ=*c*b0yM>&er*hvuV&m zbAssZfn!`SkLB5;dh}{e?BE_qDxfW>pso1l;)^yceDAd8X$&^%~njhOw`SIu7C_cM!E zs!n?BpVFw#5rKeopjE>pw}0Z!d->VQ{*dQFy@p9%S~cNQlqN;gb^$2@5Gu1TaVhL- zPjytl%iM~mSFIepya)xq^x;}Q>}6bGAjCbC-d3+4C%%*|*g#=URFVpQR=ObJ?n_M{ zFtk!11TCHpWqv{Lk6YiRIxVS)m7Z|dYcCHmYA`hSiXe+7T&A%c)#z7hqK2eQ;3+KL zm!r+sjPhoR4n3>R1{c$QpR#g&^+Tpx6n%edVpgzsHHVQW&p8bl9bshtGO1r`L7)i` z0@l_$X_h<_3R5w6!25);AHbA7kH6lnXOaq`s64ep0p`XJC#5pl;SWT1s=xZpmQCMk z+7Vai6krYIip)z=bgKllBX+;9W3#U`r~18#Y|8QLG+?X5P+ccvJYW`2tJcwROwE>C zF;(-0-hFWl%T&vA3hsqq-6-Xc^ML6)^ZQbba9t+N1yj_pW5Pt@b0*t*ZE3^}m4A$i zC%EtPGdITB!tSe@D~9;vec-(vKXcX@nle+@2j29T8S#u(hD)@1@zZGlB$y}Q{l}xw zO-^9(Avo+Rcb}6A-4!2(64c2t7&E&zV^X2>6?Wj*1M->6sp(wn+Q07VZ*}ecE7!6V zYgzX*@#)E|xY>u3B$QPVNxA#?9b?92=fH8*rso-|Qx zWPF_O#RGiT^Y3m?7V2n&sXzKU;uC{x6L^s)LrQyiz9$pOyN>@}+#Wh?DuS!64@_Ux z0#M+UEXMV0cr?4M7Yo%-P7e1c8kRbryu6Zn@s^mYvjO_> zhUZ;2=B1ny`EPoW{gk(K9?u2xuK&zPo_=`s?^?n{jXyp1o$uCgDE=LMm;?RH zJ|vXO1ck_QaEO#Roi@oTN`q@fJHEHt*v@O6dZw7ty?JCEqE2m<VAI(<*=F4X@Y($+VEk2#LV`l9GkR z#<*JSR^r9W=M*y&n7K_!EB?2YT+zeRMEKmh`_(3igp7=Qd2UaGS40?l+|~@iGC62{ z`)36aj}M)*;wLt3tD_RwE50rwST9)hiM0`AE=>bVNuFAVLzrABi{F{^H`=cXewhfU zN^OPaEt+kKr-88VB35hRvYcyos3Q9~uW-(JC9g$Licgu$D+PErrH;~jH+4rxq6?9y z)9?3#sCyIVX8*h62LJnand!z~O=3#dH9GL#HpVKAu}=#S^IMp5{RcgEV0^OE_Pd=W zt1)ad!B+`f>Rj=^)My^2n$lr$ApS`e@Kf9qd5cw;c+KrQrRjR>pNt=~sM^(Rhm-Us zK}F9waGC027qwIelDNDmf+11PvgB)MLt5|!_XOZqe2o%=uF135)O_;7sD+XS8zr_~ zEo7{3$;ShE$SuK`gkFVt*3`}65Rw}F40`q8} zO5zF&7`UtlJ|x-JE0=<_!^6JoQmGaR?&ot;-@zh$gD`H(VC{#5x_8S=7-+4;@j?yo z(GkN!x5+nmFUEP%C7`*bFj$gMAK9Tr+~YsA{S)fX3L%b7u@v6lJm;QwXhK}MnnQl` zjCEpoGfPX3uAQmUdOq4pohW3Q{@oR zv@<>PmpgqMyJOtLD-SI@6Kp*~X{T<6Vhyd`n_2^hU#B;Azt(zmG(bBwy+R_aYgQ;{ z4E!*TV6AiUoo4sRSh?-5UFYKKi)f)8n^UUD+A>0jk9P1nG%)3FfsMKihs4dLWtaYY{sOj2TB31=Kbz!v|^ZB#g82mNQ7I^s445N2Tqblt+MYJ^4AcVUKd<~{iSc!G=vyMv8-88~lnd349 z@$(bJYnUEw2CmjlTqE<-w{2>jOWJK&I?*1|OmSR4`b0FRFC8tEh-z~IH9PK~_*3R? z!t<$ZeU0!{Xg)l$@?IyV$(mW25DG_70iXqFE|K+8@=LDvT#`2Z_IFt(|39wY0xHVw z4f{Ul7$_*Hl#+tdC4zJ)%}^pphcrWXjlhu*Y3T+5Dd}zy5Ri_MW`Lm)7*aak{S4>- ze(U|#nuR!rgD~?vd++%KS^XCboSwOKj(CaYk-i3w1>n*mT{SbZ1~@qmuzp10CAZ zwTZ}I9*b1}TAbE~bd=1Sq{V*x<)?x266sg8&tH3yhKPuwgQd6^*IjRdrF69rh zAtISX2;@UD^r(jtib3&l~MruGb>*NH`rUAAC36hRRcq?b5(1hiM3!(GL5w{iPSVdcd zx-4z++!lpr7puo%=|H{r+lCouf{E&u$Xx1prv8`Cx?PzL0ETB zUy=PLYw5~JTaK0{SF}73P=Z1`W?(TyzA2d41>lIx=x1*n_L2x$j2-WFXkSiE@6E;$ z-~H5Sqt`%vZgforrhyvbPSyN%pKfI)b$C9Xy*jfJ9z#Ex&seubyZ$yhY@#!4^tU~) zv5_$5W>%r=ov^^|7)y0WhX{(@^uio*s1Bu3*&SGL`NmCsel7+kD^Mn&__wZ`*`M;^PQR*OAZ1=HH z1rt-WPK0UJjxAxB5sLR=#jkqGc};t{&eBm$y@V0(gAqDw#vXmEE@p zW`{jFDxZi7icInjtHqYimWSf$}OzXzxmIOa3W8x^nvX>!5B$oKZr)`~XK z9dP0-h^QjBZbp0mH%R9A4an7{?@3wJkCDu&OT$N|Q%@E1>-HK$l+z9Pmp&}{G=)*e zs(Ag6dvY}TkAiGRk{{d-;!(8hk|Z;BQe*~xK3Y5 z24daM{ygcF1ZwQht2;_qh8e zA^6mD{=hc3R&R=!x2B;}WN)EL^R!e6pXRMd1x&`yYsDj(>S8?5>8kCp=RkfPmtX_4cnNj)r~;Ly&f z03O)fIG##DMWba16U=TPc0O;B4|2hH= z1ZG+5JU4jLg2{*VnUkm`>t_rRcp2%fF9|!>{`8-`?LhfpiYKh`vJCj4 z2mkRsa3O=32RI0az=6rB*R5w%IXR8Vlsd_0*RN;T@it1~y7mw>{i+gKASaC#`TlTr z|4WLgY#pUVCvmiC2soVfOYtRQMtgRUC_n7bD6=uVoQ3w{^A|qCVAtym@z1{Naoy?< z;kDaju`wdo8;TSyF#ZOedP5>`noA?$``X%q4>P!pB%q3hBa9ySm_MX6PdHtBk)Ezg zruoiRXq0Rr;OdM%R8>f(j9iO~D&)lSzE-JFRF%4QPx;4xH{{LrLX57AP2HVujS`Oc zo~m;n`kCIVy?ipgLYS09UrAlsqHce^3K&nC*xWvI{dHOM@BF@U!ib~I$+#!akrZJV z^K!NiR|znB$>zUmxBrkTs@DRKolj1})syp9|7=?xM@cFpBC@T??m@i5Eoa9_=YA5e zs0y1t=};stz8(0_=NH55g4(zQHeS=;yn(I|h$I*eH{Xpkn`2b-FR&Q1XdQH1vpKx@ zB!|N~(5Aw8O;cce9+$=Tx~mTSP-}P(hxkyds=l;l?2RdM)9y!`pyLp^+NnK*B49iK zU$DF$a&s|hSfLvHtunr&uze(qy51NFM*+HFqCrtIV~sCAWPJ$eo7HvW-rX79`NA11 z9AkPw1WGk9gsa0fV@oaDzy{miVSq3FY)rzfaO<@Yg%k8;;dRD%nX`M=B~-e}&sbW- zDlU)N{K!)Iwe+~Wg8p8Z;AQm>pLoTa!ZV*^!v@HvKVdbfz>J<2Sex!ey8JQmM~zeD z)0=YAc;u7x4tl4wQxaDyRlTiHXMD_qf5zKRsMi}Na-Iau9~B7~@TE4TY1j)*Wrh=X z{<$Hf)z1>Pj^`%h=VdQ6v2v)8TVXYmGj~xMhzJAbk zo~Ig^Y>9q9Ht*cDA*(JAgg=VtV=hV-_4DA)^C_N)%v5y^TGd1}jnJ*|qjYsELVi8F z7&@^lO+l_5XNstWZ}pRSr)23LI9B=;uRJz+^Y^3(y)9a|Z;=Eb9Lqjqc3r#TN`Yaa z@)l5|}`(G#g6tT#Il3N7csU_)Er9=F}g=}(8hL6qPYyRxaBpEHKtf2jZZr0O=Qg-;~ zPyrX1k%2GFsyxtalStm&{w=*#0~XHE%Y(j*jVbek)^& z?hlV&VTA0W@zi#cPW{jJnr!I1?gFWejgR=y&c92e1cGZKm1Hqr_@ck?39lTn^f^Y} zsKgt%Pm!9*C&WPhr`}z0-1Sf9K1Dk=Ry2c`j09GHl+n$^R%;!(lxyceh)9sP_XwNY zPkui2J$p*nD0RVObi6(?h-(_O9(QAnf*W&9uAOpDgO&DqOM1oX?_g^V=5>eN*H?lP z#=>1XBjvvgxn?iOw9|4BX>f%fF;I}_!_erCH|p*szuuB%Mu2EN`$inOrrZp^fHV7r z;8dqWebdy>UfVv^B8RO{v@~Yf?{)@PSDY4uTTPAvpC;0kw=MyVp7jQ0E@S`D>h9Zi zn!}aqazbx#Svb)H)&CgLq5dAVU}a|3)i&i@T+Bjm$@|`k1cc9mj#Y_MlS3$F0`8=! ze(h=Tu{6ho`(^?Mg0@j5b#@^bqh;GxUQ*+pvD>S`YS^WH!Wmu4T|shr$vw z%E_qO-kMw(ve{~3YR#srqMV6BW1VuP(*YSv)@?P_bweXui~$d46j;@A2MY{cWM967#T%E%UDCd-B5wF;YF7Du!XPy{ zU+=2I5e*2Zw3;eTDT>;A-zk1b1hK^{oSHkSo*!<^=^}unk;x4tHZvHk>2@!Mo?DUA z*Joz3{Tn$dILRY_w1KYrE9j&3TaSEIyIc2vsxfu0ou`$;?cXmdy66d#U>$a`&FvK$ z&3H(|b9qQlCNPDTn6m4UaytGO_(ehPFi?M({FF5-E;)Hwab_eZ0j}u^(8dw*rd`r8IaIqz;;#g zt$C!hTxFdo+!|`^;D`m(=g@J?cOJ$yeWGSe4LxU(F8yIT)YJTA-8qZE+D7n)w>#P!s&blpZWcBJ-uk*}1 z=hxl{PSVsB;Q#G+So4=mS8$95*KQ-Qc8%Rd&$%g(^D% zVT-Yg$Q9n&xc}99NKtwC#9k%-H#gbIy^0c~l;ml}0ByZBVaREZ&D0M{{PHQDW2qcc zGx@WXUT{y3{(c~ol`;a_8kiz+5G4n>_voADO1wygAWkKixE^m)tv^UsZ2Z|Df3;Lf zQrc9JJFMZliia&!^uDc`Ty#jvmv28$cKB&iUtX8wGVAWTOq7+N;upX#X}%bp8@>Pt zmWlk5ZgUAOUk}RT8~4^za15F9v3+1wV8fL2+p)1})U}Wz@p4U|4C!iio76i|qiE(n zpG4mitG``Z#}d#*^W$UP9sgH*l$uSrm*31JZ-6#S`Py6hr9c=xmgq2I>#H zl}&EX>$C5%qYJ_U1uZ0Z2p~@}jf&GeO6>uGNTEqF6@H^y8zGf#&MZH#*8Eo3o(jNSw$e2Kb66r2c`KqUO3k6qMx#1c*|kD_nX&f)36}0a**BDCQ*6T?$DB%-?2n4USj7BZjf zq*1tu+o9t&_WSq$O#-`9VrZqXtjcIBJrCBA1FWA zQkJ6KjV5tvs--a!Xxa|Q@O%HcjsHpAG`d8`uG?N|2=%-;_X|`R6baWQ+gOGl?Gjo% z&vt~`1doslo!YngPYS%1g5fPd;GN9rsYS^`gCl+B{^$z&t(c{-We5vayUcfh1(Q)& zTZZqa7h9E!f&0*78nk-mT5R9IF*n1AaRDMH6wGRTniY#$Bpz-ZW(X7JV=v}dZ&4$z zRL7oWDm%RY$tY%)lS6z5*Y*C`IiLbQZ$9 zhn`rX?ZT+?+7h0GT`rq2v*jQ7F#$v!xJ*IF5P$KD7!qM2SA7rA9t5HWS<$GN%<9<& zKcK(CeucuL@;oMM1Izlgt9vU}n5W}JFMCsuZ6RG062tr&X-o=^qFc)$&;~LXO9J#O z+OTjtZmIAi$Iftx0`3Q;Q1osHAuNn4C=OWt67maQsJ+=+A1*A-*vb%Ra@y_h#{t2Z zP%?;Lf3rPzK!Yo#s5HUVjJKdaZj_9rA!{`%1EO%m%AB~A@ra=pQyPcbkWS<8YAHdk z4vx)#rQrX~g!K2?wGxb5;iUy@A}A79os{w9R9jyBX|yO{aC(NQimCTebk!`E$2R)0 zYuR~W6_fs-#sejOv_^%+ z)n;$KZ?9WFbzT9x`DXF+;crh0KN8pR{smK9$XF5_|8tbP&@y_+xpi+UQyx8xUa}11 ziZl}~!B1-$1h+YGzSxU_3Bfn#FhWB*`2`RGPUFVL`Rd+NN(|G?yV=P`(*Srf=;7UJ zqLEXpaX{Cay`S^(eW&WF5t^4Af9UK#?&(_r_9aoxW~fO7O&%L#hY0*AxP z{-usdRp*BN6n+04@i$=eot){jF*?nhnbpT*f0vG5IAClfeJbb$DOX_NRS?S9uaL9H zWka%S8ESa5j7qD!u;~^ML;>zz9Hm{%ND6T$x0JmFW>K$-cVX)x5I)~(=MUw#iyuiT z-?Y$1@jrkj&C^`xn*xHl4+hrlsdG55_730y6$mvCEl^M37@EGassX*q`kd;{?Y!1BVSR#a=Lq=yh`W*#e}Nd4o zv*f9@`&PETAEaX5LQ~P4A8(cWM9#@=$`C%h)2~RuK$xEJ38){XH!1t<%aRt*d`!@V zjeCBa$gtYKR$>T$fQz3_95DE5Dwbi`6YJO)xPQLsGOBDFBE`z0X>)5?wX(4PU6FXa?^ zD*r?E;@{IEt;qX2F%1cz#0&xw>m17rkucx}V|6~OSX_0q_GN(}iYcLY4&|p_!`DK@ zr%I;pZDIgx_x~hmY%EQy zEP`19-L^v=TRBDrgdT8o6(SBYa+Z|TXM-oOo&TR-({3vv zw|sJrJ$au%&WvL;eBwO=`Juyd4JloEg_H7-D_5hfAL^pZHDA4UR8=;-$BKgiVHjFi zhK<%%v=I>ST8!Q|=?qWK;AY@$y*AKWfBtTYQPX#xA`ZF$w*4UEXM##bRFi1QPo~`u z6b=}>0o{xeqFa61-^2h-9c!)lZ*pf!o4h!2UoQi&d7n&;E^D)^x>h3-g zwq>ClC)OF7G$C#ZNv8kbAh48%u}b4waR9lE5saj9W>uy$(*vKL#n+8)Mmd5Ytu)6vtLidg2oQ(48;#b0DXK45WLwRA$jp1ZPI}Dr*oV|OnS8UkAfEg12Bv5Ro$6v{rrOqOYM9L#{R5`xqGzc zhoLFx!#Js+Q!zW?iFB>+EiXKlV-1Cw_#S+im$@!mEnCIiEtPT*-6sPf7$^cC$M2R{ zr!j0Li~0t>v{ziXF5PMPyHJJi6oE zGa7}iFe)I+#V%WuuL;>vg&&8VNRwcK*3>=A~Eb?fF>c<^(RCoCr znT)jT@iE{`iG=rTY^zjl{=C2I zu$1I?SF>eE**e@!={2u9t(1JE+*KDYJ|4aU(m+n)-dR!v@)15aAy&W;%u+!J6VZZ`vC44X#YC9z*T?y6xW(|fXT#wZ=`F3JIEW48w@QW zKTV=t=-Z>HSFUkI+YAin1`36HMooM_S=sp5P(6SK*;Gi+m3_Xss$*A|WTgT`SG~l2 z{ED5({E9h0T_}M^%OmDg`-f9QMh_-r?aH+#P=BtLPw^v;`q^jj!x6f)q(^TAl2i#( zHC8x3B6PuBou{MJ97}!riG8Xk_37*6s3aCj#jHk$d1uwcQ^$PF($%UPhF_>@TCqf2 zuMDr9yF&m(@GigTC22Rte^M>0f%Ixn(53BX(6w%hve{()$FK2;V9*MP-yi%IvvE(w z(~5J^dEJ`)-G@PnT|dBIwwedR+)>mHxZa@AOEg7QvWi0muw@?vG|c6~M|GdMAquK3 zS2P7Ct%C~9GJ~5qB@s5Oag# zIo*n4iNr&H-{987IWY1EsVM88nT0wDFZM@LfRPIO6weA}{{8k&Ppw*fPWTGm##@!F z($u$5Z%fez+tC8zgeY=!dgMxjw$q#S>U`%na^Y^BRQaFC?v|)4M1@nAbW6I8JJ`0+ zQh!pV-drbPwX$?X!^m@tusinubLMqw`4qWC(w@NA=n&leWzYss@z+?(*S04F9 ze!k&dloHxwR#~dc1znC;7ziA!Dub%yS5%?x;=RgI9l&Xy;JVnwEqB^)k4VjGjPFic zH# zf5#}@nS2AESQZ>Ft+f03_W@r<6OVsl6m&jNoh(~!cOScK8cGBlr~XF~bKGAq%VOS> z{s!@zR&=0e&H6{L>SXgZ;0)bI=FO>2)`h=Nb8C6|w{GvsNO1++*kM55rTyvjG3I485CH%dO&s`L-h#LB zByBhVgdZo3P4?Dl;Y0h~T$0Rs;@14)-W~8`Q*dd9jpGzIOZku0<)UF!I z<noxcav+^NZR*r9Q2R#q47$7Zt#aX9Fz|EmP5N#^Vu5%J43I6`o9xR1Edl z+M7`(FUr26+ZceF3=|un>&6m5{G!Z2keD~?1{n2Hz^3NPQ+Qx}8H+ytYUh_4r@Q|a zb`+jl!#bqCs@BWWB@)TPc^?zQ#4^eiOytD`Bm|9q#>+o)d9Gsmd`lu)Gs9?_$%qjy zafYdETGu))N^RQmoSj`uE7vh=94JqHfkM`eZC+f~>{;boDJg2(ph(Lysj35JeF&<{ z-s&Z!ZTJEXxzh|XT5ap|{6ztzr1{+CUBy*%Q5HGi0;%am^DWEn}!efso7(gMqctMM2T?r-&X&$S0I;7F+2T zm^zr{zmzOv^DYtRNKBp5gn=Sqa2%ryt{G4Ott?8}K`T6DAoT5-;iyGLg--r-8OZSB z3ByruUa&s=?z|}dR9~%JBpSBoCvMl@=%2mpVyR!&`z(+5n{k4{?vNE?0tP=wCjwIe z?r9Y{Qq42ES^pcsvFy*Ym+H+Ea1Yb>S>u|{Y)I?09n6uQa4^Zn;1Qq-y=K`d4%-Ba zrs85%5;62buM+t{EDKLXhH9PJ&`AZgIRtT)%Cji4ma$dmw_7FS;`cD=X`l7K zxNKM=>FGG8cAX5440=KiTt!x|pS)Sl$~Ig3;*FDpZjU3aR=T3{s*!P$>1j@j{70+a zaGJf|Pk6TZ1R68!39lb)wp0 z$pqJ>r4+x~E4`2oM8~L&u(d;^@K($w+K!RN0{S58IF{#>=8Ru@!z`qGnU9EaYuk|! z|6<45(>f6>RbN1j?;n`x&I+CI9sIWvr+nvjeey#<7&B|8PvuV8I!Z0 zEy%E?xT$;4GP{?2lyF#R41>Fc4ZlttR@K^E!mClaKYDbkgi-a&p=$W`O)*EfAz8Zg zICjbkmPLm?sQLmx0eOyGIw8|+w;HAWxO1-r$Ba? zhb?qgC?BKwy9oxmJhrSVV>PEr43Qm9rnC4Io#lsNvq^z(;9(1Z6p?q9{Nc8!**mc( z%FF~pY!*b?F0M=i{l!3?&m2Y_b2vS~L+RtarRRa95zI|^8z~(VpzWd#oleS6sgktl z+~1!S#-d_OT}Y|uM>E6Rg3;+)arVK-XKfB21Df=2ru)i@YTm(#D;D`0zwUua@D#~i zeZ1Rmu$+o!z?TlWNzG3o_B6m*F=0I8TCjsldpdu61WqeSy=wVG%I(+ArmpReGJ%QX z>!`6H#ZOyUSlJJHz6VM3l_mua3e99zT2A*g>)eO@wCw14z+u+zeC!(Y^W&dQ)7_YL zsm-P>7}y%HZtjcLA*X?ZurzRof_~a-hbr5~sXXK6%kp`O&LtiQd4I9oXl{CLFJ#m3 zOD{?#5g1rZjnW@IvHaNkwf+XfSjE^PZOfx4K!l#JS53u6i5F_G1GIT9Yo5E+tS(Ul zi-8}(gx!nO%edkq<@mXFnEq;XWJS}&!T9dCwq6u*zyqS32bkt|{Ms=l?|T$5jhr)@ znLb?I`TUvE@)8gr`pT|*f4U;$%%GS(XBc%2sW6kU&Y4t=GRmuvCMfvN+q|)Xx)lxRrY*ehuMZMR^>Rod9*w{er)tvWsmpOm_o|u_~-C^#6jaqTaY+TXY zxjr-^qEV?bvquVgz}pQ$b_p0wYMm^#@EG;DP#ySdYF$N_^ks-7mrCOGW6E1XK!ldx znJ6ah%L%kGr8^|}W?}J*U^UNoZ3~rGy9}X$tm*Z$`l|kO<;I7j|>K!O!tD!1c-qt4oual`|(3&V?5o$yVKow+c>`zD|R$tQi<%o!CM`Rr8y7GGqLi9H{tSM2iO^~DX84RO^M=LxT7UcKOY?s4u~bqg`B z;4KBOp9=gHUHv8z&O)K4IX0OtI#$8@U6KU4H;Sc7mT0;;#(ChAYwEkWI~kMS6V^HJ z#soJbUp@0i%U)6zG70mRsp!O}$o7yHfIKP%ntq8xrF92mkLbJNGcj2!a*e}4#Z zF|G6C2pgO`-eUND`4r%}oQB9)RNf|%*FqcJ{~e4#8v6j*HCJ9DGyKSEuE!OZ(??R_ zmGir*9_I0ZREK*R4dHKabZs?TE`F^I5n7WKL&36-RQhrzFpd@4o34*k zhD-u94)Awr)D(zQ1b;q|Q#<|O@RVc#wY#Ie*}eHJtM)I#WV~nT;E%-r?~n9~jo!jd z)@RAfR7kYHW(%AjM16GqXxkuqaUO1&YaP4i*WceT3}UbNdnTWkK=lOh84=r%-A!Nc zRIASVuRjN%pyS*Emlg3+Ea0qV_KpLfOGm%J28Lho9S0+l>P<*PpS!9+_8A(9U9m>l zeRpr~mw>UnMId1-uO;P*SL9_9T1a*ypdNUh@lubzAK>_Ct?0DaE7@n;wO9t<_IbQ< z;lD}nVqDK_&hF>Kvc>LuEJ!)s?2?NH;qIHyjuQ zrM1V2VbWr)K%RqNKLVp}s4a9rQXLk+M1&Oak^v8_mgu(j{;ZxT7_gzjk_PT4h4 zrs#PLfK*?lI(52rlKU^*Eni05T5axUpGY4mh*R1}zCv*Mv-H<4a^UAI)2F<4a1v{F`J> zP`Q@182oJ}@ZgIHO7*ANg^?>PV~#(c2iJ`6>W)QtnoFKuD280E7$+!&gGkq=W8TWz zU;nAzXW61jtWQ@z0NyaRP^QL{hr4I847|t!3nG)EQX;P%D!%a3un)sl^-Yk_{sq&0BPipgXf62@`$B^9<5b^dY^cj{M za3cCuj%C_c&>m$se##uOmbo(|xGjD5wh(XDxrI%7BbR&CuF&gj^IZ>BIgix=^h zAWeuceQy6N2M+0;U#~8*;L~s`ORT1>c8y(AOa&9Zk_ts?Y{Ln4ec+b5BU2bnuPAvh zJASa4N~%X|s)BNICd3jD>?|L8f~Qy)57Noy{XkQ5f*xhHf9qu9fbqYHKgq|^O2JR0 z3I!VVzF*uqw3piPiYoC%vCwM=*z3lo!rij*l;4kY7$FPIYX^~xIN{Q{0YV~<7fO7) zXHWQ*ep*y8qNv#(KKtpS7`=?im?P3M$7SONfeKd=>X)k!R$okE7L zcEeh6lPWT6(S|Ee&$2AnHd1=^EjRak^c72H8ld@x!NJAP9Y=UwFZjR=AyQu>1)EjM zwqV#)lG-4Vhh7!%lXh}p1;m7)*6=|8R1^)NwG_y9h1pva*>hR4qGwOLuOIq<_n~{g zd8c0G0k@|EIXx|;u;N09BC6U|-M%-FKT?U90}N8&*ACod%O2jNMnpnjTNp?-=uohd z!Ao;cSm;jvB=NWLjB{uxawy{hD3h~rY`$9V(-lP%!bT$%&T`)s};<) zP?agy4%l~0KV(;8t%ku7mFDb`GGA@Jb1PnWQ}zcFTVU1(C-+f<5RZY90e2O$m))UQvE1T9S0ShM9U>z|JnbFOG0x_FBf75`*k`K!&{`QqS4B z=2ovyj=ah;qwCB+Jd2JB{<`^8Fcx&^p-}~YP5|3oUV++AiD_o*6K*|YcwZY+Zm@8vH@utfZgXoHzV4W&tAG0`l zF8u`2K`P*7tdx}phZ1=r)i)m0>5mlfaf5LYzK!Ta9qdNV4^O!*W#LlaP30wFYL3af zxB3A!KrFg?<(-Rd{cKHYA8GY@%(~_kcz5_?Pf+>Sc7+^3-UZ~(hN`45HQp1Fp@k!A zyL*i55A&gGoOx+jmgno!zUJb&kk#IpQ4QLZ^MJ}5AVe77FJNNtnRMH*0S1^9vl4{; z12CHXE*ooA)#EnCRl6UD>my|A3$2aVgz6e~#efM|qytTqkt~c8)l5QKOuT!P05w9okh9fCk~BjGz4mGbV_e+ov*tCOev7Zw+*AS`+_omo#@A8ZUyo#{>cA0 z!TtPvR|H&+(B_*JQ@-*}psI|rQtFx|J0vp$dq={&MW!|HbFH)EzANcndd1m=dYmH} zKy9saUkQvqN*B)`S3Dihq(A~c;@Y9JRSfav7M`l(hyhSbq`D!a)Oc zQ9&?G4JsjwVQRVt3r=n()j3xRC>6NM`UEpe(dlx2HaDcsO0T$^Snq&-diz^}8N4O; zn+t37^j=&gT~Md(n}*<>UsdgQ)`y2jcq2e|fq-ptxKKJBDeI0>d4bh)5^y9*{utd& zi9w_RLwK`SKtjanIT7;447I$?2&VpR&;XU| zxSWzIK3l5Z%=W|n6O%V}i+VQT8Gk*KJ{>FTBzKa^t;oo4DGuDD5L+2|@Aj#7i+aE))omm5nLJ^cqC~ZOHF#^d}!w$MLK!4N-k3!#}^&M z2VD8ZkNP8)snGXxri`edt>?qwTEC@edNxXIW?J0-Rw3WD%9XPW4q0Ss)>!$DtGKmr zQs3E>-X#E&0cnx)Olm+d^+n2pahY3C%v~v;CkUpnjisRaOXKcvrFDcLKwLyL5*4%E z|II$DbJS{~$UYA%-)yRyr(n2Y)?3gV^iAdf27;+435};ls@1VsVF7{f{1#TIVz%3a zW75FT{>$Q*g9dF)8?-F}*dR{nl!rptK}5jEt#!7$jrPp%xu$&)sx8C{g$<(KL+E6w zFjvs(m`pa`;yga>4??1D67%l)j!nQWo(wG}{JKc1ho} z`sa&QHcFgy0TkN1TIusdv|WYeh%cpK8%fHTK`4TF5Pg87d2olG+R$0` z>N+|eThj@P>O#H60jJOS9!cvHP28k5rD11UUkomJ#fNgflCx>k1uX z$lO=~w|BLd4d4agPtx)LFBVCPQQ6Sh&}vBuE|C*tp_bWeQWLqiwP1ILf5;WzEM%I0 z#l*C&CXJlx^Ia}zRdZ(@E;~__mpE=#n=0o7Ygght_u_3yuJ|ur(%NJTn9kHS;^nG4??l>tyL8?3a zd4s?toF1vK@gf0sz(5LY<+}n=!G#Xw(owuklRudk&JwD+WvJayYL7sq4J70D*rKfJ z{*>5clz#`SpfpD*e`gj~-`ftk>q7P}@HuKP5Fc*A`>i!IR-3>R>(*OM4KwW~^)lBw z1~u)=Rkz(Wo*J_xrF?l;HLMIWt+{d&&x|AOHzjiqj>D4BDm%iHBklRX%tLkTWDWmB zzGCb!Qoq?o3Zeyciyzjka|*`FY659uJbqDb*08>6vVXi^%yiJ<_8d$GcstrG zf;ZgDjpzCgbX=T%thk^#>Pp}{I#pwZ&r;5lZ>NWU5pz`j(?2@LIOF0%N$+DmJGMDN z1mO>*X$L{F)jqY($bbaxHnom|x@c)oe#mwx?`F$LMVrXMoCTlh$b07YEz)e=*q-ED z@p;B@$DV+yE%LO%U)?x9wy7n%AKq>KRJ#j^to7nxdt4(5ns6i^8}${w8OgCJ@bW37 z^$nm%_{V{aVYVH-X^_6=Af!6MAZckrnAh_=jkLX~QcHp`slKhFc4O!p(=2!NeOuJG zc%56JyMMRervfn{xFDF)9@s;BQ(JwvKY3BAIusSu6dRxAexL32DyZZ&IP~k+x3KaQ zFB33Qz$ySch5q}>7LNh{!OM;J2u@;!vmq@R`q0#BlE^h@ z{LYZ4Rv2JENz!L(=;yXrJ#SEfY3^mGi?gke~?IH(0e~q_9vF zk&2*VNjyx8gTL1hX2%|SJ?FIWa@#}Fka59pJ8R&EYqxk13{!X6i&wUFl-fcy_6MBX6v`o3J}UQ>l+ z33%CkfTiA)_Cex=ia7{F0D{Mlk-o}P?|ZWnL_+~1`70lQs(3dW-hW@SE@Q>XM*UOY zZG}4aQ(3rrBFNuJzXrry2d7=rT$dg!Q?crhWWi~Go=1TU>c>C_789qr zW}#sgp0RfnhlkJNcYt!7qa~j%Z$TA`%g(r@-o*@cx3T%JN$1Q8AWf3n&w)qb>q*flbGLpP6FvA^}DHs|aZ3mXbkGmnP*@+$U^@~h_f3ZYY+iNFba&kXu@ z9EC*I4M;--h!t+&i7<77=UKOb#U7l+ifg*7v|EoEVl#Wg{(+gkU*Z((k-bc`t_%bn zdY%-c%D`iTxQV1CE#IGgW-V(Ny)9G&GCx*jw=GW7I%A$<7tk?wriZH9sFQhrS`b3L z{+!`DTZ78L9rdv$V?gm2Po3MZ*vFr$=_xxTV5`q@=cn7yh(4AKu(1{-1&6#R0EJ<2 znbFHJ>m^9Oi`8qonX2{waoX4BAm443n{pGUn%BKUNxki)jH2bXb;bp~mBD+o=MDR@ z=DS{{bYx*J+8IY-tCRGj7FzI)Z78_-uG~5gixj~*dkED@0yH3EjVqYVO%ty@tGTSy zYA@H>RkfbFEz4nUBuE2mbLeif*FWMUVxknXrivB=NT##!U z7ZR0;&(=s7dFiHXl-f!czG1UcxTf1ij&#thay7!eZ-uOIQuuf7o|xqgK1_Iuq3dC* zE|pHZVUfHYWS?fAK8{+_`6b?xfOQ3kWLyWiA$ ztl*-hl}-Jr%!*B#7D~i9Y?Sz}1Ec~Ju|4^zHrmM-d_{b0D=#j>JMUJX)}WK;^9&)v zClk`8ddmFsC9=FI^ybyOoup@(`D%M_uam3PA9@C`Ea%e?RPEjL3mt^sZum>Tw;IS! zW@YNDZI}c6N3gg$vU3O}_uyeQ(wc{TM?cyc)UC8Owuby|ohz>u7RWu)BMQ9u6WvJu zh=bDn@corW8uzMVHSf1d1)%bBnK=t7a(t4IKw| zY8S8c_#WPw9-iN23Yf~;_uGpt?No0xtBvA!@_Q>0BJjfU>P2I^dsw|YvRR8P)HUw$ z=TP8#!@~yx7f#BCHM`y=VhGTfWmO`qx*UHn&Gqfv-&sYbDADLo&()D|%n3+c^tPa) z5gTmLGi^>F%Dhic=$=%t&-8AlB8gb$BVwdd<$G>=8$TOr41JFlKWEaXHLZsQ-*sDKX#Fup zQL*6>39>%P&v>@K+Fsz~_qJhj(dCCwO5m1w1B!kzry9 zkSQ*TLy%~Z%1v77_a@YqxY>L;Sw2XIB`Y#2wi!eqaTE$M4^y-uGIP7tFQKui&Enq| zKy4oL8)UBM+%R+dHC4{&FKjwYqyhr*wAlID7P9g;zO!StCED2?j6FH3^9y$zl{pu0 zLzfYKYhwqAx;A3NR$Px63jKQ7g}z(u-M5+VW^-OCG#FgZUdoF^#$i~yX?P}m#6&*l zUCeZVK%!{J!jIzcTa5Z6soPsS{|(B;StOq zyzx3zYYeiVBosib2n&+Kn7zl6&BS3U$X0O$-iDt3j~VuY5dOwoN&)a+oh)zG={)-}#+ugyP-G!YU`A4A=b?POQK&@}aBp3QZ`yF^ zpAJ=*5mHgEY%zdW1U4+U{3I4>jo*?hRL6e@P=FkodlZ~8sUAC~Rwfh$*4CaZL@SG8 zb{fxXOH$iaFVK%9<-5vC3gp_3%CcO(#BO<)*X9fZ#Bu;u$>KhjiuK{b`s>f!U*tqw z)D+c-7A;6iD*UDtKMaZ8vXh4&CaF{CHbU{gv+gEiAo ziqw2D#{1}Y(5ALe!Og7o%&Os^(7iWi5vx1CR^WfykYK!f2qcf!O{_opZhcC%vUQw2 zI>c|6N|^)YU;x6jxsoH5{af4wQqmwxLCp?MGBSlsW$)IjnVDuw;jFadJ)F95b=`{@ zEUjnzi#9#)I`l~QGe6BhsvkwKAGTVMv;ZB!g5YrYVd>_>EKW96GT3V}*x-P1NVl1T zpp-}yCxFIt+36VhKw^Vx;|1fs6`E*vZJ)7)Wn(78IH{|WE!*{APnKhFIH`r} zWd-TKy_*_Q+sNWJ)N`MMn-tw{3CEqfQ<6F2Cb{w?Na98zOk?p-$eMu+O{{C}C?XH7 z(#1}Eelntnwz_|g*+_{4>QC87qXu8JiyOdBTrPz{^KT6U662?WFdrstNu?+v{{d=) zAaLMvEmyN6U^!!~O@!Ko0D9P^ciN-&%AHjG?@rml%Y-Kqf4{@0)-zp4?SEk?P!Ao( zk|kR>@q+(gkj0wCiLW8=^q0l2GkKDzSoP<`6skH5W4rWcxo!q;PnCy=i=$KD|IpN% zrKi?)t=5hXa@?iiLlq`(G5QhOTqZ?@JB>P8T7&0+FoAH&HX(&^vF*Y=8Y``ajFRr+ z!G!)10h7U$L0s84a^4)UP<;-SYSbzndYrqL=pQir@NV?SVemM6gFfO`Zwemz&Oxk} zoY^ues*z{M%q@K_J&!v*o%>w-saUhB26n(3e=H@1 z^O}lR@Av4B^(+K2F3Y5JIkg|=D8x)of~jL%AHr?_4_WU4)YSHW@#<9srHM3=rXszG zAiZ2EB3(p!6Y0Iz2wV{a0Rz%&kP=Yoy@P=Co+!O{1VSft-ag^?pZ8|oOeSM8fFzup zv-kS0^;vc1X%myRFYFKlqbHkBEd^Em(hRt62QCpQdkJ47PlCO&r6a{=;U3?2JJ|Xa zsn7yQt5foVj(++(W{^ zxhi=se6U-!bZvHY1)oJH{8pbGm$0c@GL1(@WImlQXK67fdD)m^t4Ze@+Ra-%VoV%@ zx(fGF-k^tOPVv{T0G`sf8MjI}Xbm8|bsalN%y;hsJ=PcBV$L`gmJAKt`Jd;6bKlXg zYC~*<;90&U2MF&Qb~drAgR`B!VEXsM4t!DZa3H6ltAfZK+UqgtJo#=}_M2v#RJ=iW zpiLGRr7qyE4*^X$yN;sEj5mUEB;3y!>4>53EmfrNLACwnb+y+uaR@5HKH%VqrIIw- zd$&2dD+o^9bi@!E!BefQ{Mk{3=Q|{Kqq8GP`mDz?wMxCeE3|x+us8Y8ZEwx4M(rYS zJ4Hm=$!Dxde?0x2%W?0}&O=B%qtPBOS(cLyW zSornx<4Z^hc%X5(<*LOWZFn{%0^XG#CGqA)wk)W|69^N8;9QcgQR{lN=U2wpRc4Ve{$TkzBNAwHzY{n zaqEt^`CW^<5iEBg!=#44}2)>Q6w+C>gw{ZRP9Wn z@4BA86XKx&^_ynUZ!CFsrFMqqv@BYa4?uy8y=M|{p@v!gjS+EX zn(=fsKDBgU2I@3b+4#QjE{8s!N%`2|DU3tpBBQ!jETEC0Ow~#ORo}rLzyxU9s+}lq z+XSTi)6z;SNEXXc)~@YeAkvT|SK9a&>I@=dFkuV^eRAETqwh24aBH~PBf0*Qm4dd` z$bN}u-aph|1)f%B;U77EzTS^&%5AZ~N)?e}0Skc027o-{nQBH@WEV_r-;FB}db9eq z?(^+}Jd00Hjy=p*ueHwvELyBC?9*Ob?8sIm1u@Qi9PsXmNIA0CP1 z%)CzMd!3ihN0#tJ$lS}!b!dck4`dwWDt0dzK6Wq&win>)@>&<7vU;E)*^THEaW`^ndc&m}{+EVI3;CKDe?SS8J|Q zgL{Oy98ZqYG`Ft@i*VqOwNT*H*YpU&^o!BsgFsay;enyu&ToAl5=M~94Fr&WHZAo;&3%-3UOSZXt>=^8@u$uAh zYPsON>2gk=z4DF5OuBYnTmoZmKfOYLvAE2Wib!sk+o z2vwDa=w9mV1PHc<%SsZtZe8rgj@hYvh)XHT+4Y}D&834z4A#{y4b9{i=Q}KPIT@DP zW0cI$vjFo_Yg6G$ZgjZxi7p4{YePjlhG2Ee09*AmBF6>VUtJ|A?OhZ)-E5?=o~M_k1+&;4lCiQQFn`` zL6@Rtcgs`aM1$kUym3Kwm8Fs9ES^UmTLQ~avt1LVG%Bg z2kgZ2ExK_}RiDNaM+;uitb7Z;9?NBKfp2qEDP-Pb6bc3*BuXq;aYv^k^C-+?kmNR;gF-R_0}|0taS@veL^@N z4hd3@y1b0ZeUzj!5{nC5tyl75O+_b*_qGc9)!*qX6&jEcsaE_^r&YW6oS$dl$jM~`bQ3{oA8H3cd-4FP(B74wJf{&64rHq{ zxgulyxQj)^DO+rPG>`526)wH1p})!V@rL~Obwu+T6E})jlc$VI_5|}Pj8?fzJSB4r zHi|4sQyp3zAhalenlIK(d_I}0D6B2&TT{{Q>y~}p=}XOh7CPVVV6gWi`ZKetnkY!* zudf}NtX7=~i(OGlQvRNR%oLjV>=BM0oIV)~e*sNe5<>jE`YdFQX3|m9wTyU*hsO5&wPY5P!oeArkcPQrO zt&J8bIgWd(Xr(w*nwF5&mRovVA2u|cfFG4V>%_v}0u)9)7LH;v;!Mh2M@=W?vf(s2 zv{1}#pjv^8Iqoc0^Z`3H$VeQ9g@XkfXu>R8nPSZ!lo?yAIL{X7riagTGN)L4_+0*G zT0j4_$k}hhyDcc?OCV>7yjsbt#c$pGR1Ausd;=dr(^sJVsuNc$(p5-f3nn6-VQwdU zJMR+=%z~%UANlB6<@NZIFGrD6{oAe>-|G403H{5@tZhjff@T}m&jEryNZo8X23=GSObkX%sqE;GT#6ukV_ay{-KRO~ESX9r8Lc8ujcDj6aRDNc5jJa?lM8133W zmm7eN=-;n@CJlGXU%st@>lBV7G4^g5DqY>m-}sw*xsw{)!YWpfs-+p5#_r87o#@CXn7!Rj zFgZ?(LoPRr)<=v7N}RZ~XS`LlYKz%=OqK$RP#M{kpru^A0HuFGp-@fk=V5oN@X=IA z7cx5EthO9@8*UiV6E9^-`YE`VuFrXg6iC5#*5mjh+^)3_$vdj`b0K7{_7Y;ful&wY z7Wu0PU9n&QYyoO2rcz(wWrfkEMm6JraZhKymYk?4I;6-+?~4E-xp{S(^% zpy~M;rmN|c_|>ePu}XPwdB4@Sy#-AA0gi*_ZXtG$^?HYp*$WT!J;g9T;i9Xiq8s|2 z)R!xD5UTIoRXE~NWm-HCj9K!OAwu{MVW=!D>ntX3f2fMqT*vD#C~^p)&CB2@+pL`- z(a`hrA0+1UeHXyaEm8Sdg|K_#TLj`@YRO~p5y8jN2{EvN0UpNgLXMgTA0w)=|HE0l zK*3bN7ZUl0L`jUhlH}=gAg(uSy=u|QDSVWKp&aLmkn-<>n@6$tQ_gz-KJ(xrH^6=j zvK0U7)5)W8^z+ABMNI%IN`9&0YfeydrHumcnL+=_ZY@9%t6TfUvja16@8%QaDV;=M z8vm2o9MrLq6@N+A6oNA4%Vb^UQ`L@Xr&`2aiI}C82Oz5Se!w8y=FPA8psVFXdOvVY{dI^j^ynZc$4^S-fItr1Ois4J!pw#<$t||jD z^C3~Lj%wDOiN1MK?uXq8A1A7Tk*7OOBt>TBJYH>XWzmr%D*(iZn8X9FPLH7_w)1j0 z`NS_B`Ll=L1%2@19sERqRStqbQHcxnJTIKd5){%`B});JbNbkBX*uR2nTGXh9oqFi z`#u!N?YsE0wj@iSS;kwq`o|jR5#1(g2h}A>(VVmIqe>A%2~lTTFY9Ez%rvRSxW}@3 zyFP^Bg;}oF`G|?x5ZCZjWNNim3y_13_Dv(L8oFm&cjDm2n`ZIofCEq6S_vF|BYS;y zycgB!*S-%1_c$vjI--z^%W#=U%tjLoIOyV3pKsdi#$=gBxb$eqUcH;vVxh7wD(mHK zub+hLVke(%7^fJ1(B@Wzv}U^$2+gM$S|YkcQ!MbEj&@2F8GkOyt5rsQ-8vc7x|0PN zi|>~Ks(CCvn8rZOK=e}D{&cSYX~asH6+mh;0X@87TFZO8>!eN-k+W(w?7`wTWRpej zj$_yJx%(LAnkp_&naTqO3RE#3UwtJ8v)g+Mrpr+`FTUxL!0I;OUHtS%#HK6u4xj2J zO}{;wx8nJ}_u0Um_qfwz8H~V!g_c@2XZSSM+&feT4V+cqrpx6>>#qr|sz3TiZ6&X7 z9QY#A9L+z}Lf^51ju$skYI*m3_^lIlk|Z~1g;p;ZzHv)`r`MpLS(Yj{0e7~BH7k(U=4OV%9sxSr%G5k>^;l{Vi_P3gzddpRGWi2-e zSJbZMn)f(;3U&2$8j^Rv`(DMgnW_uP|wFHB&DQXMK^ZK1qtM$fMv?k2l z{X)4MvaTr@90BToQNIf9;4q5Vt|nF{d!E<|uVOk^c1OKCqzDsjxy&O+%GfSndJ^VG zgy1ePGq?Ba$)gL3jc$tI6E+gL;LfwuYDuLVsbh)cFQLXEF#W{v*hDsS>iin=BPD^bD z8V0*+zxUQo?Kc6bCD1C7@BuYPx^ybje-oz7iNWA@pNS|${OBuIqgcsb)hUt0`Bs zr`o&7=Jfiu5ys)n< z5?hfO-kr8Wqt~9!7Q^)PhgPy0&zA&vtJmv-#A|w<`#JO~l`J`q9zV9*RyPG#v5&zZ z@sfz)FJ`7aaGMSqd?7_XO9pqI#zTH58ZlHp7WD(D7#apsda0KA0H(Ztd8Y$jN?W5l z;=f0;kqT;_g59Q6U}X+UF^y^mw77LQL$a<;%h4L6p`ac=Bv zvq1fpC)w@Q00jwE8vW1!npbFIOp+=DI;=O4!>6b@|2l5%c^! zMH(-VA`3T3TjobaDvUp}I++E9FNwRVydT-BO$#^5&LkAU(N&KU4hWy!? z5s^G7fxgPq`ESUR&A35{T5L%e(QKl;4mDEQi9`g@Ny>#Dsa-`n5o5mBKUhV)s=9%F z?N?(Nn~|V=1bUoQ<@j`u6DwiZg%FpNUN1iS6!b6EtC{`5x~0*3=+q60$I~8V?7fAr z_9Ikx$K+yv2!8*FYHq7sZRvHV`^KAD!aGaJ%^13LPZTfHg3B4 zLozhSwOFmmZT@UAKU+jhJ^CA6evUX&&baEi3EQ0Fn%}FuCwR*fQf@#+MxWRd>~cQfp)Ct|^lqgMSFk;7f=wniPX?*at zkquFl*w}E!*!985xIXn%IMUd)-{McvtvavLh=aMvcvVkfF8V)UmJ#A_FBv9%2(t>6 zA-HJz_1XHDGBXsA(6b+BJn6^HzYBXL}PyBoOm{tNH_RZuyDCC?^8 zkg}V-b3;tP%xl5_LVd3{q1;dgAfW8|#qcI{ro(}6sexuNOM_G0+WEM?+z|-c8YvI- z`x!7kmA3`8WXlJH zog7lm-_P*%RQ&#=EFeCGaN4=Vg4Mu1@=LGoo{5rb!4l=-T_76Xp#$)TJH>IK_sKXx zfFT9pVK@G^Y0u37F4QK0WPVCD&Te)PuD|l8pMSbQolzADg%FfG^oGmNGLS{-F0dq0>Fhvw!9xLy?p!Y z6VR6uR*ARcsDM#pm=cc72=YAQ!LA)rzA=W0uTn$+?!x|q&~K4WcXh0kIU~kjNlWlB zQ#-mw&R9L2&nHM4yy|g<(0cc{Lqy0Kx$ z^KBDBUdcEgEFXMBt~6SIs@#HgG~s7bYT(&q9t~vm_M6I0lb~ie7o7^lK-#pWm!0w6 zM89aw`FWAsp5~ydlw{pXb>Xrvn3|7oyEd@^o!93zczV*EnlNG}mX=dcO#urVA@QF> z+vp43L;|19?3g8Ed;eKDsBy>g2QH1tds(geH=0$Noh8M~I;oP?sjlm8j`Ybji(#-l zC@&1n^y$mNT_Y<7`b*)IvllOU%c+S4w=6VV;z2v9T^vMsyysa&H03akny3_c%OpSN zgagCn)8Qrj?x}MkUNX9*-JHSIJ(ddjgy{oaa*)fwC2G){q1J8{^Z&v+)SMqZZ2b}v zb>1Cjy_a&v^A*N%IqJWtKE3e2M}M~W-%~LKwdwXgmRN|wd`WN7!3pYCtA;*Poiurk z0;Jc2qlR^pcoR&hUu9ZIF}{BEa9V~|ts2K0~{te_M#w7`J^kp768*rr1R1zb* zlba2eY#7)Lt}i{-R-^p1jXm86#K2MU=R6+Hf|8NGahx?!g>msPk-)?0RQWp8$0U;; zjOP(v0Urp6zYPqWw&Uh9irSSiMs}$^bJ@vS zrVs}BRmzh;jeyzJgxbB~YJHEOugMUA=DdywlF1g0r95~pDP%bN`_u(s2VMBd@5e%n%7_Zf2oHcO^D-p`ZLCe~>B>BXg@5rt1LWvX{bgR2G% zR%{USOZvptxFc9Q8c7IkzyPVeHWmp;Z0oORU82aA$3EBWW%uVv-j^(|Qjb5-wU4jQ zphdTe$Gw7(+;}Zg?V8IILK`&$_s&{@{U798swaI}umo7Q=~fA8z>JjzB&oa>KBle$ zS7B{k$c~i~*Wj6URvko7^w<60AS$BKX7aoh35K%L#Tao<;r3OCX((5J&gMO;?5yVy z$@vIuV8a~2i3u+lJ2B}JdI?3QmEjf-NcJHz^~wt1&mjN_G*^A<M` zTmO2PgmXthYQ!W6R7#Qqxpq>&g@iMsPidnF7kj1fIX~DeO5mkA4*TPCW8Pv}oUE(h zM}2tQ3&!#C5#7!)pCC0lBZJ7`!7nExJ|51KaD#qOUZUp266YdmL9@r{p&G!yG+G=3 ztwJ6|3rfxPDRlF0bj8d$^}htkHII#_9$%P^b`CzdLG_8DEuc$NPS>L0tpfH|tK0cb zXRU^{dd@i&deALc!`hI(FTr7_{Hf0tE9aM)b2B`?)P{@mrSR3PzH+VaxMVFj%@yV6 zYiglR#~%RdSRw%kVb7g3lvaDb-0)6@aYDZvM{n&Jd4sb~tHZ^k`g`LJP7U)itqxo7 zpBRE^yF!EfWA}EKbZg(&W`0ggwSI-J+`37WvAJJ)0M))l>g~0;@Ap7eyoU&59J( zK=(0y@DwOpm+7x_tBpw12ryRDZ+f6-%oi9%*&flw;{TR5;)s~3?uM$L>Z%L;&*`t0 z$}$p>^clLH=b|7+gEj109}NQUV9mzkT+AzQolOy03=```4R&Gsvyn2872RZYW$#%K zGTB%d0fj2TOSst|$~Aanx!MMOi`YAMie2!5-)Y`R;O!(C1du^6 zR~rd`3}7oP!sN4ZlX-k{qKkN(uN8q+ABa|i|6(fv^fqk5(gjrY;G9u+XPht}Lx~c| zI3TAya-sV|5KDTHY6L&FiT3nFk(K7!-#UA7ZdsiKR`U0Majq58LWMbT(U%br1d@pm(&$khu z{_!g9R*?Mh(TQNSV(>vx`%7Gg(=t%vNwNXb5nc|SSPF;6dwZ+1Lowo*%0!g{ieTuE zGv<{Z^X~qge|>hX*0RaUY8t;`?p%7Yu`7md=)-m0Tnr9#do4X$2JV|Ssvi;RR4?P~ z^L)LqW(0q^>ON}fu=oU&{HKbgJ1bFuT$vj_%rHCc**AP-4w z+e7ic*ISQH$uD@CGmTW%YAD|s)d&jt<+<5A)O}3`-@ek7p#o~np?c|E8`t^eu(1iN zyj*M$ssvUyHqlTJ7cXNp)@S3I30_&bO+a8f{X=Q{LHUhH#>8=~FiVdf?8~4jo z|2`Pn4G}sb)7`br*Gxb?{)`F-Nx9oi-|Q86t^kWz&6Syj3~boAvkMtvFYCQPFvB6n zDr?os;aQliCSqzIGvOvJ+-QHPWToZcO15@`v53KJWBqW8c-7*&#LIOKd`X-8p{-Wl z)8AiE+1E0~MrNr|pBx6r+zi#YxpROQ^K}~3T-S+RWnM|X`@(M9-v+;~BSt&TGM*$# z{-d6yxTklM=zLJFk8Ze4?axpm6YGP7-lFET$?oUkS=3Nl>HAtvsR_fQSq!KRip597 ziTm3rcxu-L*2aIIR}-(ZD6Ty|*wku?N||-4f*m425c&7HHF>j?6wrPSCCE|!Y1Xc* zhv>M9+8@G`hTs}`ZtoE-$v8a8aG`u1GAbZ*REm=Kj$q3MZPjjE!oiP$RbfRK)jy7IpY5g1sujUt~sGPK-l0RF=JX#f?rV&tp zQT+=)>|dx?ByFHZMb8h-bG!N<^l<9`!Iw)f`^BlRg1G4H(waXu>{74bFlrf`879;E zlgd*w%|RQpWOZLWWfpi5L;PG$2}qG5E#wS7OH!3%YsBY+&X0t}T~jmX$EH1(XJxA# zH&EE_57UJe54a{wzUL>!ELCxe(|H=-RVOk@b~GW3l(mA_g2fdp<7=0R&%nqJn|Ih6 zR;al0$;f(>`(JUDc4I%IM;YXB_B&rLDV$}SVHLl-Z&Y!!Sm9)~+oIDu8&Ub~0m)kN zb`o)_?8nHUMz{SoL$#GhC*8MTj{#1Rge*4NJG z2JN{Z+-W4c_`b9L6|-*3)Ki})>ey#`6jX(a+{cosJ zI>IZCA7f9K7uzOIweRtBA=3qr>1Afg+)8??0I7BgPbc1>ocq_Rr|8o974@JrAOCI5 z*C@k2NTp9fZ0}eLwuJs5VQVMq_QcB6QtYn;r%Zl|4l=N+sonZH8O{DQpFu)u1*7T) z6=QW>Lk3xHo@Z~^dEvW(E${hNL&5w>^`4J|-I{O?-52BzPu(4PP-rER>114iX0Wv5 z(qUqAq1&nQEaXZ^ADBM1*Uwu;wwflU&-8o@K+`)Wzrozy^eTyOuD`1?d}^XuGsrJi zF>^I_&PfPb`lgW*w%a?zZ1koRk zWZIrQr<4!pP{kTt{4ROuI{K~#HbybbF`sWi@kbuIvbH=}I7IiLnhrK8!4sf47ienJ z3t1Wbla#CdaR7XeGz&IYDB zW$RTv6VC4V#h_v-d;HwQlDl)0aa1?#ayf`Q)sYPCR036+ZSU-Cy>2+I8$d>_{CSqs z%JWXHe2yoGlA63W@J*cb!%_uru5i34FvzWh$SVy!stXw89@{!-xh$S}}xO4z20q;?E3AfFMmI;>Ea(AA& zOyqj7i`NX&-(t$Xq@StcL%8*X709s-g)X9^KQ50f3iy-CZ{NWr@?M}r^GbIoeXoy} z>iW;KS8Y1ln#W@G-~8bPD08S|%+W)j{d*>Gp~Ej-!YvPISH~X$7fl0qk!+b?8U+Nw zJv?@_*Q0QR*_y&NwgJ<4Nm0B|q7xy@=1R-R!@=56o#fj2rt|Xwo##X09612NS|7Nk zJ=%uO*sye+XD?Vwa&MNH_t4C%w){P|ZK_TqrQgaE)E*nQgjmIcrzz?-=D$pwL~H1k zoxHF;y4#y-%FRf*Ji`NcgnO`@1=CQ#iCeQXHsEh~BJ=~LsBPcfB!PqZJYn{nFde5J z?4*t`Q|1JUGCTqPfj?{`%-fKKFB`<@mVt0bD~{2zKz{n?XUe8=h$6oni+!4Caw ziQ7E+c}1!tHGkBwV6o6NNH`>J4M+&Vx_K-XB7T>FXR<*8EyzK=T*utat%cm>U-405 zncI57ta@{$lX=r_k_)+@U7Dv^!d72Sx2Un!f>h;lh9jR3Hm`sGZSPOGgEP&*&n23S zs`hd<0sR_GkIc6BE12*0d8W21sqmmC;T54JU(Xze6IP zul?oE4q(Z@2NLy=vHVv|&8@eJjf9uDh+nVwW{JB+s$Yx=oW_8sN^@pjcm1Wzj@j#; z@7l%$riJ+UzM zZX}-6)g>L|_J9t2*zD)Q$8>M#7f1i4g$kwh$F3W@Tw5KgWzmH7F z!mR#ATYRG=t~m=i%f9cQgG7%u-O>}KgtkL*el|#5(Uqsi3YT=4A~(@Ype}7__e1ki zXb^d}?{egGJM^E&zm9C(f71JEc-5x=~W*MbxV+0bGdu%gwbwTfo$NWFL-eRw`r z(WMwC4Bi{45l*LXlK410x&KIITwknSn6Wr;-htweP7{sp@$Xw}&+a1XQ9idha3;o} z9Dzy?pY5LNG%r5|Y&CzWu~af27~>D=t6P0Myu{+&sZ* z58qPcf7WW;b+$EH2(_T%R8=eJ{lQ$w>W9UAgJre2OF#A7ekE&MX{j~A7r5*Gd!46v zrG}IDEtJ(QwKv<>kE38lx2L}tmXC1!j%fqelcE%Gn~vq6HTeDT*zkK4@c)c8KNfBg zER80gF42rcr4-*J<%;KCwN4&&j^4EA*9Sk|`>OzVLpJKXGQ&>C;lo3#Qo6E77pdob zKb~^O3wSl(;-|3e0cee#AH9l#CE9dxu8g%&abZ$_&G{>~rX7EqW&qL>tFWm0`IKR*0VYk;m z=?ony534p4w*ucx%v`HtP{mHK{})A=wD9BDgNDP;>3i%H2Yf4p!5L`nmDVukpe;`h zu!jg`DsI(QF2QD^80GcjS)uct$*Pq>F?~#7Qn^$Mu0xO@;&da|UX0DqYw=Cr2(Tgo z^_RKOX*J(+^)xQmjzHs{k~R`|DgA%%(-%&6YnNU2ULXo+wnVBH#)_w1_Xy+}E8F~j z*RqaP(Rq4h>`^9GZ6XB&neC^GOp_1OO2hPi8B3O#ChrLT3r`b8>lrQ=*6aP&Apr6B zzJvp@?nWblfBO!&Y{<|?a@Q7S9&6Wz(CD9cpJ;_8{BZ@UuUF~tmFnigW3Nw-?K}cw z_k&_p%Q>GY$OJQqqc-SOyH(Cd8J@gw(Y_IJw--;|iwzFCyy>PxK0OvL`0!K+cqD+) zJZOrN=1e&cOKIuV;rT#313!Coh3wtQDl=eii1bp@&64>o{20W#kG7t5v%F@PHwk5A zFy&eKMPuZYvv$#*N}o={(A+{Z6$F3om4y{%2nnezeEYa5D!2lod*!N|Pl-E#u_5HvB`8x>&#-h!N z?wPI!V}naKfjmeK>=f4{C}xYBS_q5ErWwWk%ia99vMk9Mbk75xs*q0o)q($`+K-x* zEASWMz$*zd%!37@AZ>=bepg~h;vu8x?;3eF6(vGaE<+3E7T*uHM&*pL{-{>iUKhFO zz3ssIpLaHWjbJq(F_aS&JP!4k2$0o0w^Z%qP_6W;saM} zKYL@jiBH`wRrhc8~2k$W!9j`>YdZocf6o zhq;lkhN@5W_7>6rJPbw(5G$FR8@f$uSk){$_{YABe+U?9ah|B{%r1{Yi{y%fe-xfv zJcqr1bm%Gu?l<_*M)%owX%~vzrYdac^+d-_Lk-C;$9;X6{gUE3PosylYp~@aw2syxr z066OhG(%m2xDtb_jBUCCVUM(=<*aQwY)F2OIHzdqHNObvSI4_ob8j=8};9taQm2(wU2QxV$dTf22;WI>O7j1p=2P^NyX2L)P z-vdGaj@i8w3z8fZ=3TAXO$~rGU2-I1nf#$k*yR-bZIxvVVQ=tk$vqbdL zYlX(K!BI)czm|oH4lzqTcfG!ia=G8wG3z#~b!o04Z^W^L2G`5;5u{h&#+2Bdb4J^4UEOWCkh3#IvkIQ@MV;)od6Q#|rZ#zwPHq zdTTikzKGIs<5$mGoj|=u!{-}ETEtL0n1zY%_5!NDy&(KwfUhCt0pWb4OgE!64T z5$ZTUl5U;Fbne;Ai}(nq>R)4Q6$$jg59yrfVA1GgZ?SuVgw(5850k-Z(JJ${DLM(4 zCfx7>%ZMO70G@Z@g>_6K_v9!QS8Bib z2s}2xLFJ!5atce35R2% zQQ?9%I(G{b9BTO%;8gm5$zCg{w0t{v7Cg?+_pG~S2$XXPy3yn;LYQ^ezZVAWKFYsl z(_HN|X~FCaaHWJtz}Sx02At@BXhG>8Hg1ZPS@q#r;G)Krgn2Lb=G_-QF^LbAcoDOY zd1Ib+^e0}2=KI`>U%-3Ln*x$3=D{~@6J-Ggk(WnVa;i6!u%$CL-Wi}>!K6XLUak}@ zVrOUF^BO&Ih^&~nY43vAFWJ!tKA^=ES0cFNSiH=LP>0)a`n)GPI^inOEJ7LJf!PNz zh%N3b23xv$k|BKd!Z$lGQbSrzilt5pPSA(2m$2W?dUZ?Lk*hg>Zu3(&sWcUd{HA3r z&FH@A#!f0&sN5pGW9zZU;BmxL?U`ZNpphhI+zyUHGKWU^06fa?a2@Px#%U0cNZ@?pUmb zntm2DX!2C=rS)uQyUui^lSh5jC(Z3UpPo*any9c0t(Tg3C>T0(sBGQ&q$yP@`&N@q z5&fud@Y^%1PnuAN0^}8@v9(239sTEGM=kv?^`mDlF~f4lt8GJaqSILpgK|DAy5OJo zlC=w;v}@kQR>RnGG&;y;Lm7s|pIQlZhSFVnW6wWnzxv}#9lMn3B_~z88GwpmRP44D z@q<5aCPlU?K@$Xl74KhuCtbXrjlO77j)N}O!?B3kT|0iC-M26zIqM~gSrb*7{cViF z)8$=x zk}YiHpU1}qiQHFq$?wIj`=tv94q&LkrnIWy z9DSaHjpq2OAqm*BTB?!(uk5@>g|AM7B&U(ruil1=HtJ3}sg0(T3}Nd3fHC+ulB`ST z>T)oc~(aqVQ#mGBIkIbNszilH~7*PnY>x?u&C){VUn?A5#<|b{znI zY$cV(@#cj5^LT-U^x&`EEMH#4{)5kfOM1_}s=hxG5Q+^9PF9q5)ldMVF{d6QtaI$f z@B@y%&Pn&y$q^^CMG$M=E-H!D&1t>xfWT+Z*#Wz934n;r9%xqkAAE3k<;vQlP1wgd zBGy7D>-kAw!>YHL_F6Kzh$!d1I&SlKN=nYm=HIMNGC8CGDaaB8T0MT$!uROaLo*K5 zkVy87CZ`Z0^>-aTop+_p7$UqwqGZ|kr){e@-97gA&Ze+u{srCp+}O&gW!?UygR+CM z0=$BC2gcpKB?$+b{Y}h4TN<_|yRxNK0;VFiMcpJ9GC0q1Hi|rY^k-t-lGo7XEX&{6 z>;aGT?f3-AqeOUqVZ;11%~WM3Mr@AVv)ynax~gi~@~2$3lDvc9&hgO&#$mH)IV2N- z@lUK-miyT%p*Ff^Y%o#UR^Pu_*4^yiFD+yv=HQ21JFl;)7jbg#XVkk03xiGV|D?`m z@ZOnr{lXyDtGC@7>Y^O>T>vYx{V6}$6%lE$8%OMI9YLSuinuLx7Hd9lWwwfm0p~YA z&#o+0D|g>*@~3&mRdXTMt?bcdb<5Qq-{m|N&y;_GaSGrck$78*g3>2Re7;mdcmfGF z$&$a%Y`2LLmcyU4U#;189X4sZu}2AY%wrIAmC4*cPdP21D~9P_h_R!o=IrQBoLqj< zr5nMU-~M@$L;X7na+CWI==G)Eh-*;qi5BJ-Brvrbk4_ksw*$z9=u1LnPLD z?}VgSUiJ;!Qa zmb6baiNGck`<~A|CHm?owu#*aPp)h*`MIg8bZ#_JqI$sHAJ7`{J^RFcZs8$_$^1ea zXkiW2h=P`?v{4PO1PQ29EjW%rs)^+FnLgUVvV-I`(B6itkp@p-JonIn7@AYQF3~dZ z0yH3&=#3nqbl7uGL+edFHfUPGSH6xweNu92i+Lo#5?Y?-^CTTq2H~r6lq_rS+SkfE z_q-_C1f?roXEEp-$z$&=20SkEs{{aoAH-r3=PZOq!XG7huDwtB!UkXe?nQs}6q6=5 zC6Iy@Mbn+>)rm5AlK=YzHB*#Nqt}o*?|FD2+~)LckH%z z>Lx|-;!r|cYUBJzgZ_{E3?;)%WzJhY4dt1+jI`|5FE}%d< zm|?$6svuhMP^;5ujiJ)Ye_LBTJ3p*n|I8@2WTWl5+N9O~jl~TPs*SA=e{P%Wa~t%@ z;wl0`=7usXJ`TeX=gwXeYW_L2;O%U_oObbPT5E+oRjRHVrIM7LZFKw_w@eo{mAV_c z{Krd5w#hN{UU{FFjdFWb`d4gche- zIi5FyNj&Np1OiKS7a85`7dQ5)-(d_kp7;JwemczhRT0@O#I)<8IJA3n+>^AOs@J3i znD3zlPwWZ%_oLzb1@Q-Tl7l{Exb208HLIo&vb9tcVF#Jh!C56Nt=~R^Ykk6#3%H(g zih&g>yt)Gb&|f!M+f5xh5Jv26P=WB8D*`HU-Ahasb?NB!Thj{+#_{_s`y{#N1q3gg z`lJge?=;OOhR)09Ur5%!yZ=`7%(9@~08tmEd*Y1*oz)EB1oKwU`!-q;3<}>5IJb0X zKTa89YoMT$E-V%>I1!)vRMY4C-s)J`wvH@(Y&etxRZCBTRp#{&4yroIsHS+RzxPIy zfxaDT-_b+@bU%)o#;?4Pp{u63gCwEe&d<-?%gNylC+^&^tL2ufW?^a@F2=&%>4q2$2nWttVo|};A3Q;TMB6X(V__Atq;h}Hacv0w zEG&cPakBAmyd|(^x~}0T`Cl9fkN!~ZdfY*Yr)VbXAcbs6#j{)!F$c6Nl5S)notfj* z3E^#E)oCnwIP&m3dQ?ouuoIqy8wx0!6bj|pYuxQk?gXYh@Q0rLGAU>)^HKivQEl?n<1*rUZJ_kKlRcGL+-W+9iOg0bD z=$x`I{d(|9DMruKG&7jhZOSR?&mw#M7pLmv3{Z#Ew?~<17*Il3z z=Wgpc*Yy8P59U`7^1t}gxG*6`U(skUB)7p(k$ex-Yyw%h={#a2E>BALC2%jo6GAH+ z(Ta5?Jkencl$Uh`i<{?me3ZVfBf@Qu9iVw2jfH<@HG{ z3U}{t(c5qTsJ8S_*+{LP%z`dbWqaCc`lD6?3x}!P*?~Bc>iKm2i@%or#C|*;4(GZK zVnR*hY2yu^J`J!KQt1WbxB?}mY4*RU(~?2EeNN&;iRi90-Sm1?tIY`>afEcoNJ%nhwqu}8FY;o~&gZrzXa&P3Z|=+6XyFHM1gE*Klo z2f-~K>is>00E56*s|Yt5S!Yp&ojJXlAZ0yeyYTrWiPhpDV^;BVXqBZ9zfiCRq9`Oy zx(Q-RwJ1az7Tbp?E?pNszVXz%=eCAMfdi`#GDD>kZ`jvK)2N|W`z*&&6ZU=-=GVwW zvISUESOJcXH4>`1Lq|NVSSmZ$ef0>;&^+T}Hdc-9|6&+QQhVc6G4ndj02XVmhEzYz z7AOJ#?ZZ>pt4>&Tjp#eZATyy`CXK z!WC)WQgvSDVkW~|{3!R>ZOK3|;d~jBHw5%<@R1=ff^`X^&vrFht#6LX%-!C3WhpkQ z#-nfbi5Wlx<(xVwCZ$F(`K`nLe}ZH}AZh6Zm$#8XS!e~Ld2Mp2DS<)svn(W@R$9{O?Myp$h|mXFtoVyOK5qw6=w(iSnwKKOyI->@c|;zU4rhYCEi31O4F{HGcQ$!VKEKGYg!@&? z(bCq^rUI{0K7mdLn1|lBTgk1DKxtpa?8qF_%Vg`bd)yZoZkGltb5M!o2oOoiAOX_D z{;=iZ^-X@8kv>p`6N|gWL<&u=3HhiZ=(YADz?lvlB`-=&!h(q#lO5WhhsxXpm|SVM zold#b(S?tVC|8P{Wdagr*>X^6X7!=FYxd@$v~%DBs#?pPPAXCFkF!%dv6g$vewwLf z`yz(&?2u_F;3>?;5p)3E>Puk)o~uyvp{f_(@x`Axvpq9^b2y>-nm(A?v+T$GOQ*ny zxz~D|wsvkeYqdG#$tBc4w>+aB{{KVOS;sZGzJL6jW1u3SQqn5YEgh31h$tx{U6K$igsir9X~N_qwh*4_`Kr_h^haaEpt2agFSrkGvd@c;J+hR zI;NX6O{_c?rm7n?x`tVNey{a3_^oFgZ65I28kJw}h*>`9#j|&)E``$ckUrl;`}$s=0!uzt8X`32AV*GXkx{GDXufj$I>_=na681OPyZ zAGaej%tP<$fk>{kTS{F%MT}z%O<6Pan?`{Co3HnUHz}Aoy!z)u5Qv^$7AwByrUFxWYl7#OUhUQxQ7k7^| z5h!Xh+Ojb&KxJ&!3=ed7DY>v}I{F6z+7GhK?~crf>6Mxka~R|K5Kab4yasN{;&~ z`HlUQSYzC>GYSlvWP|{OXxAo=TY?FremnH|U&#MLmk+cijc16S$z_MII@4 zA@|eXiI=tM@7ScTKmkpH>^*CheH-H!V{EBt%vWOGVNAYtSBAW76hLZ)U*0fs++7P& z5Tm~sHAnsx3&@ke{bYd3a7u`oErYK)lVEFZi!7T8%yN^t>oAwXk1D2u02Xb;$hs5@ zGH3{zn>qHKEY4?sF6ta3P1cnh$<`uQiFxU)b`T!mknwKP(H%(Lp1TBx9iBV^adWi- z#wxN*w0OMfwx&GDmLuZVqf^AjLTEp*CzlHq0QCY7xiU6XTDu}Xr|K96o)Qj#qFjXj z-sK+A(n@_vH6sY}(!_9I2J4E;&q`w6ENF6zK}j?dt2e2xtZ;Cv#io?y42&5F*74Kb z2RE03KcBf4Jd=`F6}38&|F$XSh;Jj0PihE^AaN;ehiQyaXU5mp?w z_cE-GNmiI;Nw!6#UNHSR{;F|pcWqiVRfAVhN5R@lzz4gCLh-r#$O_WW7%)3kc>;J^*u@|ePLV~c zf~@ksPXp-!E541b~nvQ+#D%WN!PbVg|Z8M~>z)E$|jftfhWk)Y=SQKjT90g6Vp z8DD(aXPH9kKyo4$+TDTf;@t0OG<9_cQ;raMuEA!TN(t7s^h?ye>3~;JPnBu_Dp`pzi0G0w_&71KeVNq8#B`2*f(hGi>cI(Gr1rr%^JKAA&&&6pyIN{Y zPwZX}9dkRnEq8p6!Y7JEi8O26^G+SBgRd&CmX&E=bG>=!Lfo5Im5=Wc<>oieAuAJU z=h}0^X6P~<*Xb_1JeY8v+gmPO>3ZgY^!sGdG6!!h8>E>yQ6rt18fElP=@M>s0b45M=V@y-+5fAV6{e7m4Y{jSe zSB_0}X|GO;$%Fn_6GX{Ea{}Av^rm!hbi^oXh~s;3=^-{AK=`uyd)qB1`B$)CMP@*W z%7=g%{$)?t`+;s;2X`!S(Gb?amQL<_}#w64kMy zQSC`a{XOBg{eb}!#G6DKD^|DF<=t<}Iej;I1&UkGc#${$?~#22KCfIcF4;C%Vgx3j z{CiMVp6}+IrkDP`K4wFScbgsh{e(7L*J7P9CWxNGqt_S<#EZls0^M^&@ny2nL9Sa) z{1Pr9UoPe)hfxV#KsXcCbC5%UEa9WKh zI=v%P>l;4Hz0227rK50F3nB1XYr67GQLuuLJIG0r_^tnN%{P_ zYny$m*SCiTrsVR3TL$sv8d=)r0a41_M)w0S%^bVwai4myuW~)ErbVeXB(mW-1O~BN zpSFt4VU46xWyZ-ev^No?V!%p&QvY2e8{UmAz-qECM0ha^59T z2fmhPr?F8yfKCJEduJi^ddUnNe=IYwd^QPcI$M7E_ByU1l6djefE(0vzF#=Gb-m`U>xc!5 z!E-iu%*M|R$nK}iBRka4M0bodkQzf(WamJF0h8d-i)#}N05W1G6>n?_b?Gn}&3M7f zMbwZ0c%Ly}`2Kq}fgm8p1%d5#kPLd|(D*SMCs~k5|H&GV%+W)75W7a}ZY*@re5lBE z#75ZG7eP^ODNZh_bS9OD&dXvGka^zT;zdBjWGrx$HwN6wg_;g7w_LJbRQ5N365=d*lYT^caEOfcKGsf3tK7@OA`g| zC}`JS+Z^A!Iqpt==PJ?XN;|uuIPO*S6Kd&#YwYfYI>Q;MDC!1p@P2@`WX79XfJLy^ z1{)=8Gt37fkeS~LTQEGdEt%WkKRp`0`XZa}e7B*(&7ng)xv^v4AP?+$WV7T zzGcBRA4~(m9?B)en_Wg#mNF$X^(ryz(N?=6II>uFwEYR|dFT0?Ce-$j8e&k%IHO>! z_Kf5Bd4)?)w()xVmrlkFe-cTyvgr%(IZpb@&`OtYBF-Tjox(aXzJ_`oJU^z{a|397 zd!!;BL^pE{rn}fVQ&#yE7!7Cx1R&5J()(D)DIXtP!rlE%&-MZ8VCRr{nX)8TO_}3d zyGqzh0`|Y0Nal{N|FW}E#Pe=LtYmyeT|z)z1PpqGZX`Jm97;T6$#a=3lJ9(QHz`Qu z1k%HqcgPPyih3N4(|`8EB)vF^n}le?vA+GqrMrnpNZO_=oKng`gUb0V!&81NcR0Rt zU;Qw#QwhlF0Nsb2&q$uSL4ho0e05ylg0aI~$Woh4t&zKLsCy|5pvg_Zhrw`M;2n6q%^JOFnhu@;q1S$g%jE4bCZ{{kW z3~IQ$ZOBM3kn@+~!^=OkDapp?O8Bq2t4&V1qc6YidYhx2FZ-3)+N9IO9@{}ZCwA1U z8!nxV;*#P~URE^5Ds&LY>1y~RFGQNMw%iikTz-^?rP z8DO%f04lh%V5W+8789pjX8U5BOLGeoe?BaU8A(j}!q;HQr1R+s#d*hpA9NBs31WHR zsyvJ30;xdXQ>KFcdsGu8-SOuJGOw)2Tfxzzo<6T)wWo1mr&dvIlV8K}(xmU>qkV54 ztLs%xBFe276`OSsaw7}Y_HlA9O?CmZc=6=fHKwL@3f*S)Rd*3Lwet)2lR>e5la`vt z2s&>*Wj$d$9)8)J{<%aNlI&FNNQTh8@>Da@8>pTpr;oe{U z=ka`F8Hcaye01fUti-KL)!BON%~nU|N994{c{tpeLPjmqs2#GcJ;PPcdh3>6jf~ zA-&Gg?g#41m5!m2rZo0S-Q5=zOS#$|7su;&x-#DJ=m=@x;t-o;F|lELJuAFy(&7g7 zfi}daq455J_(IbaVX*5bRD~v8%NT_^i`-rUES4)zI{0ht)M6klRqOMfYWhBJlYjkI z?6b!?l8(zXs=X?V3DXxEY4$F=71EB$)9`4YcT)xGIL)i?yIqXoBgSd{tLe9|Q@qqq+hGq-(vmlr$(u@;p~D)cG4&WGq)3p(gsDf0>#HW7 zfc1e)TVt-@5lV^_`62kd+8pJM+nY~XQL!La8_V%rt>P!+qb zxWF|FIZaJ{V?R<&{RjDgm|N4UVm*_?+2+Qu#z1LXx?Hlu{O-I-Gx8l%sd!_%VsI#M zrW&D(mZ10gQcTve>P-Fpx&B5dmak<^qOQ_|6bUjlFL6ij$d*Nakrir+rg3GlRtg;& zd&y}hKNse>`>l}Yt%YaH(emlUYpOopQCe<^Ej#!HkrP%HH22S1DYT59LNRa z(fFj{$wDVv|7dVrh^8Uu4iPSbS4_6{DLBnab$eu9jT1UaZpWVDK4y>lTn~D?F+Ra( z$9t0l&R1~oH%`bOG_nJ9j$kpgzN;d*vaeXD7hPkUh+sD=<~|qkMUOsC35cp=;9Pyw zvLIW6OQdkImui~I_(<`U@ZrKcvhKlrq|L(*BvPD!b?4HX%P)~cqYK#c@1MS=W6YEA zCg)o&xvpkK#rL7#vk!Z3;^vlHTbfgi%<*BgS@l$KM96WtPvC&B;>M&ErZVRGLGR9u z?qrjqhb@CKZ?Zd_-F#$i)g$>Bb~wNt9h~5oLr-@T5ECnlRiRPXt1H65z$>T&YH=7}UFP>2#6qvZQxOyOP1pyZ$d zy3Bo3EEBElnkvpME6Uo0);&9O*}>qb#*TbW-zKx}3+tpyih$>_A}BNSPG8a8bRW&S zK>KxFbnXp$jp}SB*j+vI&&#UN6n34kuOi3%+{-r`WB9>6 z2{wL3)ms;%mr{EZ^j@MY2@S=@MY`hg1oO@yTZmQ^T<1;mZVgzq_g#n z@xlJwy(Xqr6Vr@1k$z%d8y`8;pjUVJx;l&xbv8&rB27N!KWtwiw~?eDJ5~|<|`2x6A`WA>pMo~MVeC9P}y?3`ijnM5Khx7eFm-d(S&GOwi&jJD1<_3mjDTa%#X3hF9DQ8|*7iZy zDEf`%%o}x>ukS0lEVF@*vFjj3hl{kxuwx(u2Rf74Ah&C8foAN1`NtBbg{#TFy?xD& zMRdnG2de+g#?B{X#II7N6UDZiXuk#}C$B*F2JWAJRWYaEluroS8;19FF`=WM$s)YJ zOS-qj%uXwLNR$DJ0?Xna7rGN+cohV?`0@ByYJfaT9hx-4o7^i(lX}?CJSj%~ffa&3 zY!zt1w6jo%Jm`k$sKZjQp=>48&q6)6-O6ZS2IT}!5VmLdO+U_Qc4{txIQ3{+r31%h~)0d>hD0GFm0Ua%}rVjmK zF^pRJ_=p&v(g|rPOT9_PVkZ-nLf^X!K0?Kll&aLLrbJZn>K|wq`_m`bIW_$={Ff1 z3Tm{75as!lT6NH2I`awuN!P0ZXdhKg1Q+f!BL3O|Vi6Y&C2$V5jQyibE|H@PL?y~W zl}u{N&_hGB4@mc8R!YRmEb?e;WspoFEF`efQe1%O%tIk%lU}Rd#A7~?BoHwHz&+P3 zX`t6k5h1z3p6QJ{Gdg*Djb^||;q|eKTCnlcpR`N*whss#i(e9D1c!2q>xIQjd;<>x zY1x-fx9tR4AsK%zYU)e*#)!g*yA6a=)NXBlbJyx5{<&j#l(Q{+ z`;wA*gqk(Cs>fH6Q`ifzvDxfkr$)^gLWa1NC)sB_71~KlV2ee|k{#d1j&~5K$?GM7 zD);Y*Zy~*J|l6)O3e!f3s=e6094Ww9>Ui5^`NhkZ{%Xo94C3l zRcS*rhM0A(Ig{UxAX7a9h{w0I6d#Nf-Yj{!^qaF{;W~VnmppOsy0Z0s?U_erTJ*tM zo&e)`&TsT<+7^)WH~=!-7r}34%?zsR1tKdEq20c3K&0anAEk zLlK7|6Tdpl3)}MR`%4X?4&UDx_274`{l+^%Ww%~_?e+MFeO=tlF_@;Hse-1Uj5JVi zW}ZEI*Lk1>asyEbi||U(JhuWey1@#c9WI(CW3kri6^*5dqvNDEQdbOCE#MOC=G)6d zP}hc&Ov!4k>d8*Tda&c=@W?Cy%+g5LSi-chgWU zC*~X1WDC;D`kDXxauZ*5QfuecDeEcT$92*flv=4&&408`2l;iE$(p4BV1n1?NwZEGaOX%H)V z6V@qE!gTFyETkw2I(X0MJjQnIFf$f1<*7b!e&Fl$gH+Wnyrtf^pgQ&eJl}y`Vw)gQ z7%ZO_kSfG>^oc7C1fV{jH*mm+OB`Dp-t)M+YdH11VybTJdR@0`=TgCJHm&+@X&vVF z`FlRaktvGmY}&-pWSr|cMod3KK1JG%^p?AGk^_eL;ivuj6{zAU#;_wwEO|k{nF3y6 z%O%TTT=&A)>oCI2R1|TPny%hrM==?P{zvK*>V+4xjd~S(hBUM84l+ty z?Xp0%>0C)yCUkh;?!}a-bafa_s`*^WesS)^brM^yZ*|vMN9(D7)i@t){9WI)x0Gzh zpU`&O-sRbON}sxdlkv_>QzrWLT)Kq7-cnt<#HvOE1@aOLO`9EJmrDFtfGcD(9R~r4 z=4!Pe+uyH8FxUoj4p~{Sg3cL8-VBmgQ`AxU6t5fePG%3o)?_<7^&m z@UyHuJxh$Oi?6Dbm%qj&bAk(3c}#pUpdIg9KC$Yk_M%B@;xS78(FP%0BfnA-@32f$ zH21*vahgQ^Gtg*zz{3x*rDa?pjQ6(g(AkL6HFaS1;?ANK$P;SAD(1kj_P`PGlK6o% zlX564>#ml>&eQjV`4PcfK44SFqm8=KD6Y+=V253vN zlHy3@QAqoYhi12qentU~8NX(iQw14)gI>OC2^G&j*m>RenxVE&R}f^AZ_-}x!Zm0k z0r1ov)(VVz-Gt>m!v7HJ^S@ZmSyqD3C-Jxtti9$C69hB1x64JF0KDiD#y zFsL%n=|o^eUeZe{c*b7|NR3MBTnDk0L{#-11W0Tj+W&zu{_`5ty~GVv^mXG7d?!dG ze`9(<%|6|#U-Z?zmx+T0L}oRR9)kRov;M(;foeA6t1t*l4HtU1qE0IBv%$SB|M0kM z%yKyF8SO9_6N1;oR|bHOQJq%!5noXW=!pO6Aye(P<*mJhl7>Sei%Y|aoyICNyW1sC zs3w+<-Typ^nQR;jk^i{23(uQL36+fl{`ozF$aS~w3-vD@=SX%^Du^mD`AmyO2!@R= zxBQUX$^lGcz-H+Z2aAJ2r0Its2h)2m5Lt{h6TZ(5e;2y%z1A;SVq&Vss+xZ|4lijw z{b~h5u4jY7@|#Z|j3XL8@!AwW>XIwAt)q$k2zJtDfJD4{H^Sm*cjt4EF$}0|?^1Z% z1b@$i?_Cfs^e&uI+|dTSREfHEO>3wWhkwlrqh#8Y|Yi5*;%*Ll8 zjct;H!|oSwgVf0bDo5(bbX@cp8Njfrt@7DZ1~^Fgt^{5?BaGgHi1plZdQfC3d8+_Q zSc+xBppbQgEwGfYXBt2B+Bufv%w(MGDpfc=_<53T_Ubx`QJc@^F*FBSrdfH|!MkS5b~rG(2?OAs`Jd2w6uKI9$hI8Y64Vbw5@gnd{602c`r1K%>`Vff~wWRVjc4k0Mmfdz`Xuom}%T#O6fe9sRoureQpAj=} zcW;z(MrTKan$c%Pgx2DLrk5>4YEVR*0%zwu1CkZW>@>R8uG9VH_DmnI`o*ZING|7tSK3B!RFSF67;tgm_K?Y{djO}ha#G3&*jb9Iqf z@A+VgYI9YJ>Gm(8Iup8cbQEaje6wdHRVe0KdsD!lH@_VWZ|+Gp3{T(t?s@#I72uXY zC3C1cZypu8Wv=6`{Uu(Be-&!^RtdoDfx^*B<*F=^o}EK%P=23A(hgI9wP0Pn)+szj z{WULy=ieFwtK_GfE76k=+zyMcyyZ;m8* z5Y#PyjuiY}RE)7ZsREs=lk9JIz2A-1e?T73k-lHRk(KqptM25^jDB96S7knt$Y7)u z{(a3gv}APilGfwom9QrImBvc|lL4YjZ+EYbz_4lSZrDNl?`Oa>WXn;y(1>{Ak|AcZ zdSkkXJ~}t~db?C-V)1MnOQBWHxzKvOxiZ8mr~jdrh%Gho%^KYtHN`-tQyu-^v)

    BIFFC^~N=;1MgBHrrKZZa*|OLca-+p za7<0LbC$bb0kUgE(|V7M+aS01vL0NE=LX^f7TBKGg52%IMNSAYS5+Q^Y6r+!GJWUD zugN|XUL(W)qN@}hHNHZw=16Q)M$@oVcU>gETZN|*NJG2Ed1=$eT=%mxX!kjvA8!d4 z6wqp|_5==|*=6fpx1ecgWKyym5=U&`Yg$v=8{WP4ugaWGM85X8p7&EBwX^J8|DgYw z^TF^AaEyjaDw36U+`Y~rgV*enUK!;~v91p1S?s8l>=)?HysT;{EIAva_;WgBt>zyd zhL)v-omRoF&Q$@jFqn94KAh9@xW7EBl780p2|^apR-?z1o8Gevp9j#SMX$-y>bE;~ zX@qN$PxaHu5c+EEKPt?XUlxrYIZu`e#Ym1aqc_~ese@*y=G?lw=U^>J(?0uFx9bs4 ziG7#GXo&1@n(Lks!B>eCQsN29fk8or=ukh!bC6gFei8hnYCE5rY8{rG^KiOeQ(t$^ zv4v}Yw`ggd4oF0oH~`Rd2KI0ANqI3Oi?Lm@*kcor!&TSi*f-Izqd+&Vk-`331)#UY zpv;T1&}l`}nchG9k7Ctjn`Cml+Z-SN-N?1RZ)Dd<7H(}OsX&azGF2mtb_R}ke_!PF zN2H6mgaB$wT+B-Qmxl!@D~yM`oJyHiM(&RZh!?iu)C>M^wBJ|&tSWHa$$$KFFrgz< z@MEXU<`!|#6*NNF&ZbZ{tV`}K_z*!9HULwe0aSyTRJHP_BrrD2QUU1e($m$y9{jYW zcztfuOI9mu(+@*Yl4t8?tuGxUW#8wi+PY~gnoC?w5jVqKK>A4T8}P-SNR_;;HY&z7 zNY8f*AOaQ}-~ZGEPuJf^=tP3GYYpyWD}I||v_)!!yNwQH;m)J`Fn#ud$(^H#xO1CN z+`ir&U9&K&0v*xy%mRf@66@vV<@K2C0~RsXmx$n}j#s{nZCW8Hz2Vv85S;6g zl}Q#EnMwBe=PVgyLS+C6(6D^8o_VCgLyQRauqr(jrs+Nb4h4@)S=Lr;nXRI(Aa3g6 zTHmIxiY)Vzm2$UqYW`i0TZuw^p!IZvUTzK`Jb=a*Y^6*kDjnmg$M>Vs3>~k4#q$e9 zd+;EIU6FBV8?tSFFwL6X^+;mf-T(v}Fty)R1cUAB2j8bzcS7Pm5zP1Xl5L4HUZRnVUg<-s9(p*jU9ow_RlY+&M>u=Z}7; z;ihXTrSkgf+|+8kkSLaj3*=~XuD>^RtVrevHFgKYx_zmnLa~h#ELP%vOKX9{d63_+Pu6<{hU~xL zRW8 z{;63>R)RM$dgE|e8)C)>^jv@ICE zwh%!6um(H#PC(lRXCDkVGo@RUudo%yRpFoCgU1jqYwsQZ?G|*0Akm)d$J6%s4saIi zpHEWHqXdn21!D8^pz~kNZTSJV zH-21q#OBlHgj<$S2&RzPaq#Z~GW!X>?uweQ1iAFnVa?F8{v@O2gEuc-9I(DjBDaSF zXWP|sEtXqr7hf#)-<8>{=<1WwG%8PUOe;qCIV0tXl)@l!3$)FyYtUvNw*@WyCicM7%pw+MPNI8jr zVZQ7CYjjM^2@L&N_+2P(1bEFA{g?1eR%Ea4J%y_>KEMA@2Yyf}G{oM|&+Zkqxo3$( z&albGycNwrS7V!!_}}2x@1gqZ1BtM7Pnh_iHR-v0<1?4uEd58o3lQ8TYOxtnL>-8v z1aI=_f@ADp@bxo&cK&jTn3^S@THb&}%tM!FT~5=fi3$xj(|`=YR7I8ma^pk>oZaiu z=UbR4h&a0Gt!#@nVq&_jFlxw&rD!W%^B@}SS``z@vFGeH#b}_e(90N3_sqEnKv2D# zO|;{tN|*sXd`~#CbSf~%u58@h&6cR5mAb_k+gEL=vO8?1-2Rk`m{qT=h)uNMw3!nH0fGfrWJURodcX&O z7eo?H1;(jP7~;o`UN9!^xX1OKenxP(7EV;TDL;@V&UuWRT89ICO&%Yo(*7%g z3D)nH`F}91?R@ydHo`hD*(dfQJ#I7%{hrRfvZ z>ZO_g_nFw(dBl#cX3LcD-id5hJub+P2RVRKes)EMJ z^t`Ny?ktYePYORuP8&1CE2n9s&J;_m_;*xkhAJwCxUi^$+XTkP@e3c*4%~x_bcG5P zaV>nck)BBhU0>UYr|A`Kqi6)6vo;|h1J<@9l#uxy@6-ws0e!}i-LRkCIVCEywjDs=z zn$@qXUZdU&_HMm9{^jX)XZmru>3s;AZwnz9m7Oubj*D?2>i$O1Hw;`X{o8(W7 zmQTK1ecL%%wlQwY5&J3-&iOZwEz;Whj4f`ByqTfI`h-}MWFi}AM(02DhuCjv^cRDc^4?OqbX~9R!t81`c+LkDA^^wc%$fx8uYZpKqBCRAZA9 z{#V-KX_&31o-k!gG_uG&s8P0<^6quP`R{&puUPqO;~l~jtpbz2M?coRGX&o41hAvZ zt|@anmP{H!4TOV@0>~z9Z!z$3RJBunJb0W34{oHCf{E0u=v+pX<~o4Rn>kkS)<~ zP{(L5$EaVJ26aW$`M9`@023PZh{B_&<+8D6Fp>fs&t`QA9Ct`A=lZ3&X5!Yu_#}3DhD0%sTao2*2fpd{?#g8|ZtT(>Tgr4|Ie1%B&Lxob z2r&W92oQZsGJIBW)B?8gSzSGxY$O&HnxvicravO(4k z?C5cgj~lI$Qz;6x#5cBIEGFL?b5@hYT#!+oV{t9?>U8beRhW7}T#$i{99&_*K0>@# z>q?F9rA1OY;*?9FeUmq`NL2kQN3uw^{naw5M8|6W*_F9hgz9uvpGboPnP?0UiJm27 zFxl^aXR0_1Rl?mxSAV~Ls&CRjsy^@fd!b$B;>0W~Ec9%2*|U`ZWTkVxjI#dPiHSr^ z8f-0{$%6PYLi-EK_Q(0^qv>wkBj2_Tc+1w_*k;^jd{8eX%tg#f4mdeLCUd$T{MKD; z{RYk>vrV}o!|Fs1m)`*XspGZLp@|z_$vRTJH$4)`%OeWj=Xn>AV>=<|V2r6sM%f}A z)rO8GwzED%a(i{fw36=nt%po~EB4*-OC-C0K3rY4%zHI9ELNH+UzLB=*7#Hin--Z; z-t3KT?Y?D^=lD$2);St;*tc-=Y&SKS^=7np1VirUdMUounBmx}A#2^w@Nf2_xHVz; z@#J2C$WnL>Np5Y`zUHFw)1vnH!6X@Bw& zV$a^ z0vu=tb9C>()c=qRgRd=x+aLqhv)kNfBSh^;pH@KNEemNJy^BgU;^h_InM_YJQ*@d<&Mtk8lFJxdR#l z9S&-v36Gj;2&YyhKUBUnO zp_@N^>}r`)ojWwqXyEz-de&D%9T+%D;Gbwo88BN9Z6ef;_w=|G88K1 z`-z!wCx|ukT-9CcD@s1K|7xS&HYgU72|*&ID`3m9MdC?%M4TchKj1$fH5Gwow||ft zX~LCMFI;h9`0HQc5|K0gHrFh-0*!lJN6@; z<6RjOaFCivfKIj{j3iH z@~85u-cNinG~Ry5E_Xf`ey!0kb3Ni!dt1bV^S9|mq>K6RhY*1!t%Ah2j?I=h`&U~z zy}4|1-FQ$Aa0GoqkN$cUm73$GN*r9hCKQV4XB>J}%J#`z0s?f04cql=&ya`N0pvc{gTuP3-m$h)A8ZgZ%KdsJb-thM4&$zrk`g#fYU(URa@<#5slyB+DWm<9w}Ij9EN;Yl6P_K;nJ1KK1}UCO@YB2LAOi>eRW4%9 z+PVeDLjEf&I0t1+?o)Pd@$m-?&v}sxaL4ZLT60?RrLp z$#)g>(R0d~uVIS#N^C$;*8GS*1y4B|)OWMF%`!L^JNsy^ZK+2P&Q^%@ObB(BEGu7k zg3moPk@*^Lc=aq$WgiuIU=(i%{sDdU{faHX{?*i_(@eXRpx=|ooMX6%nk;WcsjqRKiFogF1xI$X zG!TIJO`LUf*oXa0OA9(MPp2@r;HTTnhtWQPT(Vc_Lk;X$oH_)`w>!c|f?p&`%6WWd zeIFZc75N-NFm$D4L|hf63kLibzHF)Zm6 z$Upz};6$I*W@tPWad+ym6fL&y>Nyfh*08I@ z)-xamxaf2HwOIckzMOL_0hrOF{nGa#fKJ!W+- z2N8wr1x?1!R7i({;6h>`06cn=?iXyqGn23dsg4Op5xo0rw4u>_SF_un{wLY7(ck-F zeZI^2Hlep;ks!OP&6uc-82rgAeV6c4LE)M#K@&?y&+9HxsNog}iX7MF3p-yG`f!!_ z5ZE^f|L2T@oTuFUE8F8IUQFR|F!v64FU(Nsm1SgnHFjsI!%2XOaq#K7)TB6nlJ5zM z?=Jwp4x1`PNxUeXWQ%?=VL$)ukj1WpXXI-PQxPZermbI&%t)y8PaRZ#4OY&t(?`F$ zw5gU1^w)328lTR}LMhcWyV6(N@Yc)gV!BZ2HgRQ=;??{bDP6aSW&4F^44_Bu{~TdH z{FA2drckb1I^V(t;q24t42WXFA#WWiw893e3Zn9Gl69p8Roh$FY&u3` zgp$FE4M@4M9Tr0UcXo{sra&vx0BXSlBi=2RS;rM~Qd!>QtKb+ZrT{~;?i;ZqaQNxB zrhchww%rK_PvRiuz`i=jP*xEe@0EJ+yVtK(0-P4wV31`5^aFferVMa#ndF(i$@p{f zCork25KZdmAvw{peieQi!qvFr{?=18N4 zsd#x_EW7Bpbon-Kvd@Y2`d^K3*@2Weu&SOEfGete|1T`lghK`3^nG5L1$l6X8wZ#k z+oAzx#7h7P1krJb*nwHUs+wVQyGBX?_y$4B;dam2AQ1HYCr+239e-;rwXZ6Or4#Rpl@4JDuz#6te2XTSUc@%Kl%wH<-+%U_fvTJOF1S8^E^U03<80?w*-nctIA+ zhmA`6TwRyCtz9RDuv1b78u$DFkOf0wexRdh-l%NsCUbiuns|Vh>CJe3?)>4zw^A0h zBMlB2dz{s}F*weR{A_*#e{Ptiw}l zo%aVoM6NJZEgBORj~oh{?Z2;74$NImcH94D^E5Jcb7}si^;s!hKF^nR_Zhjfgy=bU zD|yRSr!erb)3xQ^&wJt@3$u%Lz7lXRPij?h!8K)Xvf=u=Gu~MCn9-hr*+PQbsrN6c z6hF~1c3R@ao&ce+wi>3L=qS!EymOPNs;~(0b4qP8D@r zw!>X}oRM1ugD@FyCFh`Go_KJAe0mL?fy(5i+xQNcdKzO4GcW3h%P`AaA#v$j*IF!M-9Z__-cWeXGQ)>}pZ# z%I%9&71EdAv%-1ETdbn&^PA)<+M32J{??C-Z>t)uQHsSY#toJ-Y>zeCuPRF0TMqJ# z?}e4LI=dGaY8L*fw@iKU(XmcV`E}XaZ-ynK($c|u4DQ4c7T^Y`>?YiH|4?o07aH5$ z@%zRkz4idBWS2!lgp)Yal*QCe+eWx@>30ef$D`-X^lg8suNsC&d8u3Pw`t(1lC1)zth7$a-?RuOKAl9xheS zUU4!K3=-WbzROE6EYj^E1#AK4aIBqM$z9&csw%#P7-HDam52@FSFKX*{E7QUC(k>~ zu59uqGi|BrWNk{Jo0(;_NZ}HU!F(WF?TW@2jWZ!o05fr&XUz6TvCQ+cN~ZachGTm$ zM83o;C6KF!YB#xI1 zDP?U2*vBJh$Wx4+l_jVVq}R%R*OYnpuj6ibH9ZvLQw4Rv1E8O==Ma{e7AEf7fS#I@ zk8b!k#(%08I-H}9ljNgDOcc1acm_6{0vhhb7vIsAOk|B}x80_RwOXt{$;3_XmDl{D zC<#!){2&@dK^DCYrDpr_XcrO^^zB?mlb}B^L$Sp#wjc%>$wUVK*9321#%R!@-58wz zxAvuVw+i>YHst`JZ4`;Yr$Ihw3fyVuK$B1-W>oNSByGVG-!6-L^RbIMxq}(&dgz-CC6)GE(R$h`Q7(-<&Di>ab&S%HjF-3U8aRzf2Ej4hzR zT17NXZj{`1-Vzp?-gD;#viDk~D6H{Wo@7rTL1dwM?+y*cHgUyZt&h$WsTVf{n*nqn}Euhit^zWw(euSN1c&X0`{wLs4umnuNyQ0T`>YZUHaHY^WY6?G`i`B5|&QTAA-ILo)x)uN4 z@E)oU4<}le67W_jn`8~gu-_;@P3xK>@gYmB$9_u~Nbv=KT#(8jm|=kGFa+QW+a%boe|-o9HJyXjBw0-iqbek^YqNe%qFwx+>E zmqM(FxdDWzTESxhdQawDkAYXlpk4f5Z<#{RBV*;Q^*kbtZm7A-l$(j~*A3fJi|;p> zz@l1SzE=A;W%RLxU=bC{fX`(VsA$M{jctNyMkeD+>aDYDWe z>r99xbvm-ml8y`_(qW8@#+(Bc$NGSqDp_W3@5{%Gh)nNi%0Z`2AO_<>9Xw6|n>H|T zd|YsCHX6B7<9Pq8qh`Nfq!wVrfQH6Q^P#J%*V3IuvzQYPh4rUj{tz2aXmp^?@9Onc zrUXqYs0W~3&mfp?chJpw?uts#k!xbvmux`CMNcPGMl#Q0G?+ z!FwBu8(7BeYtee>yNyIJ2%)AOyeNJWq1nLnv z!5TUs_*e0jWRZSjxSzOm7TJ@J!2r|6vC({8{M|`MmvY7BR=qF+j{9(xW%-&ezX)x1 z?FU38KekPKaG$AqkXjJ=MKhWtg^ut4c=`@_s{a4~%Bn;|C_;AO^2v6s$_lwEWnH5( zuWMyykBTBYWM?Z};=1-uNXRuWuD!X}cC+$-U%tQp<8eRtbI$3y=h9~Pj+tEgG-=pSDbKcLZs3vzIg%Y^`HV@Ccza&a#+EMq z)7*eRkPJJqZ^nL=eXyZcJC)P$?;>0c`{0DHHk$fdDec-v>rC!8-*h-*XpEYdJ3XF`i8e#GrT7r$-*E?ne=wYr0axbp<{%?|n%N~&!|H#@; zQR&rL!m8Cw?=pJ})iVXNj!X@DnI2ani};m$a#Q|Yzf;%y>E}N_1QHC13SYT*YgXnV zy=t}B4XVu5#rOiZ3TbU)zmmr-J)mr?Q`xy-x-=Go(|Og^Mya;*G4U!+%xkqO{f5(3 zwH=W4{HB*~3!%ykZ)~*Cro8%x%3y5LwIg*>UCBKQn=wE?9|`oNOnN>g=F=W6i}ogW zUPv}Tf*#?bwl!7?wOV9gwDbwl&KTT~WI(FS6fX#QL9G_;;t|2!7nCLEOhUISW>Tc4QZSI7lbR%zFqqRrS8brU>y zK*>7jv<(}=I;lE#w5ol^3Tes)Iy1tipCwF5+;9>m_NB5`2N9CeE=H+E`4R4_h~c_Z?3tx#d!*DhbQ+O2KeT6q4cl zvnk_$I`TW5@d5woT_iWYO5z)4JDX^7bS{EJCte7n{OK9ethZw2w0jYyLhQ%I=e-rr z$L4mzl|&Z?GZen;BW2#Tp$g1KjC(F7+5c4jbYnAUd;2Ll>#<-VD#XYF0LuN?qO>d_u4GXNJjIItN3lYTWi z>fEG-^u|~98>BY;Qccb6VJBhMU_4>EHN-Yez5lFVpQl+OY9UZA z#iN+b)k;EbNWT2eB!!XWPzj+0GxxRL8Fdd=e6*Tp=u8i9oc>q(_fvFnO^K(;qaBF%Q z0LiMAnFy4)2ls_nF`O_!9YzipHPw*ya?b?3Xmn)ZcgzMnzc7lOXrTn@NKe_1wVj|C zY1TVL;(C9Bo8(5b_D0Bcd97AEMM6WP{Pm+SI^zGDYYMhrOrJjYzhQ_p_i18(8h2Gz z?Yx=>tBLtSOik;7r>Pk);sr@#`L_BBCl)3W9HB;E*=K=t+3Dr87)X`N7X}8W~oLXr39uH^29V! zXloim6q+1)YUGhXj}W<2D2-bM}4PgTM02EgsXY1hVzvl*s>zkvr}x%v|ho4L5QSR z$;EBny%0P_w7z))Lr^LIP7S@GSOUm57Xj%{S4Q1N?)^^1?bm-YLoBqonCx15L2p$Q z)ox2XBhL!v%V_91(P4Ee^8f+In9YM%B3ho;Z{{%0Kfx})ibRnNuGl<#sA!jGcP5Li zyx13g)SvFz!s#BMik|&^j3?&DUr_ z5vghp5wzuCNEYJ)>bfaYyYcBO48!5#A$|}}XWpLal zLWs@u==F=mui9{_(7Pw4`Wupvi#AV%2a-Z9y}X{LW>0^04M9d~qf1k0fkKG8F+ra= zyv1kfP)~9Y0{#1>JT!OmE#)tjj=Yrh*CAs|qAE(O=qGCh+oPcnFCfw&%awyUtmx7= z2Gh!Di%#UqIGsVp=`{^KRg=q_R@z3^tX{jm`9faDqYQ=Ec93w}mCjWI+m&<2-7J!y zjSqG8ZnJ@j#jo$o>IA3%_PpE0%d)D~Pr;9UPjf+IaE1%w~H0zDXi)Djq7{@jb z!uh11*@xeT#bF5Y+DLCs5AOPYqxc?OeqvYnl9^`vLa1eZ(x2r*)W+LzQ|~I?cU=nj zoQj}ZWFrV#jB|VCm`byoYiD2A0e>AM7+xJtTv;^{pw|+l*UI%tBmU&%99>Gr`e>H0 z8dWlj1Df<6EAhrHGO78ttH@bgr*2Jb_M|@p4Zmyk=lex>!WfR#&zm;PBOiH_=21Mu z6GQ~mr>B$srppEz`7C%lnG9eouuHIj-rGeUv7HaVI7BdfQ+Tt5^=xgI3NyoShe^-h zC`r;oKKk!d)misAlDsT+7zFD=dC%$0FX1wBH&P*v!h#0F!QvV`Kb0tqi{$N%M5SAz9u~z!&8vkbBxx;@k zpRioeax}DLp$DHfJApbzn=r8QkATzktg{YEOy2#P@nXEKj;h^MtOc^w1f@CN; z^J(|ne}gf`|Gua0y?A3)SY&xT;rMr|)8Xf7^uhD+Ua+AQZltNob#^?TVa;1SaXnRD zgbhrBT>6}$H@25Ly#>{a?~t2$&)ASme2S|w_;F}8G^%$@6e1=;hk0^g!Et{`RkdN{ zMIxNA!hePA=C9oI-14&}vTom~NUdBg2#7lym}y$-qX(Km^MMn9IGe(@`j_)K__(Gc zvLnLB{jc^`qs;ZoH}1DCIthmVO3l-OdRYjjjl_ap&3$d+Ct(R2d!M_W4b;JK{^ukm*4LMr>?B?W5x+lmd0kEWJPO8g#QI#-cn z5s17Xo%P1<%KV|bNUMQj1lqQBzA)selO9Acm)U;WaVL4rHyHV4xa?JXqHn^4@6Y?# zXjK0SmpXc-vsNOW3WoJZcH>^W)jAcOr}?T|(~MSY&H7mCwrZ>LelCKFl;mgIUJk4@G*z6 zy5y4($}E%xx9UEep}IA|;gbn}Wx`r&1?p zo#sdUh4X3teMs##!9z!WL()g0BL*iKD*IIf>mP%Dy>JTd9iisNuAlyysb0TmD9Hx* zXH?8ld!di&QA7#+RPyilc^c2dcPg zrj}6>S<{tXCm|L4YxqMIOi&)$0u>lEIHP;A7~1m1C03K(IBM|vuDI~TPTY^VD^khN z-PHo}z0Sg(9K=w(j(Qq=aO5$7MJ`r6_DWj1KlhYb6wU%3C0)ET4z9wSU=zwCQ)FDF zY~|7m^|%5;>s6-*PC=#NCYm&giiqp>M(!0B6}vPM20^S5GGn5n1qHJ-L)aew+>i_I zf2F-1uuH?CjLDKM&7n82?- zZI-*RDeAupWPEvnGFO{oaMk)xM^_{z7xJD!6b{>f!?v#y#aw;9lVubPLVjLKb(lk7J`Ne1tkpY zXc3`kQ+qDHEZNFMazZm{Rmsx*&BIL2Uh6h-7SXUE^5()y2sYPzlRjI!-Lm#&(#>~L zqlTVm)#n-!vi-@eON%{q7n2gbYkX;#08-STr|!Ph0%KxW9fV` z?@>_fxYIA9hT-eEJ3GRbpP=CG*TYs(?%a*=ljXe%_HrYFQgjCfj1;PTJiZTtdloFO zCeu)zlJ>a$i+W%+h)-x3Rxi0Ni?8(drM~H)1PfB#u|}<IsBFQ8}&PB}^2wx>#lhdj(oSIXo> zK4&Gmh!=kVdzlcthgs-P--qWYNoME6y4XnQtf3ECssauQS_aZAP2+y7ZX&K z)H2sy1MaZ-%>B{_*ODbnC0I3{^r~y+gXYJ@*UXA6UJvJ$eC>1ci*UuQAXk;jUk7vq3N1>b3A0@_%T>&vfyexzzZ)F(RFzUHbD19AWCZQbG7y*#CVK z^pR+#hsq?YzFoC@xs4hO2?~r4335$BKWc^9uMRv3j(p?@m2@0_+im_caP{TSGs!)d zUIVQ%UO&kxKn2W|v(xV9q?FF&bd0{jKANBAR`23F=xY%X#EzI$DIZY@wH=XXxs7OW z9c4697j!s1<3|)==kQpdsZIgoQ$Pu8f6lK`aLRN^m>1Bul!4%a^FRoa1vl+R6k7#= z^%ZYaH#C}jr`9b$#TauwZLBm#rTeLQ6^#q1u-IofpXQsFuhRX1eBjee$=Iujh+)V3 zMFmyF*l_%Su4eD96};q<+(9TWEp9-{K%#8}^)ou=lD<}Ji3{QY=HRBZy1^!-0vTr?k?f$ko~v zZfS!5ThrJ?Ol%=0%4P;D?n*B`0&n9fTw&nD0)9qCbO}+3(!w4QfnE!m)IAcC@d}C^ zeMod3JoCWNskuYfNz6AtitTKesdwYAd5S^vdyc$z3j?xwUOeOV_9VawyKY@dmYfum z@yzP3TE)iF?LLgAHd6O$nlzf~G;!Gj&s4|j&xs@_tIpv%QWTgU3lTwl^SCcpw9lRx zb#Gus6u`p8~Lvsm37i$-np+aX3;qT{()eLYhw*N-Mk;$8)+P76%&rLE$h6#{-(* z>>Lg>W`SvS#V>C}N_d9QhlO91!_)sXrzt9ab0-oZ?(%8TiD`PRs83lNBV_EX=<6&Z z24x*@hT-q$^!!Qhd0c4sd%-3$i^{n7Q&}b#fSGe2mUGu)7rqaOO zn^4=unZJz#*j-sQxTcb&rcyA(c&^3aU*6x|zVlQ+J4M!QRy`FMgXNd=dvN|mEA(>a zgw%{o1>O=^ph{Z6sl6o~u=lJ>&%dd^9zFPx$9HW3D@K}GFbf^IUP=w(RAyj|f>q~( zWqnvue!GJ6eEid4N8ik^e^iX6K=tIO36`qnl!mLY#Qs|`DUL;(;cLTJzH~xf(El`g zxgW2t7c9oz*6k!6_MYFwqLubwmSRG@$u#;Qa>b(MKWx^$$CXBo+qWARbtcWeLe#0} z%M~Bwsx~a@fJeJ-sU+68(7U>>JWuhT|XD|xoBmqSAEg|u2n&p~mQ z?;ihlx&#PYxa*!RqRkeuU`;Bho_WcZd$8FSHNhgEjiK{<>e7ir(u6#LusLi#R)fn( zuPf?4=YLHs4j;xY_A!K>k!`gzH}HCGu^z6>M_z}Tfu<(Cx|vqRs|LEYB3F_@AOJU1 zh^gdIi0NTSYd;QUg2%T~=6oOb3%J?SR`C4}jQN!HqWj)bY5Nv*_LkQaos2<*16&X> znU$5&CAx8V14X=ppR}!<$ZK?q{NeY7Nm_lABTp+)YB?+GT^Xy(04ELE4JNIX^U$TK zWBv2J-qZ0wR)&7)(wAt4ClnA*8!=b{qiE=nZ9J--BqZ3{;uC>BC=4rb@)SS%6r^D| zx-TU=ADb%M#ZIffdf@bdk9Lw%ikaqqcSXnf70PXqVs{bjV_AoyEDQe5F$1#8^+#&Q zboUtqc{8gwTScQE)P-ivCgr$w3F;5Go?pq*(?+|CG?7fpTJH}CEuUdc!f9G*heeAYB~FN@smbeFZkx{-(5I(Ay*7cPcbH(1irjl3Ngo9 z!aK>^WB-YWoipiHZ&kFOFPlCW-`A5$VWfU3ndeh^pNpJ(bbrc)xScZD!v+b}bFie1 z?k;q*{caX~e$kpTndg*McRO`ivAR#i>sYpXXJGCWsH{RS<1fFn&Yk`yw}hPvoR#D^ zNcs4{oGnaQO)Ybhfe>g>JawV^`8fkM?w-fdM`ghWa+$s96n1CnSmdlWb^d2ZEMHg4 z_;ju*AFuhv%j393R==}UCN^jB=FxQNi<|$HPMeT^lm3l_GtOl~4c~RI^p6wtUtsd` zZwCKMgLRTB}N^RHKD%`Xi5juQ+`3eGQU%}klrOZGi z=>U2==#SYxZs{do1!%Nh>J{*hYa>RZNqE|H9G=h%qR9TQL9$@p&#T3PX!D@EWMEuQycB8 zBTFyWezxjz3)ekY`Cg?zzzf5;_-;>Qsy@5>prcgHCTtPlA(XUKAu^r~A@rA?H9faL#y`Qkk{)$d^>=N4=7l$PsR&H0bT;Oc=;t85W3gXz3d@0!K-j2_g*g2ZZa+E~ayV;t#=;ngI&{o$B_ zT6NTEoaZNoe}hwAShKfwrOHzEW)_b*d*#04Y@ z+8^psq?dLN(4gTNu{D$RC&9)G0jt#0{+h~bHX#|n}4|9M-QYle;O z=A%qbbsO9R_frq?_TI-a;7TIPyh6g=x>;pMF7wx)vKOvUbtwAy+w)oE??JiD(mR{B zY%*SllyEf=z^7+Ej9?o!3Zo}g44&t_tD6HtK{LZ|@dZakU+*NCh)4+|wi3(=nk=1u z@Ty!Ej_SAWN$*+oYAt_~xfy{f<1#w&Y?;fod8Gw2Ge0{(gBdFR-iH1Kjm^E~0~wT0 zuHMfUGpNE8l^67Oy^VkNtH?6#&~Ueie=4r~Sv%@+Kkp3?Ie~9wf0WtwrH23VW+S}t zkcTUbz9`=+ylRIVo7&SDr#;Z4z(!YH8@_`$Sx2J#AUw(inaIMQ1zYPUP7ah6JBZsx zcQbn};UfsfWVCRglG=_L} zM!NOGU-T50?`Ul}qboJ)dcZ_k*11tc($5Y8mv7bJuPLs&iNwnw{rne8_&b4e!;D{J z+YC<83@pIwxS+EgTt%y`{%cw+^}0V0$HW$${IB@OK-3|x5qYT`JYMf6GBK4;PZw&- z=UF3m?}jtre2Z|%jh?m5Pyt0Q@YD0OfUx0P+}Hj+hgFGtDSRl8W+ZV2)Di|o}+?g z2J|jC?zLi!*-ZQ#(gG0<>9;&knfQ#izb`O|`kWUHdtdI_{oA>?No>>T))^SL|E4T9 z$qzkBTVle?XjEz&B|+LVPn#4zzH7C zj;c>W1{afgo@>A3QPw-a7>Z2Kq@`6EUL;cRpKDORzxL~VlFbak%Q&A_r9u77n&ErF zdv2Rj`L1_l+5Wu1z$E;U&o3LPFIVK;3hLRe?viAPkJwkVnzH%QtJzi4GiTNK%XH=1 z4FJrboB&`k>}|okJqQ)$20k(3H%~ut>~ZER@fj4G>l0dX?wG{#`eLO|{emsG7RcVt z!>}3DG$TufZj+m;Ae{r?3RQjI_ssnEFBH}BDdL>|0T2_(p6GWgtBr9&cL%OL0$4=i)CqFEb=>I#7Yg&k5`6PTb{-CsN&jq{iHZHMD>3ChU?3t6} z=aaauE6G4vw&=@kM^|M(zTw=*Qd*%Km8`CUxZKkE^5XuKm5Kb7=W^svB=n{onn@XN zia3JiRH_>|ZebcHz%^>z;f!51y#= zQdNxoz0XQGoui&sOuGLNDJ*`;7@6?m?ws`LglXkrPv&a5=m^*GmJ8gY3$F!rqXz z(`KQeQH6V&sRRVTTNEe=Y3mKfFRtcjxg6S*8|eI&#-!u=>OYH!@#m|JZyDmepCh6s zZcGLs(eT}SrrV8MKOh5&ot(A$o~w$)v;*H=hb>!>l%)TN%aphjo4xsW~d^1|0-lc1Hp+FkcIjV<>!`ZOv7Q7jnsn!3G3ZM2~w-XGK<(QIr`1hrg6@SUMy@=^^nQqn8ev%1K9AVMuL&X%P-zB>%&Y3uf z9UbrAWlFeGK!MVGaQAM+Rdl3zxEH&PuPh5IY0`5phSLmHJ@;*~k|f?6xXT09hkZ}i z09CVzprOspz+(&~6W1Dt(h>kp?yJZ*{Cpykpb9hb8)|&lGwhcVp_18(%kG@X@FK9Q zdS*0(Jivl}aPHsIZCR;l=I0-%axXWyMprpr%x}21qT6}CI4iI>*FRs2K^1;8#8mOG z%O*K@0LcR4u%GFD#%jF$*MPIqz@n*tuzXlN*dD`RUof;hv%aC4;yOxK=T{R$%>^(9 z10paw_>$l35t|WW6oYqpx&;=7{`I_5H5}N#>?H=hbc|K`y8Go<3k=piq2vN*UqD*D z!}qhzJUp{-DeMu}Ul0)(gZ7(!t2xDR9nrk4@#YvE z?(pM4B9+^V=X?a2Y8{fEYkowGTok%=e_#Ou{dhZ}4T@Zx*>XK|Q`GFdOJB-}NL@>G z((33v6=UZs$viZHn`$b|saEY;f7kzI$0V(Ea1-)uym!km{2abhj#X+<{G>S7xJyH` z&07u~|M>T}J>Jw7_Q7hFMFqdQv;k|Y1wAY>r&Pn794OebGCcEu^U_74p?C=);9>bZ>xNDj4dA9-{* zw(zx51=qE&ZoXa824{oEbLG zZsc-AG9u02P|o{XuSa@&N}$!N)<*Dh7Z z^i^Ne?{VvSwCbvzvF)V~1rP`{@v=RpkkOhBN;D!Lxt_jIoZaDN>Rj8bG+-y6*5#XI zqmbg~XmST8;xfA_!9D7M3hT8nQF;mL@J#!StPJiESmAj9b_N$m^2Ol?NZ9I2aH$p` z%lfv9UyydJxo{?sqkMj)4e7UFL;j?`KBKvj^*dX?rf8kKvBZm zT|L7R$$;3^U;pZGzuW;=0{EB2i$k$--fPu^BMY3;Uck}Ejoumk2GUX=GpRQLU=bp< zHw8hJ{p*&v>WujKVUN+8O?9_yD)O4Rrjt>~55GON^`(`dL2Lf=>O$lUx?MAyqsMqV zDP_Ht0(0>A9-g@K{!z*LvdNtbyLY#EAp9 zOFM&7y>Y0$XbfrWKf6i@&(eU%eojlq;{~iwDbzyS7lOIP7&Yy*eEhpm8-R$K5f?&ZHsQcz=i<;e9kHZgxOI5NYmE{h8>lS2b4V1EO9$cn z1EGo?GQL~l>yVC46--$OE8-Q+rv*3Re2ASmx);F&nqgnt#y9NAJ-NKLf-wS@kv4{9`6)cbnxA8ZA#c^#Jp(D(+ zNx$QG60JgiCTT0pS)Mkhdz+!ez44;9)^%kHRAL3<2Yl_|{6gJE=Its%CB(1~WP<2t z!VXa^;U{0`s5^oMzDv{57A-dFzOIHUKb@!amF^jA!r}a1uYr*}?GfJTUxlCMT+GpO zO&48!rK_{u^S53R_o39gw}qGHXkux6ko_R`Ey4G8Dk52Gz+UY~V1C4T*p-Z3uaN_} zy^UGDSW2F&TRkoz`zXT=LHrVWVL5T+d~P@T>K>Hky@EO|l39c$2U5;qv}2HjiS}Nk z+SkAQ?e#3BtPh2e8bu?{xx@-15b~_8%$|*o(h9Vg)uI(eNZ>qKC)Fiw1#XDF^J@O-b$%3DA!&H|^3Y9hDq*;gdI)8d=_O+)ud~p5t z;P8NiIkoP>f5m(qZY71w6~povSGt4%MnD*vJT9M6p_mvJs|}@IhB)9N)>dqQqhT41#?^{AHn8*yLH~h_yhH-KaLzF=T*S)Wd!MX{$yu zKE_Fa$MI%1)A0S)JLu{y!+wGV#}40k@=2kE7qfB| zEHfYL*Kb*Tc@29kESvIFPVh=v|DuC2$NQhA);h)$EbpoGuuQgQ{1Q$5#B&VMLETSD zpNRmCYY|HZ7qiO+gSg2)X?qjV(+o??%I<(+&~kqJV@^Dp>-rDx|Nn!kf zxa_CYi|vrzbAGH(Hv)RR*P$0bissC4k6zXoc&{0}Wm|BE>iFUo2jvMq-Z18&L%!#s z8&|G_Mkgyu zZ4e{T^Wx#T;Lc)hGxej>Zsr$G?CvkGY(C>S{Vx{=prUhSE^9L}m@A)r$8frV{?1$P z>s+P7?iyQk@gBr}q3ef-YkM#2xkeNWroZz#OJkWUiq~9Yr^fG{jlOb$j3Iix9WjNif z)`iJ3m)A#lHxF7-y^Ncs*_?nmRscK`AhP$+zyZ-2kNu+lR96$*<)D9%CuQg`(LfkD zwA-ev69+=yMoJ2+Ztd?*?MnWBg@L( z+s$LYD zeP2F<=vTCDiZ&%W?mew3YepzT9k47Jl2!i>QZ?D~chr_bIP!9*c^t!11ABAO zDzA)hvijf>mUPJOC0DxzUS1me`HN?fSAVWQa_ed`q;jA`$Fybk8oxX?u&xt8Q-+&{ z`H$oM`WggmE!{gySX?dY&>b^ewgw~Ep^3dZR#p&51EzO4o!C?a)2|!x_|C`t6&Mu| zgj)y(9cAfEJC+WI#-6wwc+u9=gh2*5()(~Np-UF=TIDfEk^Q+U!Ne#n#gQ|Q_nN}o zHaJA_6woF1vIswuqPy=L6cO4#XynJdv#noX&>J5%QJ&qOcZ>66bs z#`vDb#l=65@Z9*xdbh)yBR=_46H)H55~;>Mg!}^AMcY2ABqU41mJPB9cly{OJRY>i zxDuWy%f9|J_;=CDZgEQk7>C8Yl3pt!Tbc8qt!vZ9c7*Qit;KmR33%0%O13;UqepxA zEpIK-s-q3Sg>LoDIHw-gB>3~q?zK}^4-J-$o`+THa&c8vn?7@mcuEE}BwJRyi~I5B zSaiNb&Z%SUbT>+N_gs~pWxa+kN^?728Fp|(WR}HP_0j4-P5Xx6B>!&o~U|RP+lJo8Wfu(_KROOsXj>g#$U}}?V!S>9s6YoKXv>V;5HS#g#5S8m z82T(&hcerST{^LEfeOM_FCMllxYT~Sa~x`-t>Q|n{5&Ua3CdkF^{dij8bB_hd&VSZ zf=0YeE>s30A6`yY{SnV$Sy`jlPucZ(N+g}e)ue3tzsLFZx~Hy@?MiRXR-0F+{FH>* z%2SyWU5XO|=^SwoCUpgz+hJ^AX?A9?mjQdA<_aTX(N@_yzY(V}KHN^<2K9UoaOi^r zNcGptt|B|soTb@7Z%~UakM)ExyOliYe(i0j&XlZZ)12RELV8Gz#%Vj;qFyER8CrQ9 zqmAO`ZrTgQUMEy1PRvaBPtQ-8clf$90Bp?f>4%AQ5hPXzONUsus;nG0>RPydn0DA* z<{B@32f0t_?2A@;e-IKC7ndU<{}O?yGLDL?GQ{c{D;AC}h`{Yx{_8S{q39weoI~L^ zxk(8(Hn0N3YL^$^qxK)tDUa9hr&_*Ud;>F$FJ`U!lyLn8=4-DNN%>a+ezP@oKz^F1 zV2De3tyN2RNhZxVs=q!Epzh(+Ts?y`s#_A3mklVQ0NXdZ@iDsf#eI;7N%J*x#IE|q zLGHB1*G=Oiw*YTnUr|RV6XQ8J_==^1T(bQBd9T#Udz_(_6D29^kAX?jxww5bW_b%G z*sTg`E9S3yIwOlFy8Az+2%i)b%rz#rL z33v8L)mBSOwJNIPTkS4O+KN0$c>j-PhK zl0GAXz^MSUvcyg>5yJ+y3Mb@eSp+Uuh)ApN>vdgfu}ZPv<%x0FgO^bhv?7@a5 zpE?swc{EFjW#+fXkGdmV=|t?MclhOTmvxalp?sQ){-^U@TB(n16P9MwO0?KA2~4th z-br#w`x;P&*%ywUbMn@V%h)9ulzh^2+Z*mRaogj8C>DI@)kMS^3&aWWP8$x%)IY~2 zbqYb440>OJ082gG?&bUewzD3QbBC%VrzIm(e)G#?ho$>pJNAU)BS4#aius81?kM9G z?i%OH@`wqlrZ4NSB#AV7Zs=LTw!}%mJOtIvZDbTx){yrU!oqioo_K~ma%2gUxX)5M zmY7V=US}e@bU*SP@|Ss9M#ds~9%n`%>{_gws5c8zub&7r5=2B1B;0d(F_BBzZ>ooo zR31hBJJRNoeewakXr!Lvcb3NeSYW$Y)d-WES>>?kVFf8_1$73E z)ez#V%Pcnpa_Jn%a7{E58xE5c8ey6it@2P+ip!3BR~_xz*f zcld3+#nun2rKdUyF~{NEzdl4IOyZ3L2HiYTj7;WgAPB^S) zd*tfMyfVh*80Hp;bor2qh6*7<#V!issXa0XV-t=oiJLOB%n zqb#+=SbE|Hzkkf9?Qp6%kCstxNTM&&4vzQozGs)xecFnJ@hl2b-ugmCe1j=fv{8b^ zBOafD)6`w;<4La0yCp18L5~U)M2IL@cCam$%(MRy7r1KFd#e$tuJ4@hRlb9s4Y!`D zp-NOGM<8YVbRIu+NzrP)!mUfG+QW%yb_((R72R{rxV~7)G+J!1tpan`e%4H;_PVC~ zP)cMOyHC~ryO&o+k<%~N;lMPXMM<*h$?aXm0Ino8Ze@`@nhUXON34EeI6S(z{ObLO zwBbWyabhn&Vpr0AI3=QX2R3RDTly{kXYhJtjOlDz01V*+T>_gC+>1KSAGx3+NLRzA7;iB zB?D`F%zbR`sKu^yH5?La(uq317M`zLVN|cwOSXg)$bx|Mp7s%u5f*jB!yqotV~MDO zwDt4$Q07UQWuuxeJz45rV$j7XUlI=w@2+A&SW zQW?>zX&1&5_D!;fj&0%sWkY|?JicGSQn_a`VkJA^=B4C-Q?DVr684UYByU>E#&7EU z2FC|0-0EF5MdD?+ubjzCcFTXc9NyE)ZD&JCwyBu^iwMav438RJkUqhfR4D084T}x{ zvK8X5rrfOO(fb^On_q9$wp(^JHuOuv$Uo|Frj@i$m`O%akLHQX*jaf#;=eBC8i2eR zdFF!6)*-E}%~hYBTq&5x!+D*EQi+hi&2MbO&K#R0`XhzWfm_js)FTAi6SHw++h7qb z==L>C6yjiqT@WpN{8szlSWny^dpPzUe|pr(t2{>+V-^pDbCr(C@>{(kH7>FAKlLN} z-Z3`P=0Iks;fy5LH?i9BzEymLJL5k;yk48zaiKFO^n$Avqvs&d)iSzb|Ij9lY*d3) zY1HgZHtsP_{Mrg(D4;g+eYl-5)vns@FmW$dV;UT|g2pTLes=kHHa~#*BGmjMA=4v2 zhTsSrbzpE70^CJ~YSFylNW#HFsJ$DXB4ct7ZAH!%zM^C;ic8e%j8eS}U zVhe|j1o2`pBt_v7$$*fG`<3zMlwuS67(2VIu2vb$eh54?U z%ce(GGMrC%BWTVNRXc5S|5b}Pbf7;Z!%WS+D)G^;(QA5wA>&bOH3@iM|AJgz%0VGG z$R_Gdp4EJDsr@DdS<)_a|C`J8#zN8^!&Z1gMF-|`3o0h171Z?cl*Kp`4JA~Y5`$GLFC&fZGPwt z;`$o3o$mu4jkhyB;%7CRO^d`EmcfxEBTxg-(61Iz-2)SxxfdHSj7=a@ShkA?wV{L+ zLrhgPTLu+5w|=srx2KQ#3{%X$kq-x8_+3%AWa9}=)A< zRu#;jX@7U;$dKUH)!+KJ&Dh~6d4SGqb*ULSD=W#EhG{BsJ6>rgN`VvWR(cpb{E}eE z$`TlZ@KfS`ZS~QD@b&4SV2g`_-)DL@UYed(vF=<9?~a9UDhCW5M<`yw{Uut^k}-Dxrg1L$+yqS%GNY3*-s&pBD{oVC{p}%g!bq4 z@6k+kuQO}IqRaJnvxS}cwV^(I6S&7>in`dQ{S<{vD`@*-40`2zM%LZEE&Oan!(3FH zkz9)gNA{sFggq#A!I900aZ~2B@FQF;V~Ls{LJ7hysU7oiMlfFTN6zy*%ce&( zeCLD4e9meYA*BFP6MtZryLTcoUw=C<6!x3%&^4EjxuGl@;NDhn_wvHht?%|Kc8rbv z^5A8y?iU19gC#_Cf6HTiwe?lwB&s__MFB8lzv#$DM?LoJYURcbD|h2zoY}(!{Oe9}Q`6sAvO< zV%F>i3>)ZM^hs`v&M5dGKNn6+6L+W&-a)_4$GF{QuAl~Fwc*C-g^t5aLe;eso+{2& zrrGIeYcPBed6Sx)R$>RdsxZF;^x^$fmELnEOubkuOgSgV$+tcv{)qk{>1jd0l!>A$ z!LP3v?vsU#ZZ^+J>4Wcw*8!5IL0tBG1n3VvmU78Rogq1^usqGs68rX4%70;rmb`4( zHyC7=j#=A{+ABSi3sec2%r4TN_vRL;Kmuek+GUyjxI6>O<+$?@h|?_cxwiUyT9#~* zhTZl#rE^LPQ8^q$yK;`Ec%y&|;U-_N)=Y0OIto(Q0%rik0HB3t z1(kJr@0`@Q%SL7)Lk)5C%d+5g*If%<(*Sr66zY6X1ogi^d#vn{CBGqs#yt|R2cGXw zea+>M5Xrf`SzjK)zwS0aBA)TPpF-VGci*2+BN2YiCJz9J09eb_x|ytr{VPnCY>~;K zv6L{tDQ=qdd_F@?*|7uoZUDQbdYN}D;lkDBJUbrXDae2>^o4itBGSn{sCRG%W}fn2 zNO)DNI;~yY^6KZefyj^vLV=pzfjRQ+?z8dOu{-T7ie(YP?!4>Bou;!Vk;tDv?NABD z;l<@XBeI5xCFRC|cdD@ZzMxhEZKj2j@~C$d1*VS=TW z%8K-nda!&(0I@!Efsooz=5-!`U~I47^6EAI`a1gnRYOeR!-ta6Q(w0dKjv})(5^Wy zsGtY#BJLSVmX@k_S=!rQ%&flja^y*ax~Sv7ytU-1fMn|d)R=G4AhzA3tS~#q-D~)Y zn=b~SDh&wbwdv2%fEGt;deJ8B29tELaWE#l`Czd>xUNXeqPqU*q_r)zu97$=qs(&P zaMp&?<3^S7dd6AgG?y+p>p(Dxas3?%MUi$Ue5t>@^Zc=x{^k{*<9ZjMf&BoA12KjM zo+z%0=#@^<-XxQ9C!1X{R?=3KN*~rWFME=*9>=>LF^7=K zwM>3TiQ&*x+&69^squ--j>(c<0{WrL_P*P|MGyss@AXaTfCJt{KZ5FI^X>mf)mK18 z)wa_pUVy zh8fPpIp=+1KYQ=PgaRU*cv26Jyi|2w2#Z7}QZb0! zOzNgLL}jVJ<*Sj9)i6j0xbt%$&qFO+3WM<@Gw&TwVTUX>nw8Xl#q^8NHKta#y6-{) zv*~|a*Qagkd@Dbva-UZItYj=b0^moshLGC%0hOZkc{%EJ`d3d{M=S!~)~~dEDTCcn z%|5SUJ3Gk9bB*Q}jBEH8^3|{LW6AB?a|?<(r%|F~Q;&_sgrNpGKL@ONN()r3pPRDq z2R~5E0A}`_*_UBLiv#W!qG~46LfR=*Yc|PB!(c8Ju$F8-@OuUdbd_Jsw?^_Uxv*or}b8l#UIriv2%G-v;-`7I-R z((ZVqB5R{u@m*v;UfZKip?Tr8K|u$>EmLwql@#L49bWbyDiTEu&sMNTm!I^I=Yd&_ zxfD3E!?mT!a{<(i!{4PBznV7#>Fc99538aHqly10b8Y~1nQ?Xcdx*-VI3vuv-G%!H zR^&N@Cch^5(NTEpPo-=3++gL-K-fgKpy458fTnW^9$GUkZZ()ZJoQB`B7=3Y-TKmq zh8lIerTU6Oio>WY#yZ@{N~M&*;qG$v)7H?R;#xN@UqK9X(@VAn<|2A>7L*FhzY}*R zv&SpNxSagAo@eyZ=7Ayd&L#n*IKw;BI9?LXcO2iL?KFI`Dx#o2pQ2qNnt(lg_Y z)VRfuPubu5YDOLT=c%2l{(eN3C_NFP$g#E>;IChigiQn1mnc{PlA9V&U=V`H+BL*) zo@1a>oU<0dYBO2;>*Yoaq*m^N8W$HYv;;7J@i0@F5umtA&sZCm4dkfi`HSL|Ojf$B z@@q`&wnKthYw%F|r&?+5zwp0*Yz3>U+zluJCt3t>7|P6$H0*fwf5KviCK^a`7^_5z z7x|N?8pkV*zAlHVOQER+1HgrHdee|Bd28h$UK7R010tlVO^eQaVw+4;h zgIh>ei@PSrf8LM~SI$cZ{wn`HCgN2FYrFqoLdDuy|M|m9ggh{|oxerhW^VUR@ z)9@+kL0ht$EZ9s98#mK+?Oj^hfsj2HVNb34X5_Q0ld*}M&hG&#>GT|{(v+CcEr|ca zi#6LDiV9I>s+KS|G{+g&p-BnlK3x{*S(t7>aEhsu!p# z!dwZ4>=t)mXZEbu6WAJa&h(`oei8GIx~?zgU707*_+vBZ@22j6(BQoEzB zk#P@f82c1^=F?Y{1TkT$g%bQ;t7hYJuFlKU#vf>N0JsMolup2PO50uyM%VSD9g zs;eUhWgb*367nEj7Lo94pwrrN!{Rw;Y(P8Tb>T_{cH>M3TZBa85X&aQb`)Xk(s?3z zZh9;q^4%ha__D!}nkT)xsL|YRe+kENTF4sTx^Rl?anck4f1L?`6XExPz-Q7F=lLNB zu*cG750E?S3Cz$Atnr$8UepX;#EY2;p~#im_SjO=aq}y(z(O?DLECuWrDI$K^yHMO z@T#7b{U++M$80woLztuWmI#pm!q_(rpvM~vAd_=inqf2&wG)?G9#zq){pJZKOp=N^ zCJl9)6NaHNS-zj4dVR0Z>n*6aL@g>Uzo2hd)ahjK-HrwKklB@Yi4Lvw=#N3Q1`_-B z`0tp2{S3B((eQkWMD*4=?;)y@Y02%~l=3B3*qTU2yIf$GuStik$(^xPe`j*tmf(hYkV|Iw|K2 zd0d5ZilhQ>khqpP4Y7g+=)B0(BM`E$q1)TamT&N>1T5~?99M)+?D`g;d&I!Rfxt$( z9hsMu-zlk-Q`G6(A*cvYaQAi|dh72d03N)S(z0_ci4SZ01ot0-Z-vk7cq@>UYOSd0 z-MK9`Mg-!e+JS@&#ok9j=JHgISuZy?jqa*ugL3fgaea^DtGxoYgP@~(udBB^TffzO z^3=GCg6(nvsKK^9K^@9kWKpwS;BL9}3}hECAYQCGcPIQjcXvCsB-Zi^hfY-nHkX*y-io%nlRq+1RZ-I+A{ONXA^6l)6-C z99CYPSXI`bziM9VknpT#Xe|g>5D;kkC$+L$S!7P_|C(ZXi=tcs)iM2+HE4UjoXabc z+;BTG(OZ%l*zQfbotTq}04Ase&=5xk_LyWaYQz}e|E6PwY!xMt?hH$16nMi3GIi6 zY(sKjHx2;f;;k@45kR@2`Xix!G7r!0`{M}I%Rgg9hO33I9Gn-u zQ0Wz_vD73^PGAs%^1lLuangXajD-Vw#Z)hT%ee#60Gf4vR+Iz9o#yzE@wza~>|XA9d$-<*n+IjM1`JUD~n4ja+LsPhRYxNYuk ziU3nr+E<&5nxiKLu>L=F5?kb#$19GHX$yrWjMc(!bzE^uo6jVm8x)B~w{Wph;WLHo zk-W)`6D@YS??fV>S?*D&IVo*YrJ<@`vjZ-G4c-^rpt{}s^k*lp;yHxEM$871O1(au zKJh*4byzuaJ~{Xx4re{dWaa1AsiMjtOjI0o3|*6cvhtIyv9TjS;zk)#+b!)5fpQ;v zOjOFi6xp?QIcu+N5`Dy%!=K%r>a@2t_6?o`She(d)oo%I#)Kb+f6dqKpiMk%RnC7S z;P)(qW^ZO-m5P_=Ykr>BSFXi-IrvS%d7=YNA{H0Nsjj8M@k1*Zl#>X0r%?pQ*u2U$ zcm`H&6pnvW?$Yp08KQGfQd0IL^Lae$0z)Pc@)Cr?M&%`!s|u@N$`vLNU86nX)$}=09`entacD`24U0&wDQ^J*AYf z^XHnqLDs2`V5sIbtjpUpv#p-(xL=Wj{8gC`4F7RAygU3qQQz+&@*5Q5CTX~85uZ< z<8Q{)&*8v>Xiw$MR}DJ3-x(9?u2|KM=+e%me<&q&?{tK?PWAdODTo!@>z(>`ZEAjw zKX&D=I_a|-Du+pNsj47ekzf{jy#vT{kCPD+i9kM7Eli0#EB;`f_dQY3c4=))B!g5@ zhw(6(xbfE%mpbzAXc_E5m5}>pN_0q>;qwh!=~jlr-6i)8TW_1m)pUsrl$C=;&7P%hMn2X5$n4%!F;4sK{_L(KTG}{Qr zCq)SZ_pq`Bs&~D7^n=mR0e2t`_9}HN9NX~>irWFjUHu80+)?V}gVQ!4sXn94hN^F4 zvyz_8Vx_<&c&wijJ!}+e4*4v3zo^QLtcg*23_nlT+1lFMBFB@PHH3Y7(;i${rBOv4 zUR=C>F;hP1cpzh)SB{NR$c{%r?Nf8bwMCA#prM(2xJ2*;ojJ^d9^4?vu=hy^@y39Z)^4{)_wx3&_v#2RlGm`A}V}Vb{O@?apIy?P}duPL%B}G>i`H zF2mgJ>xtPh$JCkGOS}q_0~q zJd2ocP)+2!q;Dm4;(*r;pp8P)|F1+)z}mPIA^DpJ&n32OFp#Yz`FaO#P=5@C{^#Ju zbcI}*A5HH_N(F8~ue0%yl^LALsX21Q1^utkG~)@9X7Jfg%^rb1alJ$mC2iutbKkmB zX-D!Yb+e!d6SC+zq4`et3uwjPI-X$)y(h-jGS2fwIRoi+0jVy-+4z(MYLrqpF`v6o zUMJAIIcx&BO80xI38%%T9Wf{2;e&OqrwpFz!FwCA<^^>(Lpy4GcL$3B)pjB^cL^YQ zaBCHf1V$Picsq}$Sc`ZEYRPdzYjnz|tBFSvP1(`gtHdA>8k4 zUjHkP^+hJ%6{H={3snJNZ%X z8(ex;AZ7)yY^!BxIl1d@=Lin)D7sOBEhczd-iv6MDcA2~S$1bDjl9=6S4HHDBQYFD zD@dj+VJz<@YVgo7m?=Hu<<$PrJ_`0W*TJoc4!5_@(jKM zdf*tQIdTJ`NKk-+?t#$Vgwm-hMhf1-iR(fIkqIr~-I6XdpD?OAxZ}?Zv8M!*w5lRj zmo{L6)2k!;x3a*P_;W@o1`+XR^pQ9K0UagS3xu4%=eLnV>1_d4n{^(cffW4U}X58WVXgQZ%@dtMaYdMrOAinYsQ&zenzC$wg|?S@@|> z$7AKiM*N@dgX1ZtqBaRo2YX{oa^;+)^L*;W0ItE|nSGz-v^$ zH6Aq#@53qmBP34+)QcdE^2-EPZdzKuZEs;~lmw!g1pu(HiZ%~TE?+@Uq$2GmOYrV- zn+*X&74lN$&5o?@j z{{9_f-_J#Kv+AJkm{6`+r_k&NYx1^J4l5SM=2iLSYUa^yYs&~Aa^mXTkfwtJ%*v|@ zW@mSqf0@cje~Ps-10QD%MOWQnJ&uQl>LTC5P6Q{$+!-_kt--ZASd+Ep4{DYPQ?@M@ z5@PLf>s};LY#&5Nt)GwaC(A=Vgamcdv5va>1S96WVWa$%pX~Xk=rm?QRuJ{d2R#`lM%kWH6Z zX2@;2;8@^?S<;Y@QhzMLLX@aZM7q7s`;)RLhm)kMv}e?)W399oeOkev%ux}qU*)mS z8|^rh5_4l6#+`&Wn8Lu0c!>wlt75NA^ibAvIppa!@pu;WCWdHi9|~dsupYA zruB{^*7tV5)Kw`1i?_#5Bhfl!n?+k|g&P8&=@#f1L;>@b4%gO7LyBn|a{-)}9k}{c z-;Uk8rUm4SPWvBnhz~EyLAg&K$7ei(#<>BjCOPO^b-_yGPOT5K8v-QFrx|=(H{~7X){>*5JiF+`^o%=tGTD zNpDYfv=ynMy904@tyC5JgrqwTe;v6E+572s>2#;ivv*Zqds}?&IVKig%zpqR&xq@2 zMzb%_zmMWNP131pZ0gI%7 zYZEV7aK32DkS~iWXPhT*)vacPyD`WF)>UuQf`jcMaa4^kp}OlH5d(Dsgv{ycIK)AXwFvk-9!cv4NB#7luX|TJm9JZUkp!F((p8EjV`Nm`Rq{)$ZruUtO-R z*0UY*kV!}4makEwhOapaCmNQJQ?}r?()H$;Hm8y-Z>7FstWSFvIjxuGApWB>G7)MvA2|XswlcUCkV>tN~W3Q zmmmINQmhVPCTah}luuzYLo2N6WTSDNI(2k>@092(=|V8!_3gn>mD*=+c-7`_!%aSB zwPLL5v#2Mk`U%81W0T*D(Q_#EG3>vfbl*yyGlMyr=*(Tg?>l7tDL{RMq{!)8C8b2# zNGZ)8FwGw1vRwZ$)|*2N38_AJ&90)*mo5Ticp4P*3-CI70#KuF6Cg$2s_}9)9@uY9 zoJHcaE<_Eqz&E68*3q)uUyPR6%_)0AS>0p}vC%;H&=P!AxHnfe6eC2Y!`M zYVU_q`v8xhv#!_gx05${4lfc`Hd~(n4-zae7sM#D5O{vES{%qtcMmnSaO`^)XMCKn zXUwRc=5eF^5xLx7I-NL8V>--5r@CqvWiL;vn(lRAwA!PBbe`NU{k&678^^jtF zXZ@M^ChC%RXRpU^E9j3n#^TZ{^$E$1_x z4sXv@EA_nb4S=w9#EvUTfnpQR<^>3*AKr)-Edo{>t*WD zTx*S^Yg^MWVqT%K>Q$W9;FPO6-i3u1#}ypvOA5-4@P}Nr>SKJB+`OkbUX~1oD6N(m zF#4@}#(LH59*g6GdH{$ATe*25qs=(|C}#>8^#iE1T*~8kN#x_A;Kz#3Yig0`%|8(t zyo*@~_F@{`TM^Aub28?$gtqV9arb~rAT%^@Yaj&~%KQ6rK+5yqDwZTvXU7eU$#i(S zw$fV5v?~)Hq7pm=Z8}d^cfWlK8*UJ;cDOu5mPEDTozG2L@{X!c8)=7uHd`SfZL{5UB7zuLd0uCW8v#>;1|KdbL#U zn*kSyh>$&G>ywB`E6 zMvl0LI^UR9Enfz~~rtOWn=n9Z*^g6E}0(KTl5nXus;z?D0j4kgh z4@?auuP)4VY1NNpoitgqi&SL+&TuW_VnIxh`#D9*1|_dyDEZSi*=WIn>3||(zUJ{P zccM{S9#x4NgCT&)f!2K91u42zEEX(6o6PE>gXDzA2`-kh zAyy+p_cGm{)=7S7te{uj6-nL7s}Vz0-#wU4c4xJA`#ykG6eKwu^bRzF9?BO#9yGh{ z9R$-+vES`Yxtk2I9&=*eE$3!KIcQfZ-scSIaKi#-|WQl*v z=u~vlROSpuFO-sVmCHZ~w0>)`amg8!Z`V?M?w6#XGDfEM;wAz0F5{M{sqD$nliS_q zpPijS>t;sc7!LwbGuSt5fw4uJ=>ao#JTx6QePV@DdZ0WU?bkmD@~iU|;Ym-jo-(%o zHL6iHXDhcsMP&2f9-w0!PuD6aro2BZm{5_#lDjULSOw;bQw+yX=vgi$10L$wh4xmD zY+3ji&?Xk&dI(Z(!4js%-mWW@V?9DmZrZgsrm194HkdqDaJGV??&c>RpTPtGo-~SAe{uY(wwKpBkR4U(! zR#z8ARewj-2=)dH0yg!ym{3BwRh?I9d5RKai)U5h?pUQYG0(M5O;t7Nj2>4VX)2X- z&L@4Ph|7W$5-`F{f@nhZFktIElN*I1<-ojp6=?F6PURJ`fq-{mC;n#q)}p7V0Cq3Uvg>53W~?Jw~&u<3k4}$N(&Ix}KFw7G`vDA=c;Xl1VQlQWkqueo>mo zl6_|-3?oT|b!zc>T^_QbjfmF^0I(yc2VZ{)t>Vb-yf?|Ch{Y-tPXSAVyx8`AudaX9 zQGdz<6nwA&ne)~e#gVgHX->PHjBG%VbH@{2(?3^A2w_km51V%-Qhe^g1p~gG5|vW1 zTFOHz-un|*OagcB0*9Lr0C4Xj%$XsljG=_mb*NTNhU`j(n0Kj;BmlrHpg-xtg%4IH zL{fj3+q!Y~9am?hG+a{)Pl=i4{+ps7Qh0?RqG`^K-e6j``9}uJ71kV|kYd07$!ik~ z*<1FH;B_(}hYwO~sA`N>^luQ)HckJDP#4NC&v4M1InnQy9pVQuS|)t zo~jLvhyk8vDEh+X$2u2$%_{=|{RF&MI=zMC&BI&~RofMZ|8*oVehcZfV|Oae-c2up z)OQGW5dvE$|0zLf3leI?j5sD7_hQGFdw_@t|6>mag+wH5qNqJjhK^ z(Pfsks-)BY{M%4HL{hRRlDD`xp2|H}1dk%sJ%I8TN?hL?qrL8OY$Oz`Ir<;^NX&Gm z^R!4!fipTYq2_%++@!DF5e{T}Z?vr+5x(FPkw~vC|81G2^sldN;;@PyF$$gCLLdOS1%vT`q64mVc0_EG zYIyatda3m&qg1`h1%cU@v)`(

    Cp%ZX7|iA#)~N@w>w$sP7N1TxTk z+#DJ@9y0c2ps%Lt50vMm*FAB}N8y$@c;OQXfnF3NfOX@oEg>>5ha1l*dTUHRw%7}Z zM#kN@5{#p926_9UJj6MEHfRX(2o_YO7u+-qpl=3aWu5r{NefirhLB@*0^ueIw+&fx z85`^x+mxx64fx=u?i#N;vNI*jT|7_g%Uwe-8ez1X@CHKmr-j2Igp4c=pT4-Dx zT`yY0B99m~_c%gY1Ms@{*Ujwt97R~O*m#gq40!zuSdW0jFA|^V`_g>}n*zc5c*oF< z4ok~mFfZu^VE47yaG~&49%OxcjX^on(3wr(LxM7Q?0WxGg{WLCMs_Ah|0`8}Vp{R{ zel|nlg?0l9-}-HWqM73h7yXoFNUiW`MiQNh92(7&wfc5XFFg8I4oCJXgiS7o$6=cl zBib;_iK~rB2~#1_4m(2$anoh5{@3AzfxDr6(4lXmrQS~dEd+vYm7XfrB5+3)YlZUv zaOb`Hcip7L5qEcAA>?niKrV>zs2tMWYfFQO(FQm+77#0SOTvWH;H^ECqv=IYvziRy zDkMDD&tT}eMX+NPpOe%CX8QN-+k>>UZ?XLGf(&BuC5cU)f^1}RTAt||Qv{MPgfYP@ zw;Fk*hg&Zd#uD&DT3H$DecFPBer;~sEOrd)P_RiS`^arb^ZV)KE_0(>_Xx}7Ttjo8 zRcpc&iQO{;A)gambdjs~+i~S`L@32rdbnA7gx}ef?!AQTFT&W{(hO%{V?{;%(04l{ z8THb&!gB4gNtwtLLmOPyPT7XsG-OKk#c3@TZjspP{gU!AQNvhgx;7fL1$`vLX1P9x zso=t$3hudL?uD?EY_?ZW0&jlXp75>n@p>~hvRhU?J#Gb=T z02jeNN=iR3nC-UHzJ95+3LW4Wg6<8ZsV8=pcQb*bIOirE*q-t0i|9J~NUK$G4em(~f(M)dl$3U8JYbC>8(pMMl;@Yxp2{TBUm>C?EXcU|#s@|SGW0fJ2( z^Md>54KgP$&dWjRCzAXrO*b`N4t!HaQA#0!+&y9fC%AB0v5A%6A<+rIHUd4asO-8nL^W-}}~fpi3o>%T?LO zRa$+9C!GWk9uTe{5Nzq$7uaM^+x^M{SYLA&k$jth}$E?P^HkB zEgdd7e)Qv&QqM*qK}f`Cr0sjMIsLaqZzrsJ*awX@voQ$4iihk4mJ>9;jM!MkaeYi3 z>zNx+E{v@eFXv9Q%KS>kxwhfnAMR{_8Y;CMVx?i#FWu|j2ZT?vjglF_4*6QtvLH>5 z40OGm%r{$@`gi2(Q5@S~qrZ}zt4D#L<=zeGcj6u*R*YaJHYI5<$5ar;s~uf|X9$$_ z_6GzgMw@;cJ${jov~?b~x?B!+z4O@7fuFN}S;b#GU^X<-KEtu?WzJ7 zt9jzz9Yf6+;pUgZ-ZH&Row@k#kL(j{yCGLZekOx@K}4Rdn-6ee1$y|GxfhBJ4>Xpj z(xaS@V`$m5ont~)4ozvNg*K`+_Cw@v!UD$TI3NCY^2V=B0kUj8$TGfMDi^osw`ZF5 ze5~Pn8KPzd_Pzg7*N0wqW;(^-rpDzk4(wJin)P^Zy2YkQV8A8=UGbQ^o3Gu z`>#5We#qAFb&>jKof7f0P?qQC=Li}fFP+*8mL{8Z2vVkUXj%tygn<3vGb`0BP$lV6 z)MV3dxUQJ15R`{I&Qv8y;-5uIEO%`p24~e*TB~&P%}4lvj~SRnJd-pzq}&whASg-SO~-4Vc6BA?WG8b|I&BM z^8`@=Ib5EWR>F$uc-!Z}wjED2Q-*}3Q$K|mx^keRL{_|KNKZ_C-f}RpbVs#3ZgW~W z{IQ7MN>C%o_8KXEi_ z92w!v9e&!Cl=N;0wia;p^xn~|zl$Y3EvNk&(jx|B36P7m?v3HZ3uX~8kH0=me(xo$*&q!@RS$_+`L)5Uw`MrcJR?} z{^|)6``Le`_F#ci_$Qib{RA$IGj_&N=1L~NLhJAyLYPN7Fe*M~?zJ#y_P5*3V_t%l zF9^?^)`}1qWiFAbHXWDCAN!_JE<1RoDI(TFX}J--q!r6H91#$8n>z*I-YWn39_ROe zr^!a8<$l=SM53?z5yYBddckSG@bgJW;-Bb-;mQnoIdY29dt^oK_DF~a#iss0=W|GD8tfOEkOcevhzha;o@?MrO1hu8Ve~g zJ)ZU(2I%`{cEZDyz)cRhYOak&%>YZnVYQ6rZCz#7#p_pVJhYM7S&k1`@Ik2glggH6c z1vrl1jo`5%B5`258O{D=CSq$fWYhYa3ZXXnkMwkrizzf}j@vT@+^3Y2p22D+ zca9U?|2XU=x{t~wm^rdjl~qcx^zeh5A$aT$ZmqRm-V)zAW@ul6J4d78F_EAL+H5y_ zOyMTD{_O0}*m7%0n`L{EzlKQDDML70GR1;=$=s6=h#GbPMob8!X4Xj7!tnirT5ePv z>wx}Rw32w*EVEqA_aFXT9BfL%x724K(SI-FVtuf2B$@s6L@B;sBCubgYv-AI3`aWs z=cnpl(bJ8v=*ZrjCpUO|vh@wJQkE*tH2p#xE|+wbt?gV)CAMcfHCiZQ=j9>;eQwUC z1PCT&u%j?2>cCo{g|0W$ohMdm39179xrr3Gu+!qD z{o4P$t|bUnJk2b5cvGk2OTU`Rc`1D1v|e)#>L!Yo7U&s=9I2vfQ&-^twa@W)hN_|A zI>=$;YThsTt~ju2E!jmrXlzT3un(wyx?MY3kpYuYPZ#hKln_tLfiIWS-`&9WgYJ8} z?9DQwf^WKD@lrl5+`~-SlJ-^kJt0+>Y^4UrSY}{>Eff#u+@U)g{mSG=|qde2lt`gtfTL`crVNVPDL!#kPuYI>z znY!k)CjY{GGnW5ggpPJkbF*GW7YR{=!%ix6DiNw8B=AsPdkXsNh2?sjV|uyn$Ck-) zfwna6Mn^UZ?zF7utknxgDy3T>vc6=aGjUcCYWF0NU1-EY#aiE?((#&|1m$wa5L7UJ zKYn4XZcM}`RBlm)lkut1Y@SKG?!C7!bWsX!YVEAjlS*ANF5mzUQ~ z%3MD_S-w~2ys8sS=LT=vCJE6tIMhu%k9pqXxdYWZnq}(xqDyl{Q}?J1H}ef-_wjZU zf=G)k%0lZSCmb{DG^Aoqa7r+N*WVPM9Wnp;8%78Zt@=^jANF&t^tsRR(r)UL9|AKp zjbBehku<;LByE4oL7<5tx@a^1iBPGFB9F1$(zZB8jw@K#3ssWmKlp=-s@Ubb%wBPt372j?24@<^8!py$w%veiodEk#9PGJy ze)!i$XDzN^n{J=+)4R^D+KD*x0rOY%$$#*D0T`=MAcNzN2k|dTjXaS0z?kQ2K=Foy zt!q)Fr8iuF@X%^pdF9DmsSkdLK47Vv04$BDQ;Vfh=;5){byOtWG4 zmB8kvet?uuJLpciSvF@f9oW;&ZDTwmV{9)vY@41&P>PDk=ZsQoZ>6f7OjIxE_O+td- z4`$bw%Htnhzq@=WIEm#Ss!JhTR>o-FCOUqiJJam$^LYq6X=kSH&=)KFrCprgU|dYN zvgx^%8y!+`mQUv5WpH>)`3dGcej|@*<@8b2CSPH=Zfnx4`qw~%z-PlhSEO~M}r}3^IJF0^yn$vvrCnCmM@=RSB<4Zq~w{o$`KBeHKCoD7J$=Fw2 zeNVH%X@}X~@ogmJpF~QHYq)4$C%+WV!n=g)fDg8PW)b&(%$G&%a4i z&<_OA#bMZ@q5i^C5(W z(mva$fott3EnEim%I4c<`#fYY1avK0Pm@QRKt;I}Go1xg1zSn9zdpd{tgJMm54Aq; zxr^II8BbYk*6GgtN{f&BTDSCi06BlR;?H|OF^iw9vS458QgEL3ypT58n=he^_KrR17{RbQU%mw+U!qtBiWpEe7R1{DJk#Yz(>MqEo;e#%NC^uA*dP+ zw6>P&e8Y)lyQJ)S{U}5RKP^yRmEK@RC9Nm60uGG^3w||0RR&GvFm}T*36)~zKd%XR zmo{+hkb1iiYg^0eper zf6M<30e}G7hW@%=cpN;1P23N?oC(J=mv$XXlFI-sEw z^EKg1EaVImONXUYmpAa=Yn#I)@t5zkQRVQ}`eawmoEf1-NGRV~t2+NMcNVky_rs8< zKanR!-mflf(2hvjLj?#Lv~-lFBbi5HS(Wt?6#mKkida@r*>Qo=J`}(i{#o%DF~;i4 zPj)+!$ec&J<}o*{mDVi}zSw+Du)X-X3TDI);SkQ#f0Oc(9IjbJtc;)3%}bMSs?D1` zdz)?X1TSq{HfhUsMq2nm%6!Av?lhdfbg;Nze^2_%JAh+jx8>kS;-hsTkrfAP$QS3Q zP-mYENdzlpqv`)pl(S)Ym)9Ti#t|A~Vod-3Ao0}?*0!rjd%oJ&zJ8L%tH}x4dN(Dq zC8Nc^;^(G93Vr&wC9?Mn*6)m=v2y_mmae#CtJATxP-ngHZA@MYr>|(gjVH$vm;!J` z4$#KLq}iCJpbT>%??vT^kBjTCzF`XXF{e>ziI7&393BCG5M|} zTB>+u2?J+NTt7wxXAUTb6mzCxb<)N8{c=3XMFr016(29hQ64+1(uv6BU>qPq!jDcu z6U{bMJLewXAz*9SY+E#rr@Ja>`eL&ybwKn?)1%m>oXQ)GK;PXY#1?{g>Jo z7v!}vikSXgCYmhpYcoq~Bma76S@p#i8{1Q#t{t(=ib~A4ROi{|bBTu5iWrHNYe|ad zqCe~tASK+pzXv-*tyhyOW3N7~+|+0RS&ud!_){BguSa9g92R!UrjP-z?B0x8v`+q34$NZKpa)~efo*NvD3*b-XzD- zLDWXL6j@>~w5IqQcU_==M-s5!;^-9RK$j5}37i!zPG&MnB?a~fy`qt5WOy&UAw@j_ zwJ_qTBrAI>q(^#sy*()sr%dQIDQM=>ql&gwve2k2*exM@)KS={J(uEi$ye|wB>}xc zE0T>E8do0f8i*gbJJXKzOPe+1bNjwoug#3r94v=Jj4G4B&6Wvm-sj}c#$%3!QdhhX zWD~#JcLAn4wC>v$^+V@z>B$o=-OTLIn{(|D8zUU5?9h{OC-b#hYG|)#qrWZb*44R4 za>}{nhy0^c&x>d699XxQm(9XG_eMt3k4%pWK~yF2A54ElHJF#S8#PR(dHdezs{XZt z@#a%&ABzWtQy=Fi6^YVc=tiDB$u{bwr#gu$-#26pRU-Q|*o}_ZVd}o0`uK_KCbrlM z!OQ}cKUqUKy-N4csE_iP;~!0!$x*UGXi*FH8|Jqd>_@vDe!iyQF2);1Q3%q+=epXt06UaaPSWIDari?81Tad9ahk#*HPx*Ep?m+tjd++E$;p8wAfgS1OVc}W_iqP)R0FJfo zlaek&(jVo;jSK#R76o#>vCrge_M?fP6mpaC?2I2(GD17CQz3bBYzPnrypi~uZXaVO zb!}j9##ZiZjD1j?GG3>A9JB-uj-*)TYzTTjv%{bf-=V4l^C@ooDEXRCT+&BI{#^&v z?Q?jvqD~Sjuhyp$3@H#T)-?E4>$&9FJ2M9V@HqiY#fDhutScjWERs@`f$+Am^#QP( z-f7-pn6OCYaI`+8O%KwR_QZaHPEe#-+=^M>)j3Advq7n^G=g|WK#led3a1PY*pY5$ z4+%SI#ed9-PqQ14rBfq?u(xtULS-@iBLJ``CSbFz_2WGBdTPn#4I`sYn&j5pn(BcI z^9`1AY&Cy!13hJf6A;%2NBzHlu?d&-5w|)r zAoyU;0DbfSObe1l)!xG|wHYaV&Ra;|#EzpcK?JoAGYccuB9d3o2 zttcl3YSy1E;Em64NMHmFu@&>iA$a&oNUpeFYfz3!mvzhRt-i8!cp`k|Ypj_5iw~Ln zpwI~~R;k*w7gkAwtjns+kCaKo9(soLo1fzDf(@DnHoE5{wi$L_gL;sU)2oq%m2Vyg zwj}*$XZDt#;`JLaFL;ss(Pm>4TS;Tim@;KxitgXwE$}Nnb!OaBcGzNuj57seEkkD_ z7|&6QbZv(qQAl-#bYWJ{`^1WinR=@?YLg4%lsK`}`Ht29RprH+Be%6js<2|`q(-Xf zr?^fsh|G|UN>)rUl|F%4;A}p%maX-_VgPW7rUwe4Wa&*&;P9-bg+25`Wrxp#Nwvzv z$I!Epl~_w#u_LujDc#;`#dGnOtT7B!pT{2u3-k!bAy6NZPF56_Ey}(mlpbdjuc+u=lXK9^2=b|&7RKE5^E{hiPspIv zBywt|pZ#eQUD>M;0_EeQ52Cxxy*ahy$(%XF#s&7ID2SIu>(^@itN`mhLFtHTU=6C+ z6MGIB({+8z3}3}hQc*zgwK5!iRjU0Mjl@5&$O#EU<=*hl^;4pX?(Ney{wU)BYT3XE zL62|l03ZM{soT7z;)y`PWMx2#HSN=ynFRw@StUh5@kDNE46&b*$n3*-^#>$M+B>xt zB=hl)4w?Lfe`Kol&wPepxE6N@k==jKZVnKw|ondY~0i2w$s zv;28Z6h$<-eqz*O)7d;C31RU*iK3=x3@RUR;3iKP7Ok`xdsk=w-B=t!9@1~|95JsP zAgvOL?af0@c4e(0qNk^>0_LttSkw+#{&Brmk9(?5o~WlAJ=3gyUv%+II=ZWIHa^AG zpmNbS)JTr%HpRG((AO@;i+CdFLvL`TgPv%6a1C*vb5YDU7`XpF%027soX%ysd_9(+ z#rqlV^bY>o9cwh6NCCCRV8`#aDLogaA(98DN>~T|4Oy_5r3W^+V5~V{> z@!-|!VqS~--+3yxU9Cr?RJSAO*2ngT9XSo)+4P`4P{cq z$2R>Uu6LrjuXv7a1>5wj3M(W@h#Q7~*5@k^-sARH0Kts%|oA(T><;|uA>djF@TGY^NVZ{v8$8b62>1tJNK;0HVEKB9QBRuA1U*6Rj z`B5`IaoA=V^$%qVWQP>F`57S`9S#QrFF#@)DZ{9u6d~ZnNUlV=Dy57r0x(2T!Fx|H z5S?v7;YomJi{z7ljtHV93SnXc_t~;KBtLZTEGRi(_GWfr{5fqKzGZEjEfM|UkyH+5 z{X=EmC0^R*e9a>mu@!YpBmn~?>b(INAoJNXGNZIZOTiHSB$4s&Lf|Vmu{q~2zKnOj zuaZ+}KE^Ds2-3!IPEQi+PcF+v!vs!Pnu{3vMjn23cwQZ&@{0P`n3ON585?$#?lo1y z0q|4Z2qMa;G|#_g%43umF4xYj^}$P{=L<&9AU^a^c)I|j zOtd?S!6-z!Kzqj`mOk-c#3~cRFe)JG>9!Gg+vKl)=cwaiFuthL6i}Py9m)2N z%ePajrINqi zyU^!W8PK8$AkVPYZS3iX$M52R_)@WiEMTnqd(>(@m4+$(qa#l1^2FOD_&1gjL@*k_ z)3E%;5X0lqU*3|kjr5vo$?pMJgGN;3$_|<+lD+!YW2^bmJEy+kH#Qb!EM_8yKmAs6 z@p8=&Wu+-41O|?r!N@VQg07oBv4k3KmJVy>a9VKkAlwZQ4ze1pYe$Gh3Qd5J=1C~s zPice@Rc;=vXiAUR7B$dsTQmzSvIqod`X`IbS()wUAD~Orlr5%P+lN{5`Yl^S{*6S-uy$^AqcO;x@Av**@U+(Pjmx7>{e&y~+s+bb<>g^Rra z!0lK#C5;n4p)VVV3zCv;QN+#Z%CE<0C;_>~y&bvL>3qtliA;Esr9(s9+gN+Zw#M?9`t47y1Y+UFuy52MGl}zURTNDR)5bPQ)T+=s%CNLZaI(Op z%XdjSUfVzJ;x@znWJ#ZaT)DLkA0+6MHCp1XV_`P)#MD~7$m`De@Y|U%gG)ZNO1E|2 z(+WVw z{=&;gW4eA!R1{KOVG%4-K_(mw{~Gx|&o0Xu#O0*&O__~dhGzk)`a?XQZTlHs+O5HE zI^%jOh(Gf|c4)_QwlpaNrVG-9fw@(&$$PdWPW?@ULv_@{{ryozDQ^|y6iljku1>tw z?L6`}d4x~FaAR{*%a9AQ+y*9m>XDGFv;ECPGL0OI4AO^8Bl@u zp=Hfn515rOQ%4oD2;3`sfhdpgiemf)m>IiM?^0r+bR55oOoOfOSV7em`$yvt5!tu+|T`VN-#v9-;Qtk*Bo4&jLYa$Cn?bs(*<@! z4jkCsjXda=-e7&mMEtR`F)lF9!NkJBDoA-q@)19lfyQ+8B<2o38#-!rnsnW&+DZ3^ z9hmnM)A9?mxKbQkE823Q`L!ndgEr;3uF_zxb})vR$$gD$M$cR+-p4k5?MA%Pe^ z($~Cs9?$Bbo@K9$!}Cg6{YZ-XgS*~UzQfekES&GVF1Mn^f&p9`_6ig%rtL!b6Zgai zd;W@DwVy{vsSovw@ZFvQ+vtty={vhRLSuFv;ltj-e$q}I(meHH?&ej)LXMyO+k21k zT+)Akz*(8WBOdU-R}k=SIdtrIV-(LbdFN`=xi6Kdg_X7BoNU!xmCg|z=VY1xm;h@m w^veK;N$edc(0s~rO|%-E*!0H7-zz0bo6ihrPf0{)w`vj&?568)3UU|tUvNLXlmGw# literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info new file mode 100644 index 0000000..83b814d --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Wed Mar 22 09:08:32 2023 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.eda.qmsg b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.eda.qmsg new file mode 100644 index 0000000..fbd9692 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679476809390 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679476809391 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 22 09:20:09 2023 " "Processing started: Wed Mar 22 09:20:09 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679476809391 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1679476809391 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1679476809391 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1679476809824 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "RegisterDemo.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/ simulation " "Generated file RegisterDemo.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1679476809899 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "612 " "Peak virtual memory: 612 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679476809929 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 22 09:20:09 2023 " "Processing ended: Wed Mar 22 09:20:09 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679476809929 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679476809929 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679476809929 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1679476809929 ""} diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.fit.qmsg b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.fit.qmsg new file mode 100644 index 0000000..01b8ce2 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.fit.qmsg @@ -0,0 +1,46 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1679476780815 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1679476780815 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RegisterDemo EP4CE115F29C7 " "Selected device EP4CE115F29C7 for design \"RegisterDemo\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1679476780820 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1679476780960 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1679476780960 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1679476781655 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1679476781665 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE40F29C7 " "Device EP4CE40F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE40F29I7 " "Device EP4CE40F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE30F29C7 " "Device EP4CE30F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE30F29I7 " "Device EP4CE30F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE55F29C7 " "Device EP4CE55F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE55F29I7 " "Device EP4CE55F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE75F29C7 " "Device EP4CE75F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE75F29I7 " "Device EP4CE75F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE115F29I7 " "Device EP4CE115F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679476781923 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1679476781923 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ F4 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/" { { 0 { 0 ""} 0 594 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1679476781929 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/" { { 0 { 0 ""} 0 596 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1679476781929 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ P3 " "Pin ~ALTERA_DCLK~ is reserved at location P3" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/" { { 0 { 0 ""} 0 598 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1679476781929 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ N7 " "Pin ~ALTERA_DATA0~ is reserved at location N7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/" { { 0 { 0 ""} 0 600 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1679476781929 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ P28 " "Pin ~ALTERA_nCEO~ is reserved at location P28" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/" { { 0 { 0 ""} 0 602 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1679476781929 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1679476781929 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1679476781939 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RegisterDemo.sdc " "Synopsys Design Constraints File file not found: 'RegisterDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1679476783502 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1679476783503 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1679476783512 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1679476783512 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1679476783513 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1679476783864 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1679476783864 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1679476783865 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1679476783866 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1679476783866 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1679476783867 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1679476783867 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1679476783867 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1679476783876 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1679476783876 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1679476783876 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_ADCDAT " "Node \"AUD_ADCDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_ADCDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_ADCLRCK " "Node \"AUD_ADCLRCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_ADCLRCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_BCLK " "Node \"AUD_BCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_BCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_DACDAT " "Node \"AUD_DACDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_DACDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_DACLRCK " "Node \"AUD_DACLRCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_DACLRCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_XCK " "Node \"AUD_XCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_XCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "CLOCK2_50 " "Node \"CLOCK2_50\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK2_50" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "CLOCK3_50 " "Node \"CLOCK3_50\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK3_50" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "CLOCK_50 " "Node \"CLOCK_50\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK_50" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[0\] " "Node \"DRAM_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[10\] " "Node \"DRAM_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[11\] " "Node \"DRAM_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[12\] " "Node \"DRAM_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[1\] " "Node \"DRAM_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[2\] " "Node \"DRAM_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[3\] " "Node \"DRAM_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[4\] " "Node \"DRAM_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[5\] " "Node \"DRAM_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[6\] " "Node \"DRAM_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[7\] " "Node \"DRAM_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[8\] " "Node \"DRAM_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[9\] " "Node \"DRAM_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_BA\[0\] " "Node \"DRAM_BA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_BA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_BA\[1\] " "Node \"DRAM_BA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_BA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CAS_N " "Node \"DRAM_CAS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CAS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CKE " "Node \"DRAM_CKE\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CKE" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CLK " "Node \"DRAM_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CS_N " "Node \"DRAM_CS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[0\] " "Node \"DRAM_DQM\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[1\] " "Node \"DRAM_DQM\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[2\] " "Node \"DRAM_DQM\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[3\] " "Node \"DRAM_DQM\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[0\] " "Node \"DRAM_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[10\] " "Node \"DRAM_DQ\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[11\] " "Node \"DRAM_DQ\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[12\] " "Node \"DRAM_DQ\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[13\] " "Node \"DRAM_DQ\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[14\] " "Node \"DRAM_DQ\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[15\] " "Node \"DRAM_DQ\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[16\] " "Node \"DRAM_DQ\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[17\] " "Node \"DRAM_DQ\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[18\] " "Node \"DRAM_DQ\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[19\] " "Node \"DRAM_DQ\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[1\] " "Node \"DRAM_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[20\] " "Node \"DRAM_DQ\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[21\] " "Node \"DRAM_DQ\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[22\] " "Node \"DRAM_DQ\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[23\] " "Node \"DRAM_DQ\[23\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[23\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[24\] " "Node \"DRAM_DQ\[24\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[24\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[25\] " "Node \"DRAM_DQ\[25\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[25\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[26\] " "Node \"DRAM_DQ\[26\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[26\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[27\] " "Node \"DRAM_DQ\[27\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[27\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[28\] " "Node \"DRAM_DQ\[28\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[28\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[29\] " "Node \"DRAM_DQ\[29\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[29\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[2\] " "Node \"DRAM_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[30\] " "Node \"DRAM_DQ\[30\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[30\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[31\] " "Node \"DRAM_DQ\[31\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[31\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[3\] " "Node \"DRAM_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[4\] " "Node \"DRAM_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[5\] " "Node \"DRAM_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[6\] " "Node \"DRAM_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[7\] " "Node \"DRAM_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[8\] " "Node \"DRAM_DQ\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[9\] " "Node \"DRAM_DQ\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_RAS_N " "Node \"DRAM_RAS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_RAS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_WE_N " "Node \"DRAM_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EEP_I2C_SCLK " "Node \"EEP_I2C_SCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EEP_I2C_SCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EEP_I2C_SDAT " "Node \"EEP_I2C_SDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EEP_I2C_SDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_GTX_CLK " "Node \"ENET0_GTX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_GTX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_INT_N " "Node \"ENET0_INT_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_INT_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_LINK100 " "Node \"ENET0_LINK100\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_LINK100" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_MDC " "Node \"ENET0_MDC\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_MDC" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_MDIO " "Node \"ENET0_MDIO\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_MDIO" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RST_N " "Node \"ENET0_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_CLK " "Node \"ENET0_RX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_COL " "Node \"ENET0_RX_COL\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_COL" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_CRS " "Node \"ENET0_RX_CRS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_CRS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_DATA\[0\] " "Node \"ENET0_RX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_DATA\[1\] " "Node \"ENET0_RX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_DATA\[2\] " "Node \"ENET0_RX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_DATA\[3\] " "Node \"ENET0_RX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_DV " "Node \"ENET0_RX_DV\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_DV" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RX_ER " "Node \"ENET0_RX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_CLK " "Node \"ENET0_TX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[0\] " "Node \"ENET0_TX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[1\] " "Node \"ENET0_TX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[2\] " "Node \"ENET0_TX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[3\] " "Node \"ENET0_TX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_EN " "Node \"ENET0_TX_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_ER " "Node \"ENET0_TX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_GTX_CLK " "Node \"ENET1_GTX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_GTX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_INT_N " "Node \"ENET1_INT_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_INT_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_LINK100 " "Node \"ENET1_LINK100\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_LINK100" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_MDC " "Node \"ENET1_MDC\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_MDC" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_MDIO " "Node \"ENET1_MDIO\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_MDIO" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RST_N " "Node \"ENET1_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_CLK " "Node \"ENET1_RX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_COL " "Node \"ENET1_RX_COL\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_COL" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_CRS " "Node \"ENET1_RX_CRS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_CRS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_DATA\[0\] " "Node \"ENET1_RX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_DATA\[1\] " "Node \"ENET1_RX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_DATA\[2\] " "Node \"ENET1_RX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_DATA\[3\] " "Node \"ENET1_RX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_DV " "Node \"ENET1_RX_DV\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_DV" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RX_ER " "Node \"ENET1_RX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_CLK " "Node \"ENET1_TX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[0\] " "Node \"ENET1_TX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[1\] " "Node \"ENET1_TX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[2\] " "Node \"ENET1_TX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[3\] " "Node \"ENET1_TX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_EN " "Node \"ENET1_TX_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_ER " "Node \"ENET1_TX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENETCLK_25 " "Node \"ENETCLK_25\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENETCLK_25" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[0\] " "Node \"EX_IO\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[1\] " "Node \"EX_IO\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[2\] " "Node \"EX_IO\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[3\] " "Node \"EX_IO\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[4\] " "Node \"EX_IO\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[5\] " "Node \"EX_IO\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[6\] " "Node \"EX_IO\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[0\] " "Node \"FL_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[10\] " "Node \"FL_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[11\] " "Node \"FL_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[12\] " "Node \"FL_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[13\] " "Node \"FL_ADDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[14\] " "Node \"FL_ADDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[15\] " "Node \"FL_ADDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[16\] " "Node \"FL_ADDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[17\] " "Node \"FL_ADDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[18\] " "Node \"FL_ADDR\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[19\] " "Node \"FL_ADDR\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[1\] " "Node \"FL_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[20\] " "Node \"FL_ADDR\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[21\] " "Node \"FL_ADDR\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[22\] " "Node \"FL_ADDR\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[2\] " "Node \"FL_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[3\] " "Node \"FL_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[4\] " "Node \"FL_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[5\] " "Node \"FL_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[6\] " "Node \"FL_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[7\] " "Node \"FL_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[8\] " "Node \"FL_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[9\] " "Node \"FL_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_CE_N " "Node \"FL_CE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_CE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[0\] " "Node \"FL_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[1\] " "Node \"FL_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[2\] " "Node \"FL_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[3\] " "Node \"FL_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[4\] " "Node \"FL_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[5\] " "Node \"FL_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[6\] " "Node \"FL_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[7\] " "Node \"FL_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_OE_N " "Node \"FL_OE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_OE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_RST_N " "Node \"FL_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_RY " "Node \"FL_RY\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_RY" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_WE_N " "Node \"FL_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_WP_N " "Node \"FL_WP_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_WP_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[0\] " "Node \"GPIO\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[10\] " "Node \"GPIO\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[11\] " "Node \"GPIO\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[12\] " "Node \"GPIO\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[13\] " "Node \"GPIO\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[14\] " "Node \"GPIO\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[15\] " "Node \"GPIO\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[16\] " "Node \"GPIO\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[17\] " "Node \"GPIO\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[18\] " "Node \"GPIO\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[19\] " "Node \"GPIO\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[1\] " "Node \"GPIO\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[20\] " "Node \"GPIO\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[21\] " "Node \"GPIO\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[22\] " "Node \"GPIO\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[23\] " "Node \"GPIO\[23\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[23\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[24\] " "Node \"GPIO\[24\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[24\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[25\] " "Node \"GPIO\[25\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[25\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[26\] " "Node \"GPIO\[26\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[26\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[27\] " "Node \"GPIO\[27\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[27\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[28\] " "Node \"GPIO\[28\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[28\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[29\] " "Node \"GPIO\[29\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[29\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[2\] " "Node \"GPIO\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[30\] " "Node \"GPIO\[30\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[30\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[31\] " "Node \"GPIO\[31\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[31\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[32\] " "Node \"GPIO\[32\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[32\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[33\] " "Node \"GPIO\[33\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[33\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[34\] " "Node \"GPIO\[34\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[34\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[35\] " "Node \"GPIO\[35\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[35\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[3\] " "Node \"GPIO\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[4\] " "Node \"GPIO\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[5\] " "Node \"GPIO\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[6\] " "Node \"GPIO\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[7\] " "Node \"GPIO\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[8\] " "Node \"GPIO\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[9\] " "Node \"GPIO\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[0\] " "Node \"HEX0\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[1\] " "Node \"HEX0\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[2\] " "Node \"HEX0\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[3\] " "Node \"HEX0\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[4\] " "Node \"HEX0\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[5\] " "Node \"HEX0\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[6\] " "Node \"HEX0\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[0\] " "Node \"HEX1\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[1\] " "Node \"HEX1\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[2\] " "Node \"HEX1\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[3\] " "Node \"HEX1\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[4\] " "Node \"HEX1\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[5\] " "Node \"HEX1\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[6\] " "Node \"HEX1\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[0\] " "Node \"HEX2\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[1\] " "Node \"HEX2\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[2\] " "Node \"HEX2\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[3\] " "Node \"HEX2\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[4\] " "Node \"HEX2\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[5\] " "Node \"HEX2\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[6\] " "Node \"HEX2\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[0\] " "Node \"HEX3\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[1\] " "Node \"HEX3\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[2\] " "Node \"HEX3\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[3\] " "Node \"HEX3\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[4\] " "Node \"HEX3\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[5\] " "Node \"HEX3\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[6\] " "Node \"HEX3\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[0\] " "Node \"HEX4\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[1\] " "Node \"HEX4\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[2\] " "Node \"HEX4\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[3\] " "Node \"HEX4\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[4\] " "Node \"HEX4\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[5\] " "Node \"HEX4\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[6\] " "Node \"HEX4\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[0\] " "Node \"HEX5\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[1\] " "Node \"HEX5\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[2\] " "Node \"HEX5\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[3\] " "Node \"HEX5\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[4\] " "Node \"HEX5\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[5\] " "Node \"HEX5\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[6\] " "Node \"HEX5\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[0\] " "Node \"HEX6\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[1\] " "Node \"HEX6\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[2\] " "Node \"HEX6\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[3\] " "Node \"HEX6\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[4\] " "Node \"HEX6\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[5\] " "Node \"HEX6\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[6\] " "Node \"HEX6\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[0\] " "Node \"HEX7\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[1\] " "Node \"HEX7\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[2\] " "Node \"HEX7\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[3\] " "Node \"HEX7\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[4\] " "Node \"HEX7\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[5\] " "Node \"HEX7\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[6\] " "Node \"HEX7\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN0 " "Node \"HSMC_CLKIN0\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN0" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_N1 " "Node \"HSMC_CLKIN_N1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_N1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_N2 " "Node \"HSMC_CLKIN_N2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_N2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_P1 " "Node \"HSMC_CLKIN_P1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_P1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_P2 " "Node \"HSMC_CLKIN_P2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_P2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT0 " "Node \"HSMC_CLKOUT0\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT0" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_N1 " "Node \"HSMC_CLKOUT_N1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_N1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_N2 " "Node \"HSMC_CLKOUT_N2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_N2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_P1 " "Node \"HSMC_CLKOUT_P1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_P1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_P2 " "Node \"HSMC_CLKOUT_P2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_P2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[0\] " "Node \"HSMC_D\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[1\] " "Node \"HSMC_D\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[2\] " "Node \"HSMC_D\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[3\] " "Node \"HSMC_D\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[0\] " "Node \"HSMC_RX_D_N\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[10\] " "Node \"HSMC_RX_D_N\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[11\] " "Node \"HSMC_RX_D_N\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[12\] " "Node \"HSMC_RX_D_N\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[13\] " "Node \"HSMC_RX_D_N\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[14\] " "Node \"HSMC_RX_D_N\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[15\] " "Node \"HSMC_RX_D_N\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[16\] " "Node \"HSMC_RX_D_N\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[1\] " "Node \"HSMC_RX_D_N\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[2\] " "Node \"HSMC_RX_D_N\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[3\] " "Node \"HSMC_RX_D_N\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[4\] " "Node \"HSMC_RX_D_N\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[5\] " "Node \"HSMC_RX_D_N\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[6\] " "Node \"HSMC_RX_D_N\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[7\] " "Node \"HSMC_RX_D_N\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[8\] " "Node \"HSMC_RX_D_N\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[9\] " "Node \"HSMC_RX_D_N\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[0\] " "Node \"HSMC_RX_D_P\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[10\] " "Node \"HSMC_RX_D_P\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[11\] " "Node \"HSMC_RX_D_P\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[12\] " "Node \"HSMC_RX_D_P\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[13\] " "Node \"HSMC_RX_D_P\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[14\] " "Node \"HSMC_RX_D_P\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[15\] " "Node \"HSMC_RX_D_P\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[16\] " "Node \"HSMC_RX_D_P\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[1\] " "Node \"HSMC_RX_D_P\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[2\] " "Node \"HSMC_RX_D_P\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[3\] " "Node \"HSMC_RX_D_P\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[4\] " "Node \"HSMC_RX_D_P\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[5\] " "Node \"HSMC_RX_D_P\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[6\] " "Node \"HSMC_RX_D_P\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[7\] " "Node \"HSMC_RX_D_P\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[8\] " "Node \"HSMC_RX_D_P\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[9\] " "Node \"HSMC_RX_D_P\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[0\] " "Node \"HSMC_TX_D_N\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[10\] " "Node \"HSMC_TX_D_N\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[11\] " "Node \"HSMC_TX_D_N\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[12\] " "Node \"HSMC_TX_D_N\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[13\] " "Node \"HSMC_TX_D_N\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[14\] " "Node \"HSMC_TX_D_N\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[15\] " "Node \"HSMC_TX_D_N\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[16\] " "Node \"HSMC_TX_D_N\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[1\] " "Node \"HSMC_TX_D_N\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[2\] " "Node \"HSMC_TX_D_N\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[3\] " "Node \"HSMC_TX_D_N\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[4\] " "Node \"HSMC_TX_D_N\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[5\] " "Node \"HSMC_TX_D_N\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[6\] " "Node \"HSMC_TX_D_N\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[7\] " "Node \"HSMC_TX_D_N\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[8\] " "Node \"HSMC_TX_D_N\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[9\] " "Node \"HSMC_TX_D_N\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[0\] " "Node \"HSMC_TX_D_P\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[10\] " "Node \"HSMC_TX_D_P\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[11\] " "Node \"HSMC_TX_D_P\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[12\] " "Node \"HSMC_TX_D_P\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[13\] " "Node \"HSMC_TX_D_P\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[14\] " "Node \"HSMC_TX_D_P\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[15\] " "Node \"HSMC_TX_D_P\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[16\] " "Node \"HSMC_TX_D_P\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[1\] " "Node \"HSMC_TX_D_P\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[2\] " "Node \"HSMC_TX_D_P\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[3\] " "Node \"HSMC_TX_D_P\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[4\] " "Node \"HSMC_TX_D_P\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[5\] " "Node \"HSMC_TX_D_P\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[6\] " "Node \"HSMC_TX_D_P\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[7\] " "Node \"HSMC_TX_D_P\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[8\] " "Node \"HSMC_TX_D_P\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[9\] " "Node \"HSMC_TX_D_P\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "I2C_SCLK " "Node \"I2C_SCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "I2C_SCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "I2C_SDAT " "Node \"I2C_SDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "I2C_SDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "IRDA_RXD " "Node \"IRDA_RXD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "IRDA_RXD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "KEY\[1\] " "Node \"KEY\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "KEY\[2\] " "Node \"KEY\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "KEY\[3\] " "Node \"KEY\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_BLON " "Node \"LCD_BLON\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_BLON" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[0\] " "Node \"LCD_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[1\] " "Node \"LCD_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[2\] " "Node \"LCD_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[3\] " "Node \"LCD_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[4\] " "Node \"LCD_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[5\] " "Node \"LCD_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[6\] " "Node \"LCD_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[7\] " "Node \"LCD_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_EN " "Node \"LCD_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_ON " "Node \"LCD_ON\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_ON" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_RS " "Node \"LCD_RS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_RS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_RW " "Node \"LCD_RW\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_RW" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[0\] " "Node \"LEDG\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[1\] " "Node \"LEDG\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[2\] " "Node \"LEDG\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[3\] " "Node \"LEDG\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[4\] " "Node \"LEDG\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[5\] " "Node \"LEDG\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[6\] " "Node \"LEDG\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[7\] " "Node \"LEDG\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[8\] " "Node \"LEDG\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[10\] " "Node \"LEDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[11\] " "Node \"LEDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[12\] " "Node \"LEDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[13\] " "Node \"LEDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[14\] " "Node \"LEDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[15\] " "Node \"LEDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[16\] " "Node \"LEDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[17\] " "Node \"LEDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_ADDR\[0\] " "Node \"OTG_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_ADDR\[1\] " "Node \"OTG_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_CS_N " "Node \"OTG_CS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_CS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[0\] " "Node \"OTG_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[10\] " "Node \"OTG_DATA\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[11\] " "Node \"OTG_DATA\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[12\] " "Node \"OTG_DATA\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[13\] " "Node \"OTG_DATA\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[14\] " "Node \"OTG_DATA\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[15\] " "Node \"OTG_DATA\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[1\] " "Node \"OTG_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[2\] " "Node \"OTG_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[3\] " "Node \"OTG_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[4\] " "Node \"OTG_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[5\] " "Node \"OTG_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[6\] " "Node \"OTG_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[7\] " "Node \"OTG_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[8\] " "Node \"OTG_DATA\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[9\] " "Node \"OTG_DATA\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DREQ\[0\] " "Node \"OTG_DREQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DREQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_INT " "Node \"OTG_INT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_INT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_RD_N " "Node \"OTG_RD_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_RD_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_RST_N " "Node \"OTG_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_WR_N " "Node \"OTG_WR_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_WR_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_CLK " "Node \"PS2_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_CLK2 " "Node \"PS2_CLK2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_CLK2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_DAT " "Node \"PS2_DAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_DAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_DAT2 " "Node \"PS2_DAT2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_DAT2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_CLK " "Node \"SD_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_CMD " "Node \"SD_CMD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_CMD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[0\] " "Node \"SD_DAT\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[1\] " "Node \"SD_DAT\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[2\] " "Node \"SD_DAT\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[3\] " "Node \"SD_DAT\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_WP_N " "Node \"SD_WP_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_WP_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SMA_CLKIN " "Node \"SMA_CLKIN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SMA_CLKIN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SMA_CLKOUT " "Node \"SMA_CLKOUT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SMA_CLKOUT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[0\] " "Node \"SRAM_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[10\] " "Node \"SRAM_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[11\] " "Node \"SRAM_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[12\] " "Node \"SRAM_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[13\] " "Node \"SRAM_ADDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[14\] " "Node \"SRAM_ADDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[15\] " "Node \"SRAM_ADDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[16\] " "Node \"SRAM_ADDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[17\] " "Node \"SRAM_ADDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[18\] " "Node \"SRAM_ADDR\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[19\] " "Node \"SRAM_ADDR\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[1\] " "Node \"SRAM_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[2\] " "Node \"SRAM_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[3\] " "Node \"SRAM_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[4\] " "Node \"SRAM_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[5\] " "Node \"SRAM_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[6\] " "Node \"SRAM_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[7\] " "Node \"SRAM_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[8\] " "Node \"SRAM_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[9\] " "Node \"SRAM_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_CE_N " "Node \"SRAM_CE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_CE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[0\] " "Node \"SRAM_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[10\] " "Node \"SRAM_DQ\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[11\] " "Node \"SRAM_DQ\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[12\] " "Node \"SRAM_DQ\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[13\] " "Node \"SRAM_DQ\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[14\] " "Node \"SRAM_DQ\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[15\] " "Node \"SRAM_DQ\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[1\] " "Node \"SRAM_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[2\] " "Node \"SRAM_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[3\] " "Node \"SRAM_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[4\] " "Node \"SRAM_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[5\] " "Node \"SRAM_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[6\] " "Node \"SRAM_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[7\] " "Node \"SRAM_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[8\] " "Node \"SRAM_DQ\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[9\] " "Node \"SRAM_DQ\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_LB_N " "Node \"SRAM_LB_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_LB_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_OE_N " "Node \"SRAM_OE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_OE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_UB_N " "Node \"SRAM_UB_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_UB_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_WE_N " "Node \"SRAM_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[10\] " "Node \"SW\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[11\] " "Node \"SW\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[12\] " "Node \"SW\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[13\] " "Node \"SW\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[14\] " "Node \"SW\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[15\] " "Node \"SW\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[16\] " "Node \"SW\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[17\] " "Node \"SW\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SW\[9\] " "Node \"SW\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_CLK27 " "Node \"TD_CLK27\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_CLK27" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[0\] " "Node \"TD_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[1\] " "Node \"TD_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[2\] " "Node \"TD_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[3\] " "Node \"TD_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[4\] " "Node \"TD_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[5\] " "Node \"TD_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[6\] " "Node \"TD_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_DATA\[7\] " "Node \"TD_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_HS " "Node \"TD_HS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_HS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_RESET_N " "Node \"TD_RESET_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_RESET_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_VS " "Node \"TD_VS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_VS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_CTS " "Node \"UART_CTS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_CTS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_RTS " "Node \"UART_RTS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_RTS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_RXD " "Node \"UART_RXD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_RXD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_TXD " "Node \"UART_TXD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_TXD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_BLANK_N " "Node \"VGA_BLANK_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_BLANK_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[0\] " "Node \"VGA_B\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[1\] " "Node \"VGA_B\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[2\] " "Node \"VGA_B\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[3\] " "Node \"VGA_B\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[4\] " "Node \"VGA_B\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[5\] " "Node \"VGA_B\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[6\] " "Node \"VGA_B\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[7\] " "Node \"VGA_B\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_CLK " "Node \"VGA_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[0\] " "Node \"VGA_G\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[1\] " "Node \"VGA_G\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[2\] " "Node \"VGA_G\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[3\] " "Node \"VGA_G\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[4\] " "Node \"VGA_G\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[5\] " "Node \"VGA_G\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[6\] " "Node \"VGA_G\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[7\] " "Node \"VGA_G\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_HS " "Node \"VGA_HS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_HS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[0\] " "Node \"VGA_R\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[1\] " "Node \"VGA_R\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[2\] " "Node \"VGA_R\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[3\] " "Node \"VGA_R\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[4\] " "Node \"VGA_R\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[5\] " "Node \"VGA_R\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[6\] " "Node \"VGA_R\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[7\] " "Node \"VGA_R\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_SYNC_N " "Node \"VGA_SYNC_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_SYNC_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_VS " "Node \"VGA_VS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_VS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1679476783924 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1679476783924 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679476783945 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1679476783953 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1679476787519 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679476787725 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1679476787806 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1679476788347 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679476788347 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1679476788799 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X104_Y37 X115_Y48 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y37 to location X115_Y48" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y37 to location X115_Y48"} { { 12 { 0 ""} 104 37 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1679476794390 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1679476794390 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1679476794649 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1679476794649 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1679476794649 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679476794651 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1679476794847 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1679476794857 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1679476795309 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1679476795309 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1679476795724 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679476796365 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1679476796816 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1679476796921 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 507 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 507 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1151 " "Peak virtual memory: 1151 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679476797269 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 22 09:19:57 2023 " "Processing ended: Wed Mar 22 09:19:57 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679476797269 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:17 " "Elapsed time: 00:00:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679476797269 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:24 " "Total CPU time (on all processors): 00:00:24" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679476797269 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1679476797269 ""} diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hier_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hier_info new file mode 100644 index 0000000..ce19507 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hier_info @@ -0,0 +1,56 @@ +|RegisterDemo +LEDR[0] <= Register8:inst.dataOut[0] +LEDR[1] <= Register8:inst.dataOut[1] +LEDR[2] <= Register8:inst.dataOut[2] +LEDR[3] <= Register8:inst.dataOut[3] +LEDR[4] <= Register8:inst.dataOut[4] +LEDR[5] <= Register8:inst.dataOut[5] +LEDR[6] <= Register8:inst.dataOut[6] +LEDR[7] <= Register8:inst.dataOut[7] +KEY[0] => Register8:inst.clk +SW[0] => Register8:inst.dataIn[0] +SW[1] => Register8:inst.dataIn[1] +SW[2] => Register8:inst.dataIn[2] +SW[3] => Register8:inst.dataIn[3] +SW[4] => Register8:inst.dataIn[4] +SW[5] => Register8:inst.dataIn[5] +SW[6] => Register8:inst.dataIn[6] +SW[7] => Register8:inst.dataIn[7] +SW[8] => Register8:inst.wrEn + + +|RegisterDemo|Register8:inst +dataIn[0] => dataOut[0]~reg0.DATAIN +dataIn[1] => dataOut[1]~reg0.DATAIN +dataIn[2] => dataOut[2]~reg0.DATAIN +dataIn[3] => dataOut[3]~reg0.DATAIN +dataIn[4] => dataOut[4]~reg0.DATAIN +dataIn[5] => dataOut[5]~reg0.DATAIN +dataIn[6] => dataOut[6]~reg0.DATAIN +dataIn[7] => dataOut[7]~reg0.DATAIN +clk => dataOut[0]~reg0.CLK +clk => dataOut[1]~reg0.CLK +clk => dataOut[2]~reg0.CLK +clk => dataOut[3]~reg0.CLK +clk => dataOut[4]~reg0.CLK +clk => dataOut[5]~reg0.CLK +clk => dataOut[6]~reg0.CLK +clk => dataOut[7]~reg0.CLK +wrEn => dataOut[0]~reg0.ENA +wrEn => dataOut[1]~reg0.ENA +wrEn => dataOut[2]~reg0.ENA +wrEn => dataOut[3]~reg0.ENA +wrEn => dataOut[4]~reg0.ENA +wrEn => dataOut[5]~reg0.ENA +wrEn => dataOut[6]~reg0.ENA +wrEn => dataOut[7]~reg0.ENA +dataOut[0] <= dataOut[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[1] <= dataOut[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[2] <= dataOut[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[3] <= dataOut[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[4] <= dataOut[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[5] <= dataOut[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[6] <= dataOut[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE +dataOut[7] <= dataOut[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hif b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.hif new file mode 100644 index 0000000000000000000000000000000000000000..84e109fc55038e839437cfa3af1cfcc193778253 GIT binary patch literal 458 zcmV;*0X6=t4*>uG0001ZoQ;u9Z<|06hVLu&Kdh8Xd$7A;h;eVk#x^a66l`Bt`!U!n zVMt-I6ea!dU5q0~vJ+J-0^WCqnR(`S%WB;=__0<8KwGFARh8H#Lu+W^hgKC5k8Of2 z>$^ENF|XNA94QSrkV>0aWO>N;oC!+i^UEEd&wNX}?+A`i*od63ZJMp9aktCD|nxBZQNlfwbIWhg`H|N)Si(VUDa#{ zNb=V=c?O4S_?3JciZcIDzr#hfQ-W4c9R~b@{LMO{slTFWFijS5xDI;;e@&=h4Nz4! zP&S6z5xTlnTMMAMD&W%3@VJ+VgDYG)BDTeHGGUwnCz4NyHy#n!aXE5Lx++b4$%;#T zGcFCgvVKJ8ew=L9GzwSY`m~Y0xgbk6=`^6((oe&9@y@w!yVsMO`WZa$C9|- zXw0|+qdb?~6Ue^5$@U>iroZSc$ihWTV}I4b>j2%L@%kwMQ5~KN(15=D1BKKQ + +Hierarchy +Input +Constant Input +Unused Input +Floating Input +Output +Constant Output +Unused Output +Floating Output +Bidir +Constant Bidir +Unused Bidir +Input only Bidir +Output only Bidir + + +inst +10 +0 +0 +0 +8 +0 +0 +0 +0 +0 +0 +0 +0 + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.lpc.rdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..9a2dd17e371091c65c1a95a9800eede70b6c8b50 GIT binary patch literal 445 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AyUv!j)**mUH`mKoK>H5 z97S06{$M;fO-iG4$;*ZD>nAUpHdX1Up33IAH_zlOj?k8$7ocJx==E$8OJiasI(YJ>rMbg%1QCbDQyd@rNq{1wrTU{PMqU zeno4uQ>5Py%^$N*ZMImnX0_dtsECz9r}_`X7e(*hwK6MQYlr!&9L=|{vg5UOWPUfA z#Mbd+*0c{PQHS&j7wr+cuBB@c7#2`7yUnCQ<)a*fi+uKO&C3V=KDk!%-92~p&Hg5H zpfCUbuV73{Oi1ZSNJu!L|92tZ5{VfNGo(A3W9B^iJ9kgaT;~}QW(+@XTmCzf%yLOV zhhr*#Vacb$)>TPq6BxRdz5ib(>S1_-aif4hvrprSqOXNtOTTg_CI&EYoo;y|Kb7^8 X!eNz0iG?~ADkmXHhaCiZqx4rOaP0f>Kzzk* z?^RdK7wR{y@TldxT{2s5+06|%e{nY1YyE5N$e4RGZPFHzt@>U^8_%kxpP#ey&4fvh zEp~6XR+pjcH0Nx|+vSFd#bO^A&0g;_ESh~Y|C+_;Q}ONp9;}kD4qtwDGxz#Et{%M; zzCSF;uoQJ-*w7KJ{-oDJa+8l0@1qBI7xm3nT)$+ptNPQ$I@h!+Q-9hpb3PY*zb)Dkl5?|CX--xalDic{$7{DtBL+xpBnxz|nzJ9o%LNBjCAF2PmL`Xo<_DTqsrA)|*xf1{J6N8ueoUD%qdMVFQs#`n2UmC9b!*MC@aH~k?dn`}X&d7K zJB7Z>roF~&lPs)yA}@Y^KT+NU}Lo4d5$GB8zLk-<}%eh zp8Lo7Z;VW{(qra7%}$SMW&g_DjctAuE8{FPSEf03ri4zzt8cbfcPgcB;rS74^(ob@!1Ge^49EI zEtS7l*lPSufUBzi@LyP|`HxQDwmjo})5uScw%TFMC@U+w0@NwGtmE$PJz(JjOf9*i zTDq*8de$|-TO+J!U|?Wm!XY=eI(I9GO$`7&)(~+$N}b_@ zu^g-I@`1mdPQXrRlE^iW3m%4fe84!bSU>&GxSOybkQNiSY)pf7W=>p%hMxC`}z zF@6aXz0(N45zQJhi&x6{OL8|z&Nkq54@yGM5!50^yV#F6YI7raesB^&{IGr5k@Cr- z(XGl?T8u!hco6l}X3Y&!sy&H?Kkg{H!LEU9Io z&!J+~YmgSYdrjbYYMnLf(V&qsiBBoSk_?qYZcTrBcT|x#xY76TwvO|_%8DQmA76S< zn|kcnt4SwG_(t1T$-PF7RJQWs3C|%v7_Ep{wrmt8B*cfza(aJLd=)LwrR2}==+Y^= zGyxqc=QXVzK?f@3$nOpE7`CKlU&~%WOirI7Hh6PsBgMTozgX&5Rsd)bUh3(@M|w)u zHk&q_^@k8}Mj|-tSp|$gCCG*RA~;!_6A1DE69sGj65xOzuD(}Z7ybHhCaNwat zs7w3t$%PgoVdQ{-Ol;(g9W-@#QfKW-h!gUYGk&!#D2*sLs{kqX@FLU^ofLX z1Z=z{OUmn2I&t@%UQn-D5epgNea^VoX;1<-YqHF8IDPu@V)+cM`HK4dVsG1!Tz+9y z7t-H`RpiP?L8Z|l9H!l+Pfcgh6g%Jjo?1i5zEgECg9F$h?B3*O+0Vmeck58kkTNXBXDkcQ`j6D5ZHe=$uF1Rq;!jey(4>!uSZ!{$kQkYE1yBcVQt%Y9= z>f?3TK~#!indH&ZM8ftG#nW+Eid=Zoid>b1_L8M%41aO7;uACoRvq<_jOrpzv@u`d1r68!J(De{LqY*1jDj`nToW+$U6 z?#-Tu>QJU2Zr#@gCu-`RQisY@-(49M?#)|)7j&{Qe{i~vS|=sSwnqn zc684NEDH4NrxDbK=+M-DI_D@HV5TByt|Jlm9|usThu{}*)i@vHk#@a*i^%qEL{OL*AkySu<& zz2P|2YV`*gP`gT%nAboJ0J?g69nez(baHSEN`AVI>kBZ`!^G6~qjk5~X+_TI1B0}z z&jVbR-}I&LoYrc0ZSN_X|6*pLc}(Nf<(=yZT!_d#ibVgYIq83S(wu0@$yN|s84k#5 zlks0N55=?w|BQT~bKndG@1VOZpQw7C_3{|trd(mgG`Sy#RG&AX8B@Lsa3^|Mg!@*2r*xN?I;ntA2=j|MP=wxy`8rG%?iRWEX=v=y8nBFHEIam^iy zvFA^tc{f7{Fca)N@0>|Q$n{%2yV2Of!1PB2THtv0h;75~KD$RYB}Fx}?4&(M=vkeA z232;#Y*{hb>SH0gW@@w~=d2B%3;Gj-=|Ar+olnPrF={>+Vc=%wPanUk{pGX&0gqh9 A;s5{u literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..6e29a542b1e36e3bdd65540dca9f7a5389a3c618 GIT binary patch literal 26008 zcmaI61y~$Wvo;tYxCaYv!Gi{eKnTIzeQ*de_@IMpa3{gt-QC@T4esvlvXk%r|J{Fg z@3YTLpL+W}b-JsnYr4*jWjbj}W7X2F<{s@*5 zd0j8}zZRo(S0r)?xI;nl>62K3?f6dtLHFrq%-4RY^d3I|e&*V!D+Ow5Y8w(@%<{Oy z-&13l(}q@KJDD7Y6eSh)z|MDrDFV9 zSF*fb&mm{|U@`tG1j|{`brqs^!=2>19^;1%9d%*cc8CtxNBKtcUe;#hK=3{#CTuh(t9k8>$wkDd7{^-f=Cy;sO@5l83`Ju0nb z+mZ959=&Lekn}H&A%@_NdHjQW?PI0^B2ZxDpeVRO4^=KJ$%J&2X%P9;<%Q*XBri^! z)j~Ul#RgcdZ#R;L0g_8*_2JyPHvoXUvT(iBJI&o^;eJBOl5fI`>D2Yac*|*f_Q=<% z9>*#@vvj$H-9a1auIV9}GVy=+0|YX^?tIUyCg-^`_ViAM_1e)##yK%c5URP@oyGt7B~B`A?DJf# zHuaoME#&mM+L}3xXBsmN(PNv{(FF(MR3yz{X4+mqn4YV}8vig?pUNV5Hsy{Ce{=a& z7wr4v!y90VGl_C8phFi7@-9l!2(MWG7JiZ{mK^OJ+s}H0d4^YIVt<@eOIkQj{r%`{ zjdpEm_`AwLK#lIAlfA~vPV!xo!VGytMcjPE&c&UyMx>@)GA2A6i{?7SCg5Y??z4Td381L;zpLi^H z%?IIx`=Z_nSG03`nb>CIG}?oYNTZwFSqV~?rMbj+IFFS3!o7CCLfw`NZrF*VLW4YLox(r<6sY_WH34TtOXHT{v|fprSB!fOIeyu&Fd4!voy*vHeW|Uc#XTz}RtVtm z5nU^+buzTDy0M~w@Qy8PFBcS1r*#Im_v2w;-pt|>b>aOx=$&=(`L~>gSRV3E3lO74 zC*0OZ!XddmxSABwn{_*{75^X+nV{jydFoJ0d@PAg8m8^_3V`T-EKIee#7dq8}m^Da>Ni z#9EQ-v=h=b=tzaN=Ov?zpdm{nhnCm_xgYOm>X+CFjS8(`)&Dwu&o3nyIzPZA>B^q! zc^tqKb_>IGPc@}lb@%*2Ekkh!*FS(uHIz{4-E~!b3dSHoYVW{Y+v$zMiNYk<;L+6| zdb-f7wI4w2QRWjD>&U&q%#WJxsJJIMX(c9ohe|e0z5Zo`hrpXA65Sa2?pNO(hcV3M zjp(`zVlE0zZz@~xMFTS!t-g_as<))-LL-}v>s4CSuFIjPw(I&g24AB$SZA9Jr(H;B z69>G+(2lNlC$8FsnNP4*;yWM=i&;+jXU?IQotY&Ho^Ho5!Zx(Ll*y~GS0s;2bihE}b$qYGBP;1yAPm>i4Qq;&=(#-)HT4%Q zxd)BsV7O)}I_WW{OMD#M-7v_3}B~MH#N5TS_=|rZeTCa#(f!Dte_;4y3 zsj0YSc@bC^!7Sq0Xbd_!QLwUK~`?p zRk1A$taZj^52buCBDCz?K8`7eN3GETNU3WT!1Ai zZF7bOHg?PrBDb-o@$FBB#zI!6)RW2!A!@|HR+c{djh!G}a~B&!v&t;mDxOazEa<47 z`#T9i0a`mU3oP{eV5yJ1FyvQ!FfFp^=61r9+YYCgo3X(Gt*c7A2(ti_LgmiH5T?H~ zTk^;1cvW+WzpH5G7CsySf1%g+it8A~G253j+XEb^#6O|>;AY_%If+MkM+BQW! zPkKyKAUvvaXb^x~nUCq|65@>f)M{uOBrQ@4c+SMIkcJcBI{PL%qV@AXb1mnw$wCaDd&!l0;oxELk# zw<_Bv_gqPLJN{%y6MpGE9q&zi{FS%b`$O%60pt4!ez)#Fd#G3s;Qb*__t(3PA%xZ( z$K{FKM6>9Rw8X{q+%+I;Th0)r}Po z(+C^cP(G(`rv<}z-)ZIda0Piti1ISnSgDCrpLoZxaFyVrjWz@J5{*`&$tIr?LN6u2 z%;d(f`JOQ#e0UMpcN3avxX?^1gl3x2zfAiK&9tA;ObZB$g657zfvH$)Zdzi3plIu} z;4; zG=p;JW3D6vV;mKs{a1Ti-EqtOA(~C>RRr^P9LW#eh1{5b; z{i*pF+?j@?{;C+RTyIj0Rf@+b|L~*ALxLBR#zqZ|r}~J?AjV0r9BQYSi+hnN$pHI3 z#+r(1tW#_^-fSjYk{df_m!o=kh?Z(f_nSK3!jB)xG6RfsDIt=Me>HdGcSEbF7HY9U z+}KLy)8aGG?zF6kdu(F%4ZEx|yR=rtqcPpIUxX~*+?#ZHiPNh)&B)-Voma@{#0&?) z%JG5Qx%4PdTR+4a(}x%&9X@m_etC$;!vmCk3~&$RfzMCN>9?-lHrV>%v@xrV3plws zK&`laybjdx@OwWn6l(C7Y}AUO?DOQ$DE}|P zAh)qmmtDVFPO{oL1&A;3cn+ip?lSvxo4}uZ-%r9@+wkDah7AVTR&Os|TDdXv zQe5-o@Ptj>xGFUBlrDjwwk^gk&&I>pvG-u&*JGck#*SDU#||6Fy;|b2>#Id7ac=&( zU37Bym`HIK1l;^dQqJCwJU5?r0#g~i;K?4L;I|&;ZnBX$m!ur~^s7R4qB3V*(|erF z{Ez}H9i&fls2KiQS8x0ZmfQKh{;fRfGWb>O-jO<$3Mna|tIN{F~{M_DWvCaRi( zA6%%;9J!t!LZ<}>|KGlqDUkiQb9gJ8_XE5*BD@wO9}*%PcoDsEgmi8oh%M}q8l#hZ zpYEL5Ah<9ea`Qu?D7eI2-xo1tQ%iEamv9O*=IPJsuClQ|?&qcYWZlo}mqL~P%r)r{ zPJIb=B@28M2l0i*Q#P+qlF*I-)^hb)95QvrFI0 z2c59}P~|OD8X)jP`bCEo#L&Y!RT{K>|A`=Z`Hw$D#@U(9TTwe2JkdhM3%i3m%SJt( z6p`FdsNnOL2>Oi1zrIjbrH0-WQ;xUt3WcC|#NsU|;1){H8a}_jxIpU>^6C*vWKYx& zH5Y)V5xYm04jrhaF;zO2`VytkN{k8sE;AzG*x|diP$mxBeA6u-Qa{_;bZXA zaZr9=znzO@IV6QW85>9Sn}$w|LMDe(~5dws_C=?ArE8&|u`9@wuR zjkvZj$_d??#21^uYfp?aaw?yP0HJ_y=yE41`|s6-GA9@sjsA%NnZNHIT^Wc(pg|Jd z-|FKGs@h36dPHDdEOAhd=m6lND2y#K{u)UP0m&FPg*X_;As!sYOwyrIpP zC_?wSliPDQ_}Y$YX8!-tmn@w*WjVv=4FLbLc& zH-(tl?AYe=3AH+{4fdOBGv&4~2w$k=FP}Jmh)>$&JCK>ZPu(Uo>{(4w0DbeD!t?Yy za{L6QL=hl57{sKn zKIKXNu@0@C5D*&~qyzoPaF+y zyA~G+-=C40_mh#ASxlzOf`JG{{!1-R>&i}Tyl1H0uPlX)q@BuJ*s?O73qJA%4aRHy zsaB;74kF2P>>yL``Wc?zM>P})rIPFfdkptG(#en{zksxXZ&vu_Mdo3sDo$|wU!&+k zEnv+$n8fmcbE?`i=c?1HT4K^lhIkBOAhlfF1hp7tRW&G~1SPos5xB&_&jp+nx%k*Q zEXu}n63RbDuYZR~fdaKj9IcX>xI;~_*~=`*c2)Vbe#lxS5AdnU<6}=-HkW1a9L+}< z_vZeDh;_z; zgx!HRR7>I~*>i=3i`DGD3uMzmye03`7 zDIj0YX^gnLoH}c<)%09i)UnkWQ9;TG>+c=-2{zOg7qt}kv=rB~6sP6N;pWPrjr0Xc zeO_(trP}|*T}l!`kM=6gp2|x8M)gc9Y8+b&r;2H0NS@2x+#08b9Ph=U}82NKIj0ql(qPGgIR?*z$- zY6m*`PgT&LfI?A->0<-56%G#BV9LvoMb`5|C)f^Es~GPlNcXD45yH(6p|0P^`8aF- z2eNDMtd^wP5(_x8=8pgtQWYU1@0km+4L`bL+@r#45ka7P7r{g5*$x2lq}T{Gl9^0w zZ4K^75NsEqsipC(_{(4VYoqcK3`upvdfr!Uv(CqUQ)4ECU>iW&bN)lJ5*2MP(FNMF zk10R6ob$}U)+Zesb+u1vzi0x_(u!D{ILXc$f z@QupzMYTj~*Uah1|Ba1}8hQ7m?%_y*=);I|ZT}8+IHCUZorcl*l~La5$ZHqW zQxavAzjW1oUtG5v*B{o}>P-G733L`X8jiitM^+KpU5LouOCC!^&dXQhyM@$#Cx*b{ zSXE(m9Ni+;ISF=&39<0*1paL>+hJ~4X|+BXo(0rKRQ^nXV>m6#1LZB&g<0OHtv?w+ zryEImit^{0aScDXnp#9qb!n}+(w4~65HKnC zzLnVcZ9XFund24j30=A9=(LXjUywFHS8Fsm@~e|58yo^Bn8EmE*d zQH>{2%?MkI+AY9l2!a_;totw%cnfFzNB&|MEY)N@Dz8S;EFcu?@@JCv#2M*Y9}8tx zWf9fh)BTK_r|Yj&dh+KT9L|G3?#GeTog_$VUNs~`+|b;ZfY{m*i+K;RFXOw~p|@;L zp$+&eFm3q7#qRK@iyE*!BA@MHzjBvR7U^;rBsH?aat)g%P4dCsZg6h0ig>_+h0rrx zI{QI(@(-Mv`tWNPC|^sruV<$XS`c|1HynAucHEdY?VX>DV6n;Znn?Fux)B?c5Ns

    $8&*ZD<_5T&pV(B;aKY*U4;N^#Sc5K`;$^^qJv4s`Z?I(%)5? zo#3bJQ(SrhlKRDX!bA{h`~$=wg8lAdL^UWn!CvrOq7q)hA}L#z%{$yh&^b=%Q;9oP zZjZ|W1?B)p0_cBTp#Q(>A+)5pe)4Xd{0B2{g&h$szw4v)v+8ES2h0So-2b!6_&<~$ ze+W?Q;--7uUE?)IME%+r%VG)+4$c4_%`;m;$_QKWY!N)mT}t4l?v>H?gAR!glOT|p zu@Zg2wCWnKEud%cyn0kI-wW^EG#0oAt}b20!O%r3|aSQP~u7W3qR(Jn3+7ZKH^1i$$_XxEcYVA zKUe6@an4LC3ftP8D5^{=MsO3a{Z-@+$|=(4=3HjuaM2PSlYE&B-Ell3Itn7TO5t$) zf)45t{evcqQia|Cac%GpOL6LpXqhN`{MssoYdcB6b3mO#BsB6RV&w2kw8~5CV^&r^ z9vAw0y{YI;4~UwYDQK5vz^Mz~=KUoZ240hE1FSGaCh&Drs3ho&qP^8nOiwP~c|mfl z)l_sODA-aRZLH+alB&kE;u_mF_QBd+^6Q;m=IP3}u!)T7&j1cst#5xqmd5$y8Y0>| z=>j#-zRDE3o@xp?J*6($HWBvrM!fNJ8@d*7d!4s?mizIbZTMx~$Icq_xasec8{Kyi zd%rhl;otH8DG^O^iq@U+Gd92~IoMXW-e%{p%9O|0YP88!|3S;)gLNe9Z{JF3gH>#4 zu~Iq?3*V_M{zx#=5<$VgWBzk%cq42kT953WQ5Z)2#=`41Qc z-p#4?_O&j=Shd9ehi0McdaUcWQLMf)vHndCB>7J#!33@DaO8__bfp&&*elG;0Rif% zkxO7V8nAt)ty50NaKtM=&!bspj&O6>xk5{?n++-(iC_cX{>2|-6N6vI#g-qtyN7jF z5#BP8G8Ww&i7kn(4wHAstSk#FQdE}aIV;+Ws){TCawlDv^|?UY;dI%-s85U?=CW`c|18D~M2a1~+O zrK1YkxnL(#jJdWiw#1KOLHN$8q85+VP?|QwhQkSH zc#M&CWkngVF{&}d-hYWy-()>IDNBmk0hM4+~y)&zzeuMvgZxd+bQpB{R zm3DM`XmK`rTPJZBy^mqf7R-fsh%EdP{l?Ia_Mxq2 z$pe*ejix^4gP1q=aW4zy(i(($3K3%eY+8v^H(<;+h`Y9h8)Q(>bHPgIev(QQqhm*! z^n}9m$TrFTSb7N%bJIyz4R8M2f$WqzH|FfDerNKu=-#yCC#R))4@Uj5&Z_7FI*v## zcHvyWw)RWT$?;x_cqJ`6Sw?j=QTpRe;7nV@tMqK#J7FpVpH8=i|B!QMpTfF^_^u?v zbouSwK0V>e-a25^RaV7rz|j1W%$m5)Cx@ty+|S5Q-N54q^<&Nq!mpm`hueXn@L$Ea zbj$m~F#OU>JVnBwFY9~X29~NS754q?r7)6_&cy!s1ma5)FEpjHBu%n@qqnCMmcRjc z!}-470FiWC`3C`DZD1dFk=$(^npsGmV*kc8%Nv8eIUd#7021VOIjjyj`bnwfjw=+C z?BB=6QX|_KwRR0Hd6Z{kPR75bpqQ<5ruXQ`R3h=h+j-?uF-9- z<-QGM0g9qetj_`w(gcER2LijTmaSdOh+jZ9*JC!*C%y#GYZqFLE~5Jkb43 z@wG#7Z!3pKub*cA!0{!YNKNpW%5#{P(YkP~dHIp&ZS!C6--FJhu1ULtXHvanp}dzF zv_=v)Zr;uUcE_wDUG+JxKOG*OBeuxW!i9)7ng-sHzD%hNHr%;%1vz(R)v}ma`k;Hy z#fjVug-0m&z5I581c_lF* z?iOI&+JnWr6O(rq!zOr#{aOls7DTl9V#gfCWuD*f_Ml^PO%AuRZ2x06$Li<(GM1L2 zeZFwmJV^bRi0;=pd{c7V5N&QT}7JUJlq$Sk%!zY zzactZ1J*XlQp>l3E>3vQUIZ^Tj?j4Jj%DE{qHG6tUQp?7S$)&$mlx86I$$4FI;Ujy zKpGk#9m*w*l_h|#W?NxfMH#<}ipt8u)U;X}khM+|VEn{v;=|KV&=MASSg_L^))qMR zZnOjgH+`J6w?TB=Xu}zQ`@AHrddNw*x>)0%I2j402N$;D)QFgE&gD>zRKiC54b_#>oVx*;Ny`e&T6vX=zovx7tr{ z)p7VcA>R4^eRqPRGNH-_x@7LM)-bbEoK4J>CV8t&mVuLF`Lq%b>x75_E?rv8M6*B+ zg)L72?Kgh7_?0xJXmR6T;R*tKh!r(A>?j5XCOTF}hSNHg-reSNj%h1Rfq%M!h*~y{ zr>ZMNWThcmGGTUr&(v@^u)^tME(U^diSi479TNSd&sL*jeltW3w{TYxmmCD7f zk&pFx$Yz5b#uOsWM*-|#%a7;Yt8Vk}yQk$IHp8Qunw=IQdV-%Ig{}rlZ1{yo4Lk(s z1ygxWjqqCb%bPD7SZRd#oF;wU#`%W(^j?A28<^y1@;R1!0!g|hsQ>!{_eLD4P>Ej3 zQFeSehIC8)T-bKunG^*x9KUzj-&rMN1j5O&>bO4#4Udl;XoR^73K*74i8<9eL2I)j zz$L%%Xs5I?1W zDc$1S{FOtplI$L3s&0aL*a0lAxHz+O$`h_ZAXSoqJk?sCVkw6`H2~TbTc`x!u<;vv zcu`1K$w*HiOQ)2I|KcraF>!e<&8!8g5vaRJTeQw}!F@8;nGSU7IG(KHx{z8@ccRpb zRX5JP&(%9}lj8RLxF%!)aRZ}E6Mz??YZ*x8I6~QBg!4i_Fg!??ZW5D-GEEF$is54pM8XNk2ZZi_nITd>y>Q! zpdIeLkJq!>^tnc8w}_Jnwh}u|TI~!LXsWjQs{1)1gFw-_EGW$Mhp=#_b#b5!rKh!C zjJcCmxo)+v7Vg-!kE#uVsoP;);krA1vc{KUKE-~m=%n6U{A&MRHL3Ase$izmv*utSyz~omX86f!qq|4d#Oxm5PNI6oPMTd- zWqrQ`ti^cDH=J-F9A%HnR^>I$z>3f*ffC;2@_g!l+jvT zO(hb=u=-+W<1vdJ{_~3+(BtY5$!5}QefhR{zX_Ye!0=3ney|AN+Ej4~q!_K@TmcJI z%NypPR~#Fa6RJqEQj8uO+&CXwMAL<<(K59Q`;Xs6XySE*jR1e>ixR z;FAC&8hmVFPP1`&Mm9G=o!Y;l2uskBY|(GCjag(xw_NJ4xt3B-*c|cOEN4#}8*$uE zLafv4;ZKQMB=%b@k7?6<*v5n26xI`cV-uX$2xpuz&g-=a*DKIMmjFu9F|jI}#QvN0 z1bDfwfL|Sq^ft}qSRg$8=2kg*rxp0c*2R=!O!y zAm##fa1TyOF9(m{7VDW&rW5O4(P4ml_<33jFa19Cj{Z}n&uuiBC(G%aCB;|yKJ`U> zT{5f9{H#=LON=RTJfg~<5%v!q#~~kTfYs)%s6RIiK04tnYkgERHSwvXH@CiF5a6LI zlYS@@GF`G{0otex+g>p&%{de;D>D(dd*3n$Xk4o2wT=}W>-H_hUoLqLkK?t9sdc;D z#{)|mifK7g*O0qa<(kV%etqunyXSkpj$%^=WV$83pk zBr0UOhaV|ddV0yQRBy*|h+;W>+XLk`WWp}5B*4S@T{1IG(Y1f{;@=1q#lfu@MCtMz z>Bx2T=Eu9VNgJG!4Bj(PpPO{lCOt?0fdx>TH#Arpr`)DjWEl>Gj18^Rdu!m~@q`w& zg!PW6_%tRL5iZc%hKh6fGH`geO=yQh)yA!CdrQbvWhb&ZLQCDoIc$OM8&s{)8(IyicDhtHI#RTB>mBm;eE{WD)FYh}z$LP?r#ram^-Z zN%y+zV`u{xUdDvCyX&)P19enOZmC(0iLAnAmv^I@%7LX){tM{0bn$j=ph_vj+n=2Z zjg^kIb;WG$!KeZfIw>Ds83>#s>-`v8<8xyPn?c4EOAeCk~%0 zKG@h{^4xwNV_-QZu{oxUeB0_i-N;8dd$WgXSgCaj?{%9Z@hohl?RJlE%;k5V5a`bH zEzjrFbf|VmoNmmOj$e8A1*Y{dmjq1w=b#GJFUhC^!NeY&+dThK-74+afsXAb6Biu$ zr&zIz3_fh{D8Zesy^{KI{OI>a7c zt0*7RIj$KmLrt(Ws+R3;IX#w-eOJ=6b#H}Qs<*H7s4#iZ!j1NISojOH zi&<2v?onX|%Ak7=zgniX7XjIB7~Rxb(%(P60^m2N?R1KZ4lI-|zi?fa|Lw7%@T?YJ z<#L^GOV_aaUltcYO@~^H?rLVSEf-_VxCk{@h3oRPE!3JfBR|IFOfC0$8alcSMPMUi zZ0Ew0+>}@2Kymhvkb>0%FP62ZDYTXTTsE!Us)jA|xI?_)Y>_2?rs#?U%JfWDTxaA> zy8sCh;=LlE4p@9tY*R0jUz_3n?VjLQEe!Wqt$}@Uuv{{DUOEkpsX|G0JK$eTQ4_%a zP)nVg4aZAY4I)htsNQ+BTb>4Z%zE3(9YWfRA|IbzDtdD3MxRYWV>d1**m({(+Psf%b5Ka z!k1!;pK}K(iwKStR9ke2&@g|}Rm8YNq^s||`2C9=KH~#E^z6q@3=is1x&K+U zpb1Firn3Fp1Mfjsp+$VPO(W)#^gs3>?0%1E1y=aU_w1dfoMJG(K@_?8twrUN1EIw3Gn*5;nR&A8Bjxc4tRfjp+G%{-HKvi^&GF zX>mQPBYwT}&`;v+;;_vnSCav~9dp}BSM0$}Pm;7ia7k4R&yh6U=dI>?=D__pl*3f( zkfTLz9BB=Nd53bVC2=G@=Z+T?DEfx9qJG0W%m%3glE#;RZor0eob-Bx?L2mROU@$u z)dDoO+s*mxyRbd;!7KXh$_L5bp)ZAo=hImTRwdscNB5}bIA`N3Gr+_2!xV6LIaj$T z^4c+ubD6{O>4FZF07bS9{hXmY);&<&D7!@#fbj2Z>3DXR&ql6%eKN|ZELxT0nu)@{ zdG7m&q|yOnZ~c3?-Jr1~_^Wtr&{4AASnkNGL2cquve8&!N%~=9#8L8hC`Tr*Jphu6 zF`GJ3+`0WwZiT`bXOlA3mUfi9c^qHW7Qb~T&{$sN$rGV4{{`l#sodhyK)u%$<*H{0 z?#5;<$?tQzH}o|UT8{#k_@VFobcm;{sM0BxX;S=5dHNVr^9)(De0v#wXVK~lDB!LBOxXIR;lsHq5=rYZHRoA0lW3eNAi7vyZj(^%Wh%KeGx#U8O6<|Z7z!r| z>+)7AP%Ki21mc`aNIcf?rntFWgQ@v(l@d|&Zc9XTR0l73*{{`TYXr6zw(>J;t)y7u zSH0w*B?bzCTu}gVyfgCvhQ-a1-&DR4=cBeWT#Elxe)-6!62^YHaWCE?q3g82r0P25ud^sbDs%C@8b!$@k zu&T$VCTtP3{(2{@&r$KLq;lRdXNFc-ZAbtU)frB=MncE4{Ot6MWGI}?8)Fkv23Q{X9rOmvXO+keRBu{{G6 zjd(RYkjgfU*ufyK6qXDsC%u?l35XEDeLRMj(9|$tSjpC{T44nWe2<+PJEZel-ASrH zQP1Ois@ipV$^*8jF^qZ5?|-F;;JtT`d3vf?P+&j7;&w3|Aor;&p_|(EYwo@SM2pw0 z+FQ7yP0Q=Zj+)Mz&fNKOVoZ9-ER>rRd{^@KW>}??*gr$G2is}<(!qSoa|bxn3G^J+ zZ!piMm@d8fRyG3zWI&+A`z|PomI2M$deWEL2!P`^=1T#29Za8X1p?wP`DorYDqBz3 zlppim$O5t&z@MNxv8soc_!Hj9B`p}VPr3J}m5mm>gg2447Vdz^6=9Ua*Tl{yZoJ(4 zf$y8A2~weNR+7t2EL=!*)L%>LpBPp@4`{rReQ+rkw;X*Tr?lVG z&J_>x5ZxS4kVF_65M9jY=R{mYUy+n=ZWWuGRPbr!iSAjgB@w=&7HCoMtLAzCZRfr4 zwefKtYIV{o;uP=Q=FJqv$*Kgww$x#P-{L7S)M==|e{oz+TIBXM1KCobfu4El^hE@? zhafhl-&eJh$pB;2x*_os@Td5(+anwI+1~~*ptr^t1m-@n;O^q4&eUuMi^axf|V$AQ<3<3_A?K`8sF`v8A>(k?>wR+}eDi9;5zzn>M2j!=(f-?l>aV}tdK0wP>cUlC zRM3*p0PRj{?E@W*sfrpmL&-yt2kdbEqP1$G|49Zyyd2(QZfSm-JQRVTC}>d_l|H4o zPO6DQBJmAw_&3pJQyHWzwoqUARo~R6Xi{gth7>u+5O5RMGpjPZ3?t*%N71OJxAZ8i z*w>#etaC^_dc%j}Qg~$l7KNIm8>lmT*8I9mXYJlPii=U^(EiK`IjNb&V=)shd`89U zwlAthOFM{8FoIOC8Q3>?; z9I()rtp5}MOfjZRRZFt)+QO*^Mg-c)i(T4!cGpK#VU06|O*{qwmyBpWEAV*jLAyf( z?bMq#?i&SJ}dOTbsmGfQ$t?jANZihy}^oTP1bnJQGnDr*D@f!4bfTa z2n2NT9c&0cIRTl1CxMC0d!EhZpd2wSYwru>r8#s%J9%ev@0){W^$7LZm{;_Gs(ypn zO45Q5q5&_m7uXue^ABt?TiuB+FYniEs1ue*VOPc5lkZ51GpVH^Eprk0YCN>}0l=f6 z%0@LU!N1Tm@&(uhSKq@xizbVdevNW-%_ZRG`UKIB%lU#A-=HOxlR<_JDT?qmcm%Pt zO`~y;b%F}snFvKhu-{ZOHqY@TzU1zh=h5MyV6yg`D6R{9Fs(^Fdm19V>7%-o`lZXYL|Y}lh?eL)9ZHj-Y)EeAJ(?!pL~Jm z8YC|gooy(WL$`OJuw%a?-q)y=>Nn=elc<+con4n6U;ppAg?*%t8q52^EBpGO9B=gf zi%5bxv=pTFQu(9{*yFG4blTOGC+pV&EBh7i`H74*Al%KSuZ)?(BphdF%&O)dB{G3? zujAH5%`3&5EAU3s@tO)nEqFG7154I=^&VUdK7rb9<0#8JeRP2fr@{tSol$d{2dqCn zyb*GyldkALU0p^ytDrN`rIzY4cw!v@DnCX{J>mZR(47<4-JSZKvl(J(sq5FlZxK~C z25aks-Z|9XVbpJOkz6jVrKKey#llnO z_{EwuZqWTnW%<@RyZvRqzIe_)C_lZ6MA4C1 zc@ZlSS?Or{bA9$LSa>Al5i>Kf>}^FyzA7q7R4}>LJa0x0_a6`sD6ot zUh9^>9h)XkhIF?YE3$9ND!ckFM&toMJwn@+N&qvf5cRggXg$r9>9qwnId9C|X%+*K z?T_2$(Z00Vq_aeqFfkD(P0x0JQF z6@Bpb^~u~o;KwR=!RQ)>^?iw@Z(qOd**lIzvP=7_zpmzlob^c}TY8zkee)(D+%pz` z_%j&vV8wY6L<7iu2ZZ_R?%+U5p!^Pw^tH60#fAS;_#ODUDLLJ@c`;cQNyC@?MsZ z^A>7tP3Fernit0Y?N<9li>X&<#49vd|E>pYGw2C~HMsBGf^+Y!aHaY#U0sZ0f ztn5qpMk?$!5L}qWwp$7ifQeNnB?WF>Qs>t|j-Al#yGylxYuDKoe%B1j1EAY-x%>>Z z1?6%%!cx#}GyJ^*d5p{u(|jel(so7?tI?M9ySzTJ`O;X~?NsfQZ2h(?qC z06Y2X;JtKVTaqnZv2-QZ-oFFf0GyW=XL-=(BEm=G8nA^wR)eXIo9!3oL%Xt4G*~+< zgz|}64PBjFtrr$I@cfx1Af;j)s}|GR$Bej70i~Kbv*0GeYEc~bmM57e*fpGG3=Ufu zqSfCTpRYmncem)y1On6(?utx<;okmdMrWa3fYq;^Nu#A&3^N2t@HxYAdbo zH!MhL-=cXDeoTMZE``A`I*7Dpt~?*MESjAD6L|M$qfIXD#7xS{xU6=!7wV0YaDihk#6?63UB=;inK79qOx&-)6E zUw=EP8_)l*&dxj>%D?aXmM|FmHug1JvS!FcA^Vo4Qc6xjF$gt{kZp`CV^1VY2@yF( zg9y!tWN+cr*a>B9*|T&{*L_{ras005_uTh$Jpavc%rW!F`+U7W@6Y!deZBwu&FsFU)RC+2Zrf%Xd7po2tDHa(|59{n*!Ntuofr=F zm1Xjvw7%qvLi?UvXr+vzkC0?WajO3L^^Hu$AC)%_;epze4+)1*1}Y!FwwJX3ddR%9 z#O;+m(nhYx8ZSgttGEm1&*^W+3J(7+-b^!*d{5wJp3nTWl+p<>l-Kx_2edKU=!gfT@2C8f`{q%hk7aK3-lK9R1q8_quz} z+UC}+^TbTw=P&%QUbjl*f628A5xZ$OyY8280mQMZCHUl-orDM!dg_3m@Aeg^q9>@x z>mVw#;X|X(8_{sa{y?PL_NL4EluGeS8f{O*a|4l03=Vo?B-$fyQU6N@^}mR(>c)tJ z4>WdpUjk8IckV!KH5-AkZ`1DUa8=od?z>3m#gyxgF<5APSS@=u*t_I~g|WD5#LAYr zw%q$AnC{qEp3j7;!Y{vJr-U+3^1L^yj^tY7pR7y0!%T5uru@u{xjY&Yu#`U#mK*qM zsDH+LQS5nQdGI}nwe65gOAEk>MV}OXfh)Z! zf_1HF|=^6kfY)8@MsA?&}p6x0tsI zl)E_#_Iy$PQP>zI5`f{aiObgV{zY&af08})u*W20#r&3;OHk3)!|(R^Es7%xwJdS5 z%!*nzL~*>5o&Lcz&nk+IHbwEJ&JU#w+5LO(y_#Qm!-?9w@cYv#wRC9k{l^$s~b zvR1@waggYw`kcaZ4W19@-%sAN5B;>d-uhw`TYdaf{nwUu!d=n+xk&b!yu2^53qi5@ z2H5$A=M8V>^?$;Ps(P0OH!t0KTob9~vYvTm=8Q_Y+h7hM=?U%Z6GCv`qW?N-WPTwk z*?2+Qx5MA1d6QTT2v#)rJS&QLb^N#D5Bbm^zSOcRZO7?^gH~@7KRjyI+G7gY(iD{hdy5 z@E`NiQ`FQrRbJlAAldfBF8ItOT*>;AqL}T|8(I7%KK#uO%_qf_tOpdsj;4`99I`9y zxAhbeGKX$ok&3qviRbH-lP4+F>wNxfz9*N{CYN5n(sd(hEW_Ge)8f&P`QEXdHvV+o z(zNaItGtdDQx&FDeNsSj_w}bYOp9(9ZCiHpiOn$3~)FEL=%UfK6rKq`bp5xma0|*tkfBuQ_G$kDN%OYZgC}K6 zkJkApUUu`TRMRPqPPvB`Q-!APcQoS#({&5eu$MIBIn$x4v}}6bS<8!ZvEd@I3O)kc zJ=OEwyh_wBicSisB>HryC=Kf%Bb${<@+Xk2HJ>02USy@WsS?^U3>gmgw2#f-ljeoW zcORt`kQY1#qW{m5y>kL%GB-){uV|E$iLv68<)kuZ@A>^@))-kcZfme_N;busvmPW0)p zp-46@^T|w8pxB;%!#g@U`OSwgSm1hYWHJ*(XQx57Y;0Q%D4}X`oLhH!lpOx~z3F(- z9Jp@l6V~$eStQFzcK(}Gm#93sUq#yga#v^owY%G@|MhThKozsz*g9hsW8c=?#O3f< z-m&76%TqUz4LPeKI=_5rD-v3}$*h-eOUknyc31uN(EHJE*DK5folL`Nf@eSEK>K*# zaJr|E{()2Z#DIUJQHYgr62vCrO1`9g_0=o3*7{cLbJ^d1$$NE-l8cGUh$kY0xAm&) z_!?ag*6sRZ0`o(Ksuwg3v$iEV?$WN8zHQdB6vmNCr}trQ`IdVN7qoKT^IPqfD}8~kGgI<3~m^vZ%ddR(0Td^l}g@pk9Z&drYnAbvJE|2Hl@Hv;7^+S1_| zm;uKYp?@dIMzmyX6fk0#6Z*{zPPO_NK8 zv2G$h$(~|3a90*w%Hv{7KN=LbA=?>=IN3HJ$%M){E#Q@6psT_enX}?t!|Kfc4@ zVbEGpe`sjj5g|rx+g~N{y=y*G-kaNNvHQEPeDT`-lkqoVW6adh5}MeoGd^RJ%ij}IZQX{V`EdZNAQ zMn5yR-{02~-x;DCZ(f;045vzAoL5yUjlg|Q4&_s4RkRBu8xUXZ#GKx1#7~f34-)bN zi-sBo7Dnee{MStjb3ItZBhG!AX;$V5y?AI>RblfvUrpb2mEWvj#^>QOx7`qq-$U_1 z1-x$$IKW9Y)v-XGkJaQM@m9%hUgsCrT9OTTILF)!#r7w?^vXS!>{)KKsYt7wV%=N$ zrbU;{cwSxO@Rdze^~r^{12K@V-r&P}U*iDR)0g6e2`i76!cmG8R8+DMFj={>xB`wz zjcEIC+)b02pQ!QKuAg^XYznzGV%kjjCgIt=HJbdb&VRjyLHy`~3Y=fhqss8~aLQy# zhtAxEy?Z;Dk%5zNjNWO0&r{;$M3QE>Dpx_V~+lZM{4wzMXDbE;T;-sj63fo*VV+!UNr|xOl%UUj-dTQ6Jy+`$i*5MtLlj zT3=TA1MqHkN&AMHylYlYVJT;KcE=VZU#zwG z>_1X2$Md3bd57u9K0P*Ex%n$!-DKI<^6#|-u8SV;%)}(m|5hOGpCJ+qiJ!rkH*j}oh>ze#> zv32sqNx3c+Vz^{2Xi5HPAQNs%G#2 z2VCFm2$x|J5nfK|;w#-!s~UfvoHg_z=w|C!k~MlIR9v!ekjk}ouV>`$goSHZMQCMA zEbz!7c_3?!OVx+DDFI#5mX&z?!5Ox-`QXHX1uRMYimHA)|173kOMi2v2ZMc};I7^6 zO_;SG&mfpBjE?a5#_e2FvrE9eF+)#0^mRIJ`TOTKIZVY1l_fe&u80!im|ht9w)#CI z36q^-QZR*Z@_y7zE*74hn(9l_CqRnFO0l|L-=0mrV;PDRWr06&?dVXFwlXHvo+noG z2Pd3S9a|Z(KX&!H;)5CV4h!ExVUm>2AXxON=tS~4$`=7@tCjaOr2Mt%Gk;y z$;yW5wV>nSrf-6j0ph2^BSPP%?0ZGDOEeP>j-}JCpS!vw`|SKeZFeS)>6ZF@iXdj& zRrN&d!L{5N{-wgyVrg|xt z(?kQ-up@Yf|7&38@Tz$?-WNN(bm;ozjGe5f+DN%{WPwaSeVq&T2e|LsL{@nkXb~Bd zdG|e-dJP7%Ut&7-Z20E~Z^1_e)8cpQKeuk85%5Vrq{0L-Cy-y_h&)w}?8S>o0JLDJ z9`HLZ4}gx5dNt9?ZVFQ4!SGxL2-DiDh1PVddHa(co_qQZ-|uJWd~-++e>qZ>DaB8d z+{=fI1?FJ6AHW)%jRz!5D$$}IbHj>hAuw!UM#O7upgh@@0dJ_02q?gEd%$U24*+S9 zG~p;qXIXMGH?jbTV|8f-jd9vqR4G6lPT@kheo@gxOBNr|K&t_aaEdX+i(iySE0Hlg z$Tlz!M^Hzz0M8M`JkTER$4EtFb|A<^hu62@6eH*k-j5lL6k9gM`#rsxiy%gUJ#ZI0 zNDyBsi#|ebhf!!d>_`}OG!D#zi^`yd$XUF^T)+xO=>mD(f+wI&jS6$M?Q&9|jM6f}y&=GF+Yq#83JoA|Ql7vZ_~gMIz}hEga=j8vUc=zrYy~6!A<*RZ zVX}JI4r=eLwkCYsGleG7Wa2)LORM@`8e`i-Vf@MMNN0BPN{CsT9s+L{A#?63WI(rh z>i0Q!$kkG(71YNa1t5P?mL^&VFoshsAQ&0LO-!JTbc%XHNK!k3cps!8$AH*mUl1nd zeDrgJH;a+1H@9`9+sj3Cc)V;%uDtf;r(1zg@$ z$eLuUfo29ug^}Xm2KTf#0!jGzcS4@lEr=y=X2s)d+##lHY5IDfzqmINNV22(*HR}B zC}quk3p(O@u0j^1m9Vf8cij{@G?JXmhl~R{U|s4|Mc`lDF~d_lXyn=JEq~P)4o(FH zvzGn?J~v(YOC)eK)Bo@ND~nD7Tv%QDz#iPc6$Jjyxc@79`|t%RDjoSE4D}xLB5`V< z>47+5+AOXt+*gjONY=!OdqZfFtvXr|P!L9DfR6B7L+Co*Mu7?^m+)Y+07q6-AJ~bz z>;}n^C#N5>%&h13hq*IgK+;?!mX8{EM80gxVvr~!}Y5T#5l!d*!ae0K;=veiQC0e{c} zYv8$-&~dztH1z~oo(~fRWWaK_&krK$@dkNqAo~raA>UjCCLdISqs$;DeBNQS2)UOV z`7f#>AKQ6rP!GAyfBK`?$Z2I;l<@DVgVM|f*W+V zwZGH^zgTqhFn;kcIuZzlxzK>Z9rc7%Nq7V?1LVOk(!s;^K7f9-fA63fvwi_Vj0HE~ z6l;hX?g(T;c!I<0KLSFWcmg*u12D!dxBhlB*=+hRH%3lhDQP;T7#?u*(FMC0} zmUaJA9?%M#6!l+a4JYpDjQ`I(_&?i`KY1Xm{wTPy6|~6oml?T4*VyDK17sjD^h@_w z+a2I2JBS~jCyQ1l_wphMfH&;l9&Oz;e$-2=WR^OKz;-a}wQ)3FTmj8VPUgu1AbXOC zFa`(iz){u^172LFA_rh$^#u?ISEaa6^!~R*CT^aCxopj{QGp`TBDK?M;ev+%L zaEzP0QWwN63P7BsKN12(aEd8}Ag_3rp9!GFDIM)Mckq(|dBAH9IOQ}%>qz9#d}Jgy zF$Y*+rI5f|G+662(Mccwq9c6;<2ptY4O6FfVKmT6fw%t&;wi`#e;-Ea0A+w(;Xy-) z9{-9PlM3`iKIZyU>Wcr;nf!lH2=ioFTK#7@Krw-OIlvt2Uz@tWf++PLfv_fw$p)F= zsMF3`WKP~e63B?VrH(!c(2Ofv(FVT3anSe!tPsRpP#V7|hsFUYn9DnG5l7HOivk{S ziY26n_mf8JlaYMHC?FR`c@GkBe(n%+E2j-kh>4FVG;8tv;p2=v2H#7~w+_R24KGP)cC^6gT0*0g=z`fQdRH5;%OM<|T~P`i#Of?nt&&p@I()m2m8eTrZs}T7 z6nk-0n6G9%)K>LlQxUyn5cxRvieT%T$Mx9hUWgnaA)jayc-^L>BCuh3X6nMF)oh_- zTb1dJ%WcqpmqYf<>y%ob2a|OF#$B$3KMI&kB9gZFF5Y`s^G#{JYGXpFJK(`lv(C3Y zX}z!BhPkq)d=Ut#Agl72=gqfG8X zeL?Hkt}995WChTW@a3715EVD?-n8RrWyt*i$8uY(KXNG6MC{<3zF=_Ox#Z_M9y=Uct;F{1tw|Lt!(-VJxEBa?0_Q5_j9-$3wr9MW&qnbqpSAaBwLO zIxIi)aGdybcvWQfiY3ynFkG2sO=ECNXm7*ZE$>EW&Li$g;oJ5R zN378@Db;7y~z;cF$;9c6s!yxKY2Z3unVlsaxb87B=+%R&x>C)UYrw(Dsl`*d;w$p#N9( zZG$`&mrU-O_6u9V#=~9v$*Yx=HyeJjs$pzW$9yE}=_h1_#^`1kZpgO2Qyr-RXY%OO zFq0#(lkx$<6kZ{Gq{`KH)zqfU`}FIV)+$}IVXmCP=|eUygXAt}{S$9$mi-UoEBTq1 zET3>I1J9Os^4a468G9Q}{+Wk8ub%m^kQ_km>$!b#cGr4BYXhY27B1(E{lJd9xhN?; z`hG`r)aK;}@eAp~pHJm_StPU*G(WVCqz|8Gs?*HTYPkAdI+QErs5c8B?cV}!C_5i# zWweVbF)t}Qq~NmG3+HhrRJ^;W@&*Na{DL)J*U01Ihut;=2<% zd447Y-1+purG@FU0mnytvhAOjh$?5sUAGW{wO@XIZ(nD9NcwY+${M~XX+q_@0 zx8YJV%Hlc7=TQx5%D1#bMb1_ER~!|fy~?293%;P$8L7>G(z*B~e-qp^$XU6Q!cjnN zx%WIf;R!=es=xD1IAy#cD|#s0{U$;~>`8j1Gma5VK1(KF;UXN+@pY=;g-4@X?+8W* z)Sb+}%jWZd*!Wlzu4HO0uo&(6i%*%EIW)XE>aFZ*GovU>cVl)(8i-kOxTB(RONX|X zx&Qt4wT434+548CYv0TI-TraltbL!ZEH0wnj~)JUVx@UIZBWjo$)bRQtX7L$XxUkP zcII%eYFy@fukNF~-X$xCn zChA4QMg`N3Bdcdjua4l;ZyYwt|C;1Fz&X`Fne&EZ6H#DyP8NB!LO{D_JxNb)$zA{p3-OEil9Ab2lm0XMPCJOuj|kjA4k1M`lFZ?}jIRHM4m~ zoF36IYM+y^1JatYExnTr-Cke4Av^Ai*r5 zChFH^854T;CimY>YSzodF`J)#uHShCl$6{0wv;skp0e#6Y>Dy}Ca<#@zPQcT>m%cRcDz3E zzG(rEQ%~Wdk9A~Eg^1wApPWwdiOeYkH*VLHA16j8aL#M>_4Yt>}Q zqEWrB&hgVdxkE>tI*;$igdl8c`FGWo&lO5LTb`OUJ(4#=C|T_SXMV|llS}<5cwSNg z7|*{R&J@a$Z^WKGYQM{dyYv^~0 zi-{840gCGm%mL%}VFXQM;Vkb6p3IrZqsW2E{Nl1B!nW@o@UBO%J#YPFQr+<7?sYmr U2hAfg!V}ipOtgWoKNbAH0Q4Zowg3PC literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.kpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..e7a7f6734f62d023fbcf4a1ca1d005d5e1e614f1 GIT binary patch literal 492 zcmVuG0001ZoYk0JPlGTNhVS<)n*M;5vJbWdxG;KSO#Di`F=j#Ow3$FH z#cT;b-U1tA4owRfN3x6UoYRNXcYO~8d&R`Ue+Ho!5C`6oR~ie#&q)vs1CK$Fr9TOe zX%spT4>1HEiN{3}@1wUx0NijI5b#ihfoTk7IXFw`yALeW02m|rF%9|k{t{eKLBNGi zg{+0{004VMHVYQfP{fOv2p~30HpI!2a1y={2;L~+GQ%8*@&(l;fcmmKSS~7du`QE@ z+3s8##sc}C@U9c_IC-*B9xX_yMAf2A9rQ>GJ<>rNTIjJ3+R{Q#bkJA}J=H-^wa}Ih zdaQ+>>7dQu(3PLL6Mi;_;9eLP4yw2#g$*dnhzGdRv8Xy zvjdA&rbF87#A224kT&x$R+$f}(wRMGs|<*=*;vCW6Czczaji>ALwK3B3aixk;;^jj zV8v>0U%ULaLU{7_M?;^i9cWaNdHQg8Gajus(3P#S3Iy#a<%Lmk<@!ddCf9Cde+V1( zVxu~#{#w833F&9{P``FPcdMV#L;c!$rd$0cJ=CvV&)w>Wd#ImvLi)9v#s8yUyZ^jX i{pLNCZ+1HJ;dYNwb^3jeZ66-9h1uH_ckl%S-ymFihw6v` literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.logdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.qmsg b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.qmsg new file mode 100644 index 0000000..8864fa9 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.qmsg @@ -0,0 +1,13 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679476766975 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679476766976 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 22 09:19:26 2023 " "Processing started: Wed Mar 22 09:19:26 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679476766976 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679476766976 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RegisterDemo -c RegisterDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off RegisterDemo -c RegisterDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679476766976 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1679476767318 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1679476767318 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Register8.vhd 2 1 " "Found 2 design units, including 1 entities, in source file Register8.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 Register8-Behavioral " "Found design unit 1: Register8-Behavioral" { } { { "Register8.vhd" "" { Text "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd" 14 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1679476777398 ""} { "Info" "ISGN_ENTITY_NAME" "1 Register8 " "Found entity 1: Register8" { } { { "Register8.vhd" "" { Text "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd" 4 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1679476777398 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679476777398 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "RegisterDemo.bdf 1 1 " "Found 1 design units, including 1 entities, in source file RegisterDemo.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 RegisterDemo " "Found entity 1: RegisterDemo" { } { { "RegisterDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1679476777404 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679476777404 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RegisterDemo " "Elaborating entity \"RegisterDemo\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1679476777479 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Register8 Register8:inst " "Elaborating entity \"Register8\" for hierarchy \"Register8:inst\"" { } { { "RegisterDemo.bdf" "inst" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf" { { 184 408 600 296 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1679476777485 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1679476778374 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1679476779182 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1679476779182 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "26 " "Implemented 26 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1679476779225 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1679476779225 ""} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Implemented 8 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1679476779225 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1679476779225 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "429 " "Peak virtual memory: 429 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679476779234 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 22 09:19:39 2023 " "Processing ended: Wed Mar 22 09:19:39 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679476779234 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679476779234 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679476779234 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1679476779234 ""} diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.rdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..91f6e2271963670e247d4b1646c38b47e70b61cd GIT binary patch literal 1335 zcmV-71<3jo000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*E=+00000001Tg00000006N80000000000 z001`y00000004La>{eTk8#NT30R&>W2+HLNp(BvWR?23R^r78-3)^i~v{Zqtig-fK zjAzzp#vb{yWq9Hj^B3Sdb~ed&Q{WZED9$+ccaG2HJI8q=%d&SduAe;53d}pv|72xv ztiRrP`JLylVd(>mk1^iFfaqs<&9!I;rySj-<)HngEe;?AD0JpT==2YbdI@c&Ey(Fkxkfi_%>=duwk zJu_aF@>SmE`TX@PJ2m}aRdea-;Pf8NCbJ3AQ|)C-r^cdug;z$8Y2LOJVUDbH(q74G zT>NN)rQjr)`nJqhjw)1cqsbf7x)HUeQ6<$um#orJA#_Cs|L*sJ5nyaOvbGPRj0W&nSd*rc}bqiYilvPHLZ!kW(6`bm=F1A%E!+B-ak)!s=&PdMdn$ z)_7?<7Y#3su2c%d+R_yDMKX!bVV_25i)C23(yE@ZG;geweD)}+OCsL|geW*#Sk=jm z;1*hN91`^L;>nzzF7|-f|C9{T_pK_$s+EK{8&Qbuv6~o<=!No^h&@o9O2J^Ogs0(} z7wFrFOg!Hi=<5S0mH4t7`jpy~6GxrUp%$eN7O`FpMY5df03E!t_JsrQ5zGK9tooG` zqnx*D6}+)-OtfgFfbmw=pwBu1JI-yKVjICr8>v`HFBH>N_~O$0-klsB)qNwbDLPhM z9OKe-M-pTi7kQR_h!VRn9u?Qg&e(xgFzja8@s*e$z0%vgJB;G5w0EVDNT|7i`5kvc z_60C!-AYy!{QNewULi?v>-Z37k!&L=wt87@yoQtB#rgS5dlB##w=MD+)*^R-U52s* zqwCPpA^pH62urgWO^#2d$0zrXxpCZ2IzPqhCL^Cq>pEXQEs8wD;n2gRD#Y2$4@o4rOaJO6NJ9CDorq)tu-=*_(0a{`)WiIbDP&g&$;DDINO* zL^mBQL0M{&rk}5+9?1#?$gL(FgANvbTqk7b9RBx|&UiKEoegG7D>VLWy0-PB&Hior z?HIRZ=`E7)puU8%f6CJxv8|0;s>ba<0RRC1|9Ao7W?*CxU|?Wq`e>ue2&9>Sm>YAgfdziSNN6+3jf%Jp05Dg-@G0cGwRjxj+zOH^D91IFTDVdP` zqcT7mWG&2r5M{w3L7sl@@le&A3<*FLTuZdtVGe{D>h2io?ivhrAs5(C=A@S^Sb@sf tfEeT!P~bq7xj2S6!p!6bdzv#SH4dl->;h2CfE0(gMub331ONa4|Nq3`d6EDC literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.cdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..7fb04a3a279b886dc4f47c34ba7f9c85237144c0 GIT binary patch literal 2091 zcmeHI{ZrBh7{{8K=;cdObDGgC&BIhAP+Otqv{e?VMUeT@R17V#8KNMt)O4$qJe#kl zwk&T80+h`c6rF3VG6kI>i8`kYw7!S|nu;%stsnMJbkB32=X1|J_uO;OJue@FK%mD~ zW+nuI(oJ%}WHBjUWf6!O5Pv@(m=6qcghaTU3<>b}gTP?ECiV9-(U{|qNW!%gNO&^g znkm*<^FD>a{J%^f{pR=fUxaRb&vVSw(vuk%!grVtWAYpM z;G2D|_OZ6tm1Zs%-Pt$dV0+GtPFx#nJNEUIG&lMoi`CFDx4Kf_)rs}aC|R7s-V%8x zP3#2p&E2km7`s%#O<>9ZnOH1(ZUN|JE;7SH&m2lXS$(RQ6P6IKDu-(o6UI1wXg~$J zb1l4rxG|Nok)|CIi%7~GfTk$TrBk~!nz>+ldrw=BaoIqwn`bx!ZOtk=UG&C{>wf3z z^U!S@+b9%Xi#U>s@4FNMWWB2d z!|)GM2L%0v4(!D61YfKyAO^#)k&dR1q0d zKZL#F#z8VMwL+9~bG`bXx z7W<+O=92Q3#ewu7Ba(ALX2UTSw{(xz0p6+%)zwsXnQ@r3fo@>59-`9st_R&M0Vq}a z#{H?WrQ@x33Q_inFBqmTS-aMStyxn8f_)<@f!<&7>yS zlR{ja;H}l}!s?J<1*K@J5O(78ABQeG4fVNa+QEU2@)tE%z>{YsmwYPnsgWL$Tix2* zZ9QEdxN>G6HM|_x`spV^NpSk?rNYKpBXb{{w>i_|m@Jk>T=;fj=48xIhlV-0 zi|dK0&funuKBNcfm1X|l^Ni&pTr_2qFiq7Ga(-Oof&flDg-=8u%@>6q(N4dHE5kCu zP!3I~CEE(+ttcpAYWX`ud8VU6G{)7viMLk&RtS!{mzzh47Th94|G}ht99w6Y9=Or? E4@oaNOaK4? literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ce972202db1d38e2e0c1dd36dd56c4fec5111249 GIT binary patch literal 24961 zcmZ^}bzB_5lRgZ=-CY9-?ruSY%Mv`m;tq?uYanRw1b1g~TigQ#cemi~4)5lB_q%`Y zz1e-bYo4iUt(i~v)ZoLwz*M5aLQ@zRTj-AmnroRmyI4EeQ*&{!ak6nzOS)OxnNjm| zaZqz|vP1t|98ghRfm+Gh)tp+|%-R*IyCVblpCTso{*%E3p#N9?FAXyOFWD3J*bgm3 zLpJ#}5T~xBqBb&K(>)l6VAQN=uUm?!skn8oUunExPa-fm9+ob62pdTfS0nmE-v2wI z*jh)JWHh2HqHqZQ9m%WaZ+TIXk&&oxE~gn~LU&)A%1D*u)~QBMUhVx1Gkp$cN+IJd zkg_tH$L6v!TO&V4Q2;FPxz8G@DQevWINE%l`4&5^)Kdi)^TEea*nf*B6xiLs5O;e4 z$jI72qcn^HNy~>^Rk{$5*K_Gprad39L;i8p+-Zcx3*uT#K*q)c61J`iK zdCpkb?6s5Dz$|mcY!8g-BtsWdZ95z~51P@sfxZ8`$(>sZzR$%)O7>SBg5$!f4`S!& zjBR~lx~EE^yubEZXL{2VQN0lFhaCFe*O^_X_7=ZTTeDHI>kd=A(W2M_IntjWN#KLcL5#Qc%w9Tu(WwKpw%`04umzCMNyvp{2 zqj;NF+UGdM=a#e#W85g%<40)v5!((GT%Sd~-R|>X2nX;Un`fR+x1@#qc2LMeE{WI- z+9Gyvtks6@%oXWEtgdLWY0!rK_f>hI z<4V3bp)!}AO5hH6&ZGbug#h@FcJ0kHZGK{xzT3P1vM@xdJT;b$O25NobU0lWael?^ zXyQ<>8vc~T``x^i0;Q;ltRqqt`lp3ps;s1TST+?FMZvQsl-5|b9!~Js$%D;W1u9FAU6^13+f*sRnZEu)tcYGTW<&8+ zUn<%c0)~*=EgF!@5n#?g4&FZv!elO@&1~2Un7%E$uilbc)TSD{NaO<`_=Zj<7GY@) z$2_3Cbh*qJPONg#Axz5nZxWok(8=~xl-S|cWXE}UChqrILg1kJnCi} zA{rJh%DP$}Z|awN)HW`a_xAZ-C}wqmGN9CX zCjz1PD%Ys1kl1$WzD~`e;%56&ykCsqO~b^L1<^B>RBFL1v)(Iv)HEBDM%(6%DLerD z*UP_O%#<=>gcYjxj7LOf?QHSUpXoyVdv*=+##IWB-ylS3Nf7&IKS+{!dgd!5|1SNt z)I>nUCN9xcGwal#ov4r?7=5FyLyjVfOZvOHoJF&;`wS?E}3)1%}6#x?XK)XtETT6=GI{i(~^2?o15z| zOoOrqUKL2jXGIO8{9AU_?i@;VXTr4l8v3Q$|NIR9DpGu6%VOD1m4UZM1saPiVK>8r z(MM{Mog1|4*^8nbfv;yWpGdEG*%ZFJNj{`b{XofE#uJ1VLQj2NbPh%~WxqFu_| zGRpK?a}M}yNT%*ILaQ!bFhxmt0-F>L%8rZZ=iv-B=wR6~%D^8KneVx47Lxw;p_Q`H zoMwsmB{XV8#}}5A7W|3n#rjX@eE=!dC-M;#td>5bV@{k1Z4*^bol2lD>zeylug375 zQN<)Z=8o9r@Q*$7)-L7yupLANsMzxyP3LPikx1KvRhVtg1ZYOeI#$P}MR8s1$BHHr zqyZAFDfZZ8i=+hAcGV(;5||xt_^CBSWs;jw)0^6EfA&bb9+1|%h;ImGy7$Z8?8VKI5-Bq05t3FWcZ#*rf?EnL8<1$%}C zp{1oG>zJE9+Inxm2IA}R=#(C!c5DUE>1uzt!9(I#+19TpqRoPYwiOz8a=_JQDRoUo zIG(6>HkGY#11RqV_rkNbc^=H8Fpe+zTzceSFyMc5la>Y zMyj%xiK*#IHV z^cFvu3WF-oEyejt{i?b$em>(!34qJDTc^eMZuGaz@sicHGU8L1HiL8KYRki~?t!J5 zwI3DUc!ZA=~K6S=)LRRy;L-&+aBZ;=Aela z>9A&HHX88OcelpAXx5swlm#P2yZVoOi@281|1$1g0lmrRvfriL$eA$H)b8(;l+T|@ zgTMB16rqkU?N%UPI1$gamH@sxHyhwj0yye$)(cV{(=5auL}aF%7uUM;IDRfO?6H&g z`EHZ^N;j;gCi&p~tH4?7cE{lu_ttBAEhOmAfJYf)91?m1=(Fk5}0(*+QjHjF*%5!F9?0eSMoJEeC{7JL;L&C!J7i-7Vp zT^p<5s1f=mPY6!vH2MIR3ODg5fl;I54W5wrkei}@y6taqme};7SrR$-o`~^V+a#fp zP=XmsR6~i$e?(NAB?&u8h!R`+{Y4T-m_b?bb6yw{H8ohP3rkkkjoQ^9)U_IRV#PVDRi(W?GSZ)r@Ve zkAb2mChM<Hcdx{H4JHKRoL z-MF?W>T*c$r`?bFUNdFqJ#{a_4;$S=GfTuj+Ld6M&uT)B~Hvx zd{pna4TXB6Rum7+D&t)|SXaXjySN`J`!uOrzE8+JR`w2Eq zxN$blI2=~oE87ib9MhCpw5NOCYoj5_A@Jm;KbfrSL>4J;&R$iX6#pnd2cT9p-Jkx zBgX<7SYdcv^)s6?ju$bnh-M;7g&d-vVmwdm)>nmibnj#u5?4lPu6;nH*~Bk=`RPP| zs@R|Qi4nPxe=r3Y|0tlTkKCcCl)^p=2XeYN@ypNhh)(SB2p+j^SrRs`k~7(e~s&w z3-gIR z`k+AdET>-4q|_0)KNB&*MN&QE@k#TLB}mMUu!OUoJpeEqUL z`(3_d-cwkO&w#Vz;*f>3YV2<`mtcu~jo0=pE1yFfq<=R?yJ?~A;*c<%-(XW7XzuxSc7t=$W`hR<)LQamY&gzcW(b7?1bn z+nIu7e$A*Yt=)MSq|kc*SN6AG(BV%yVyf=6l1^+Nzd^qAHm@$v|4;Vdz1Ef#(?s8K zkp?YiDDQt~4k=X^DFt?ItQRr-BY77VUwPJ8q6X5`POviPqZGNau)bnHYf7^VbJXlEkcW9mHZ3;*kxV z#2Oyi^lssA?JrE-e$9soqXND}cdvnQTNcdn0(VD!$eqI}6y@uEEfQrx;UOAu{79vw zhF8-cCCa*C{eRdvW>6K_VBRI7^9i(4R?Kch+d#RZmnv!YLMyHoc)TsrKJ^G&Y}NN* ziO*rpi0=uYghoU;3X^APY@4NW?4beK&F_k65=)VKKQ%u2x){rhkWN%f=K#-}1R#HHRD=`U=3x*KIk>i?Su%dwF>9C)XxoL0-vHPxiLdSyJ-Vo z4dC^UqT%#I0#RpevA;lTmV?&JNw8%T%*3^Q9kwSxfn8x;tDr_gnRb-OHKy~TMG{_u zh(qJ^{tL#t4|2Q_anudnd2or{h?6)Knt0^#)*&_xLjs@w2g3rvw%CALBvi13IJ9US zf-SxRtWxZG>LN*fWz~L5@;7ozW_a8G?oTw|_QI%Bn?+;#HufyF_%lWG;9qpDA2!&O z5@iyu@TF-R5#s{xF65WhZUZ&x+Yvvie{Ca!p>oD{gg3NPGs{NlPJy=A>aWmFDXU&Y zhwvkjbQVe^Y)rQGdGU?&Qn5IoPY(DC}tT3$0Vd7WW@%wP8= z(d2rj8MP^i$^I*uDC~0XJv4TDjirE=aOR~AKQ6HjP?&-~z$^nuL}B{jjOqujz2vCI zbI2Y%5d{|qx%Wk(PsRC*3v@_KT=4;yh`+DMXnovzbsQ+}#PZy(IEOeiJBL`Lh?Nmd z&BLON49x(v!i2ni&T^?F_qinbI%w2+kqYOpXA?eZI{7j5_IFf_l`w)r6$)`EIG~_b zjHQe4I#*Qb!4K|qMyl;EQVB z@HZs9dd8)-eeF-OsWJWmuC9ywscN#R7@Y?Y(&I4xnUSl?W`|Y3%GdDFn)h?+{NYv3 zi};htoB&kV#M9$#YY0yORVl~9Jt*6%z@dI%9?MQ8{?8O0#0zDuhGaCXn~pvqOuPLN zJs~U3^2fceNXXy4ZITb-RO8erkDiF%Ck7SezAZ?55?x=QtE_KG`^=sq%BuP26^S`1 zzI+b(ae;?nG63K~CKdaF$2&nHCC_Haw8F5*wI53BsoI}XRKSLA1`NjAV)(xOp6_GZ zTtsgzALo)hOh?3DEfb>U2Y12G&e-(kI`NBFS$Sm$AKif2myE)Ffei>`G7DmWd`pLA6tp>)X?>})TpWme7vw~SZWHtE;}ha5UiK3Hr+NX z8GyWrLd}O^fg_IxKMkU0Og+JQA1GL@tz&|V;GZW!eg7S?W`o|QCkUw`+e$#3Vb2ON z;+_;^m%v{ggO1QYulr9Afaj;~hF{#UAl-b}JEf2X6b_7F`YxV`Ea-yO7fReiiFXW8 zA_q!@LRYZpFXXiaa`IeQr0v~(if2FT7KD|~H*7OaPw}-jA^7pk?Pg+HRq{oDc|vsd zctXUXV1NP}iu+xjkPRq)Ls7lUqpWnb5*Kb`U=S*XZ=68jClVq6_2+l}gWM7L(8<*B z6;ZA@4`Ka9E0m5A%kp7lUztB)))`KHW^*Y_?1~v`$-e0yez7%8-d4zHEL-->SrL?`bP0ojR%H?42s(l zf=ex5vkCk8nBiNj%nehESTnZVv}$A$beH#CrOv4FT4lKD!>wYico z)Y})FG)jJLytWq=4eNY5)5&Y@B3<`lZ|$}VHg2;6hUZQ z_ALvtys9ZJ&&Uukj1bytL=ypLbg|Fs&KRCHR5z_aBtCrsa99NI^qm*X9YVr)u(!XWUoEd0et>J2}pVQ7GN5m}w6qO(C*&q*V$8-PN?lK1x}F{C~l0uFo?<%_b> z876(bye5V@aSH~@&G(ghre0$xhb|op-+q>4J7C#-2W8MVM{EWIa&zbBE81>u^-{pV zmZhsp81nW=_sSsL9^vGh9g@~NDR`3)21wb5KjW-co836L`WX88pJK5QB`mv*JNkYi4Fx{;%E&OvulGh|p>qg+gU9fGue(E3=|meQUAh%$ z->EIN`X&1aChoC$iKy`V&k2IY9I<;Yq9x)%SN$x+Y!P3|=+!575K&PoExvY7vGu%o zvLfC+*)#(ipTDRApD+6TLW)(0j_e}s7ELqjcT;u38-1}n17nvd-J}>jG(G4${7oIp z6~5Sf{|vu8eaF@=rx@Rh^kt@ws0Iep*4-O;-p>>!6iuHZw$F0F6UbY6EL*-q;$mH* zVIzdlH~FU1R7`D=>r77S2VzBDFfQYq#EMS-WXbE;cn`wOz>RKR)xTc%m7GRG{SEhlOyjz0S>$!PvX*J&N-kIst57x{9jcb!OSQim+sD%n=bh z(NEQYy3H#X-}CzSZD;VWse6!f%q8L6&Ht?Rj)osw~9VuHg$90rptj$HzFPX)LzqZbb8qsRWOn=?A50Y7I!!)sp$;Cm|o@-i*H;p zE@_80do%p!ms`SX1~?TKRu!aemOQm-kA-!l7FfKJ$_>mGl|O-E%-lR0%RFsuHI2s7 z)2f+2c5U0x%Nhak?sBglcn+6 zmhjb*uCJ-`rnOt^2-W8~dEQP9ENyzDoaoPm1$jJ$eA>B-j2QghEBJw zyx8qymaTU~=1D;;n(~oyR8of5H^n?Hh0iRf=$jyhlvG{HJYVo~3MOww2^FP*D31?G z3L2YZUF@A)W{LT&PMs0wHPPKy-e<%M^O%t%TW&YSaN9i=3SEdviTlGu#?oTlp)DC1 zX?RYAeh2qaz#78g8b-za3}yLN?Bge2WRBcX5C4Xer9ISdN%4H4F4R?Y88QVw>?}^|m2>rf=sj~dW@j%{O z|B9WlIL}|@IXUIVtR2VJjzBSd^yDxVl&o8gy@qtEH=$MVhy{rUzjto!e+Sn7C&EU6MeGG*spI(*>{9?sZy@l{ z_g%~%pzDu8786~95BAL23W`KxqAd9@fklLxjdw#n@0VlRO!~}^vDNAU4`kP-dTd-> zCn5j65$4TsIKO}?d$`La!1e*VbybT|W6K@GMwF{z#~=1ib=^k};|XR@ z;ARg%=Y1l-%A|AfHhXGfOyB5FdT_a3B zWmos9;Dk;yfTzM)U}yAqB*=E_Uvxs`E* zukfpRrHh3Sua)3m&Q|tk5B8m4-6$qDv(0(LC`uM^zArp@Eggp4 zZLi$$x?mrwrsk4$GEb+k)ZK}W=xBTviRC@N)32c*O11ipJgAjg9k86#giNxaM~b#k^YZ zKWgzm>P<~zNk)PmOz&AXp|^_I>K+W4m z?EijTE80q8UcWm7yu*+i9)7j@2yFKQ%;v6=8DCJ?y0Q`K>6~Le`Q|`UTAm_NmgxVz89agiGP4k1NRBh zWL~evHgjPduCb^)L5a#KzjGy~V>0ITJ=9}@FyG1!xp-p$;ckBu{))6gHb0zG7?~ot zOFRLMwzqYpMdlh>+g?8XaE!>xE~tGIm{ElTsBC3j?wCQxWB)BVmXiPUjeTO$#}Cct z+?9eqIsjnvmR+>;A^@j?Cp`W8XnQ^J@bwqo(_R-J$iv;T_YL;tz{t9&15#cNsr+$L zehOkAt1_5ao7c)Lt*kt?J2)sCYfRN;sj7KFW$J33j#}-Pyy13P?O&6$h8Ziz#Lw!d z=<5v6=8~$raLikw z+x27d2HcI-O+69P)g>Wn1#-65`0XB2-Gjd9^4`ng3CMxfgu9pS4Av+&8nr@i!y?;R zg~^n$&EtELuwu)D{S>PjX={Q90YS9=n48ey?#?EffVL2Awws4cE+u?BLT$J!B15Zi zK6T6hA&IbQCRN+e+#o|>P&}y8_8k4&o1<0l{fL|U-mWDgne9nhocxF}Dkme*ft9t# zD>oWF8ui(ePxvS$O?+5yLZ?kAmEF8GC;P8rl&#^9CAg!c|k?>FrI<8&RDYUqgS~ zvnV=|Y))+g(5=VaXH(?O736$kWysPQO0TXJvqex8OiV5k6kN2@!LILh5_)@hVa?}N z1gSy(T-syiK1fkRjC^Aj1T<5#>Jp@b2;&qj4+yfG!O?M@Hz=(t(vJnHPCYRpV?Vp+ zz}T>>?6SX$gBfB`vK(Ir*!F(wP=m4vliV?49=P~lfT~NpK%zodH)yWM{-dtLRzlak z=Q&1KdvKJ*Oq_p2!UD2>*l+%mORg@PaHbI?Yu<$3c@(_Vh{4$4GDYtx8WeWBCA6H9 zv@|+J&kg0b!82O23ggH&t+A~@uI6l#q(%yNX_|wisc}?=R!qnzF1c!|d(tVlKdi&< zzV52J{C`j9|7a7*m1o0EwKW}iE8`5)W9&-*(y78C&aC+)ie0(zvCuYnN~rt`&Cns6 zV8%LjQsc>C&!GxqjJCXIpqZTywF|^+H!5+kPVllM3 z_xT^hctK~&zgd+@Of2Vk34>@j;x#<57EHtzbot#+nsU;-#d+w}=u5!?Z0QR6@a2T9 zME4B?Pt{n-t~kkfFh1(1kdt34MpZX0wwzHc3>UttE06je*yD3Ux#ciho_STOEZ4J` zjkl{j`*z-Zv`JIF;Esr9_D^rJcZFpeLxmn$;AwvHl^S?k+P)=+OmxY(M zXgHkI-2LHqReLQ_Yoz!1c*7^F_ERlFB=8;!_M8A_e=U z(cx3k2`yk5c`1J}`q`ZqMc)YiPp(Du&srO#KfflA68=XREelSkVRpp$Q(+SlrW%B* zWEB#;{r$|Mu$`gcg!pGmbOs+AB8Zc*gn7JxIy+u{Fu*KjzT1y}EMKETMfdex_Kx{4 z{J+VDj=0Qp2yP)`iNS4b^4c&X*=dW=YJL3J$%=tm^ z#mkYdiO?kTuit{2-Ew9K;Go5dB_m#qoOgB5W>idduoj#MF#ayvK1mI1B+lC)?=~u$ z1ktQ~p~q^x_{?O`$G*a|uvXdrvrPDUUzM-w0;TnvaeA6%1@zhQ%`m<8-aWh8cAZ($PF!Z0plV;2>Aiv+Z zLi&2VBDwT(=odBPZ>_q_msJR;Eh8`_#P^6furr{P>J%wCA)Q;ym|4S~agR9;8>X*9 zwpm7OLQkrwe>%-+bO~&l9fAokS6#V{43199z+ETCZ)z{0?!Qua&dO;%g-EVw`W{^J zdF34$Ozf^2%=?|s7|aOrSo13eSD`L|{N5Wz?rDWbenQ=XSVjj-E4rho=>V(?cdl9tOv=&uZ=OqwXx-Z(!cy{ zJie*IvJ$pTvM|3Rd$%-(Quy`3M^SuPFq@|wd^Hf*$dkaj> ztEy5oc7Jt4LTS1!5Mang3CqVV#@?*B0one8t*|CAa^xOJ#58HFtc+)dn40gHUSLnX{5>Wx&l zjKTmz-K1=>E%iBNgRR)Gg3;r=XR#ozEmJ?$E7ycuxe{qXQ-1yy^l%Af5bnnKF@-(pfhXGxw#~RH_q->aZ;0U*d@<&?_XVO@R=~xejL7fu zH&@#huxhY+BppFy)9UZi_2+q~2+NcnSiwi6)@WSLOIM)(k}m5HIKj4L)-?GTCFmCv zg?bJYR+3GI(6_KhO9Q{qH!OJl-YAJ0F?bmNWGM@cg@I_5QR|-4JIBL7Qp%`JB^9@D zY{#UwXtOP&RL;O= zzQsW`^vZEx8Hw1WnulP|c!=CFoA?Oq#kPCKHU}s(Us`bOow1rM+%nz*p!KZOD%_(( zRrqf|EVDx=vbhFH$$uy;vp43`*dsHvLH5VSeRcm7FAvFks*=xH?i@|&>HT}5DwUdF zpY{H!K-*0IuNuOS&%*yP37tJ_`Bzr!b>4Rkzlu-X{oAu7vsrgZKOl!95U5!C+@_nS!771nt994YiaBZDVtGRbDQv2!M)DB{X<@+_ZmJWeFJHDxg+h!YIzi|6bmA#Bq_AyO!AB z*!@V&M;%Jt)R8v8_f$#hu+=42y)~pNJWC@|IGkvq`%gQWU&!*Vf!w`;Q&s=Ef&phU zQx-Kfzk|41qNaLlDQ+0cL?fChOF)u!10BQ3>9Pukh#wvpSq};woY023Ly~;ep`*S3 zM?06BS<-+=5b~u^S$WVB>M*fP6bk+-p>0PcQ~ADP{E};a8u6B;*<=YGVp<2Pw2xQf z(1A?a(UDGjyZiEZnjc9%y(fLpx*L`t1b6ieo%z0rs_VD+&}MR@GrZVAJ7BY~U79PX zV3vgH6QDl zVk0 zqxjcT_0xzp6YwS5M6B%BvunxF64S&D;_JKT?;d;VGoZt)L!zfpppSkyZbv`;@zT!1 zYF*P~DDa8gCvh?Yu+TDHI-qxg=YHxoJlOOQ3T&ll^|}2hY7Fd5uQ;G$J$#7zf?ebU zx25C4^c1`cro1JdBn6kF@ny34giPv!%cFQJ!QN%t?of^w$_ZFg%+E@vsWeG7EK}%f z6IltZ@)i2f&(HQRGJ-miuI^f!!EBvJyY$Du0&n!SJuZ!8{`jIE^(G=6u6D2^d=+^; zJhfH6Q#lhz40BacSurGpZr_A5U9}WC$qSrRCCgpL0#G}oD0l3Q=!%6%V_H9Hdgg16 z(Hp={c_Im>9n0&*6{_it1)XAAw?ZJAkjLcrAYj5YuW8iv(7=yIwn^)T!qvObdbc5! z;#H=-wYzWiZYXDte*$TioI7Ux39O@X5maN`H{5?tHYa;fS%33v?6gdQh-zmf#J9ir&_Rg;EAo(0y}PwSq%V{OJ9+ zoO*lobVS@Qd+?#I;Yzf6&90@6`8U?E?=w?@yD`);buxdJ&XMMi$4rnHEe`hhgDQwS z6&!8j)9qE#o-8K%!@o|faJ4P-GwV4pb9+h*&2DIsj5xf1yS=tJe)9*PJCtAd>J8~9 zH2CVv?KjG=HgUSV7i{I#i%MD@_=E6O(Peg8`S9k-0m)nhWiq|VqiV)Hpy`1^sY%fV z7HO4uHolPUDRJ}+9*AyKO+;?I8eixd<=pSyS$~2qufSg}hI7pZm8+Qw7pZLhn+%uS zb&{u!vB=ty3UH7N*&PGkc%RgjghNMxi#13_Cy(FjTXMkX?L-A%Dm|1)DSPXu3F&uh z54jGX#7XJ*+Y9ZqAyT=`L!I~z@!Z#UAp)Y!<$aaKZ)%^|!xJ-&-ImW&4oA7}0;ntO z$u`x16d(OLpweG8V2mCWYWcDXmAL?4x%R;s>d3On`$Aupp#T9E2jQ5c4(lv9<9${t zl=9`V56O_W{;TYsLvZ4dC}W2(3pib6pnY2}Ei2XKE-n}%h9_`W6pa6-Be&AdEq@V! z`Ca&~&_Ymq84tJVL}6+Vf$^37z0-2+hyL`P=R-rOt+7?P(Uv#X52q_pGk+W%z+x?morKIaY>M73`;aoQ$195L7sW zvlhAAikYH55tSkOD{)94vUDma4f)#v-B~Fx{+@hOmjLZWPtlyLQO&rkFdVk+6o)K9 zd~rP@RS=r4hb+a@!*g8J%haQ?G311s%+l*0#l@G}-<#jQ>O*si!s{1UYasUk1!&kp zgMLbs>W4cezOI@5Ct|38d&w35EGxd`F8%{^Xyo}WEU?~QBtlraxWN4UgA+;o8m>e`2#qX=>X~ObV+OZcT@&zrY|;bBMFm1L}0cXs#N6`SA^6M(JQD{u3|XttOi4V+hEH;%&@HY|@ySGoD%PufRc?4=&Z#(>6WR&R`1;Dv zU}_z)*J#kBlK1*}@y6hy7`7&veWFB+aRtfF0B5P~V(O$Z({)!KF3r6KGtou8+LbzR z%yDv3fRlTmixp2hl4 z$1dtnM!cmg9=!_SJgM*QK?_@z`rzee@Zuo~oL`$PB7gRQnw?=I?iGZ;ZA>?ukV`gw zJ1{(_la6ZMpcwM9YF?hj~ zZtj$)MS6O=BJ%5L1WM^-XtRbRZfGD-e9=8#;@8z!C*J!{Nn2Xx7oN}>_po~?hPPzz zLqR^_$Xk4iN8rnYC3j3?$MnlSTE8A}J8f|&eIQ3HAu;~HFJR_dG*#T%WP^B4Q59rI zn~f8j#5vCdXv*S5u!MSPjeHuL9V;B~-#$F9w@&W0Ogg|#Pu!oTq*O1OB5Q1%2%o|m ziW&i4aDa+~r+UZoczw1&`_R#=|1KKs)hv)P}qA%5NR!1WQU=6zMjdxL4#miPn> zrP+T0I%f90Fx*0*W0;+dAU&a3UJ63TGj(H)!%wpD9)Z}QJfiI zr|0!~E38&JUMXn%)HIN)Z2qW|VqUpx{&35*5D_!GoXWaeSLhp|B%Ux(#AVe+%F`xn zVPq(THhThGoC}U&t%9Wpms>`6CI9OKNqh1s_Ytmx)6#0gG|L&(pkht;tziF}mP!qX`kP15otcl%G7{=+dzhL}<8%>LCq)v+ zNVkhxm>TEu*UTff#`>n))LOq2cfn_hoCy9c3l~58qV_sF;g)uNy7qEAy7pE(g)_$a zu@i=L`7_q^si)zh>(^Sw6Znte#%EIE;Q=Y!1wfT!EI6|8m0J@ZDpAtK)$|ayXB(`H_x(&gV! zUGFVPzPusM)W&rBj4}4Y!3F{1RBUF^mY$Z%i12H#%6%S%g{#iaf}G>gbg+ey9@tB$Y4WPN z>ul;jOuixOuh@G;U(wUwX6GvtS!@dpU8C7wN7-LHIf4=J?Zyubc4PuF$o!(nUSh zmv=QDmV+?f%5;rtE|!G@qbtLG_3e1pz9Pn)m``{TSs8JU)hUb zESS({hk}N;q@* z`O3EBD-qFU=R1e*;$H-%w;*R>I20Lc=j0VF)47^Iln zCzgTsVrNekdOOhQZ8kK5b|&*CGEsRoFF~EZe1cj1hPN=@MUF2tnaQekN95y!+H#w6 zE7<{QYA0YLmmKmF+51=W0yHSd4!-*^5ffvd<}7)370`>)E8a6EKQi{`C{1afgxv+-)hN zCA&diZV@UaJkb<8A`BM2jCPfQu;=pBWCQa&SpQS7Ue!0!cmjVel%_}o#m^XqO)wFj z4juuF+Sr95M*_E%;(j#vrw4y_QGsD5>(na*0?6|}o;FOJRX;!9e$Q>SB7v>>dwau) zfH7AdR60cOG_#CeS|M-nP9wu;o_Ey7rUaBUZphu+R@G6q_zJFBTW{#8ZVl~<}nD$^}yK5WtizcG6yE07R zV&`G?{F_&a6$^|b#>kP8S$EwJTl=q~_j$|VA}m{C=p+SO;pQ||e~%>IO)pL@&x2K_ zuqTgyZEz00j&vigJj~rcg~RjexMh{VwUFao`^DrF8o?<^mq)HjZ%>vdmo<1smJDV^ zR-Jr~(%XR4I1nvjG2kxB+-s>^`_#0~5Ck-n4i4PeFV$W2r!6?TBk6iAm{&Y`_0Q5W z?{=sD{y26?Q?2gGQbA3uw<@m8Ck&pVG*QW>v}O);`a^cJnK6mg%{?th@t+Q9+a1JV)~3R9sIKxNGFM8TO5iyEkP? zJv=bdRH3HT0h`ilm|JswzWI~E!EdS>ehMVB*0u{=ze4%;fEcnD_ni4am%7Z%*|2oy zuq;te^1Skv_We)juvoIL?oEw*WoVge7o@9i%SW~tx3pm6xr3PK{I%R-x|Tf^{zstw z^^N%8G>q+B>{^H2$w@x*&njQT?b}z)$H(oG^yAX1#^MecfU*sE{vE|0UKTn+$Q*!x-77d1j4%zDEOn)AG(#X{=k2HzO# z`qKC!G~boGnsW5SEcvN zr){8{{iW_5DW#=5-l1>W;_~6ZW}T zO_JR>Swh*%Qrc9gBMd?`BSN;1(#iN_&o+}Km8`$%{{HUY@4i3x_jCVu&UKx?p4apF ze7w$izu(vK%Tr5!S}?C*_6nhB))^uBoJ-?Khtid=jiIsZ{dX_dQ8kt$(?X#p_|^g_ z8Ddx{c$7OnMq+fSeWzJ?^RDowVKIC<)OWXBc=LwvX1W8Nn|UAZE6qhVE%^1qW#)94 zZ`_B}nD(H~R%Y>Q7sHk%@YqG)I6nAxBx;J&8Xp(Cz@FmTdArc(Rexe*j#U4ggnvQYD=f39eo4F%B1$htj;vw1c=ZOihyVqD2DQTGu5?lH2r!bR=a$HKA&Qb3NMUH7+ex z94qAGwIs3G>IhpKw{)mFl<|mU@^ZS)4L;eUemC^6$%NY7c;dMWeuB96;P>(ED~MF3 zn`RVa@J1mIT1i%ZS<2*aj)^aqRq^#3g}1$Z^{k51ZmiSR&_hO(7fJ0$ZdiS^E>EP~ zLL|4QA0O8~$?NaHnp}h8{V;#oPu;3G{YHaU9V*9YBAP_GsD95u+D+Ja(uCALWW=`f z>uNx*oML?2y3%#s(o3077ysbB&Yi%6Vsqq92o1Ej6*}R+SJmPAu|gi++ie}Z0>zDy z)$E@Syv|Olms?8Y$+~QPpC#n%q4v{@7E*l~?VWN%ad+5o&BDzb{@lti(bWU_rSXGl*T-pQsz;*`*!cfg1NXJ zhg3i&Vta1_$JY~EU2(sxnj! zl73mCw~6K5%(49+eEgqcVgi)Sz<%^i-DXdG7Qe)un(W)#Gf`9K@Yf_r*_^~Uw1y5a zmfZe&{6}Z?>G}50bM3D>gF2TKqWt5e8-(q7f_gWAeY2E-{Co7_lRtk8x!vGcWy^mmK1unooI4 z4Qm5)wF_RSRNb9W_~I-h@u`Bvj`w=K&g$60+c^C4;H&8b8I|f+L#Zt#F#qb33x`4B zbmL`I)6o@jwocC&qdhh&X9k~Qa43uo>;LO&3B1IvwN>#Vd}Q;FH>OlWJ1DE@DVh_erQd{~{x2=_a#I!kROk~i$IqI(d#Gl+1 z^C{PPm!`2(YmMm4vMqCJ zCaj!$#SwTow;6VEE6MF;yVB_10>fqC+~FKq6>EK{yfQIVP!`KjpS4crRT}1W>XT6hf6Iv!_&t z2B-e`<^l+69&H<$nj0FO`^bu&$)Tw}TP<%oag>^|gVf%ri5K{J!%aD$|7RV)M~PM8 z{JGaP3D0^Gtb=wXEqA`R)rV|}D@*W3%9(rhH_AB69E|IHHRGmZ1y=FL&wd~I|09XX%!`I^r7JE`NlvL_f} z^wVq-I|^^`!5#A_M+LTARTCKEmePXw=hgdCcOnf=)w5eNGsC)J6g^(ywJ@G|?Pw^c zu$Rmxv7Q8Lq>*;=I8wYsOBd{y;_avL~=>MCC}kC?ix zYCrFA1`#zdTVm81*ty&>LyR1a5&HU|E^3F2&|5E*e-#Qq!F|P1!E(E$(;Z7M89mQO z=i=D(UOuV89hPC6u@eTVnSV~Jh!#Cjs_&TT*UzOTAl{C(?_vC)t0%shJbp~r3XkEYe(#hUucQ)(}3 zH*R6x_D^2zZ{_nGkn>I->JU93IRnv-Lh67zd=|{8@v0S+6&>jhKf5~%Q zqo;a;6AIK%)C(Tp3%yy+MAeyzIu)&WK1>Py)3jd|o)nw7 zZn(aE`t@Q=vX5E8wYN6i^o=R55UD+xd+uMTesa+}i z*k)_c74vmEHfi#%6}(tgC9v$fBqyv_|tCix(8XsP%kPdUzZg*OK0-}^GJ-aWf zOsg5p$hh7Eb$=bWdGyWoeI9+o(nEz7m(D2TPtq15Zp==fdUtW@Ooz}=VOAaetb%?w z%b^LUNWW_yDn~>jo!%ZO<$C^xPtUtYX)sefGO#stLKqT%HgTc}^}sxJ^M*R-*Ox+V zjzTckb;AS7|WhT3Vn!6n(XHt(LDh zq8d^E?t0mB0~$~O8484W;3~qi9r}}`48STB5JMJ*gpV!Zs6-Yr7CM2X3BYzxGzp+t zh2#RBJf5A9GO5ZRK1b2Q5rhE=G4f5w9!WET&w*9k$e(_E)L~ZQ>d$2X=7%^oFrh93 zkRt{8!v`ru9H9^@K}yIH_EPhO$VEUpf<}c{DL>RJ&EQz@5(`+MD~PL1?#7;R*8r|z zw6Y*7vd9)jftn~{E+9t9RI3D`DP)l~%mena&@r{0)}VcPcsWKZ0~$gW@xpfSSPins zZl+I-fCgs8v~EIt$RZ;+GKF)nzC&0m0odykl~Jvh3d|yk-a$1adjJ-rJW{700fxkg zXk2rQKNr4T!dV_!^PoOW1qI^-OirwC{eQ~*oN zuo>(Go<-pMpj)I89~en-P$%dC|5VQg76a{N=!dC_!o*TQn5U@?;vr@1viG==?0sO) z-=}9%k$6W~9xRa~s8jpUTFHPvqNp1hBH8=F2Pls;=<@fCA-*TBX%**9j5h0p)l`!U|&__4bod8L(H5j-!4-6G?zQ z347KZg#3^dGISm4IW#d5&_Lk3pm|b>FMOme)JvxqdUE_LlKM!9m=ENz7O{>-AdAdq zRB!|~U}~a}Gwo_mBt;QNXOrY)_tLxx%<>eqLlGqVbMQ&ZBODzGxQG#NL+6orbNC`y zB2W0I`*isDJgHTn|99KLcOUxs;?! zKvVlrTDJgyo+1jQL9#y!pQk)hql^4bZT7#~4R&IR1`2LY#lAZW1C%dnbRnP@iMMj+ zrv4CiJp&)5*dS@PFbnmE5IF^C;_;+Fr%3#21SOyXLoR_(V2~W)?<%er>FEfIf>m;a zLsSbMS_g!pkTnQ<0H)3CUluY%*rm!0LL5Nrk zXz<`)Lt>^Zu1{4&6B7VoL{a?DvxDKRDjxxr(znpJ z_$#{C{+BYt5YwP}B;FcEf+hP1iquSYFspDFN5|y#;OP4SdnDcj_5!hr1SzTjYWN+L zMEdP1AC1>>%pkHOV?2Ce?@0k1K@dpCkc%J%B+VQaq7uY5EHLB@h}mSE z$e5Rzfal}Uf3p-1jcF)S&^b7YLdFrWK667sNC{Tvqa{E^N>dU*B{9yxLco7XB@1}e zpbZi|c=_*2tOF8n8z@)8XtCqg=9w5!`g#Dgm!lt{K0*^gzy*Qtfx1W~ey}{HPlF%} z?8Rs$Kr4}c2b8B+P477Pz{x8Yi#wrjBzs?2m!haaKMc5Fh#>R?iMNN3fF*3m7`+le ziMg@;p9*TB8Z?o3iy3t!-o{;+nkl4J09@k+7JTSy~NC0FgRsIzO%O^5*Vh{gc zs^&ld$k<2NLnRAqQJ^4Fl^-lh8P*_Z01_B-DwKhwS;2~6&_2R{1d#(0UG5=*RrkVw zml|xGvckmZz3~5{-~Y54Fe=UL|AUwRzvt8{xp4ZHVNAz88D&CrA6=gMNSK%g3?cB$ zE+dryun473ouCfPVzg4BZ^$A93q-PRs!H1_7T8cxovsh$V2GJe z7ZT5h*@!(zb#Q6?*D_mHUkwo%Jr^kh|+FBW`CfJ8E3*e+?*Zt48Fp zb~|p151aDad2P$ZG$u%$8lAuiX^QbzJ#gYQuL;3`y8Qfr3^@TPLeSnq8KfXzn2VyN zK`;P3F=PQ~Td3SqOv`3w`IY43&z=?t8WX1^h7XJb@M2nKunMRwL(ry*qR7_(b`s;P zdny!zJj4cuwcY`+$GaMd16SsG5l}J`phLMg4nX~Z~r=>AQpY#SV|g_ zX6c>^T;-AQfu$&-Y6NY-7DG;lWI%>Ip%B0$Jl{YJQU;D70o+H@Y+yYwNQNn>mhf*n z{3Xi3Zy8}NFhhvU%rb)Z8UiT43H#sRO8Uz@_m3fjnO{n#20;;EMmPz|K^8f{e;42m zs(8WGWy38QmMz9vB&$(&xJtq;gGSLMMzQc?k>R-$JqhJ9w*%&#gHo$T&_i_!%y+U< zDeKzf&%-K_#JRw*0|`M%J>0mL%blo(Hv$Fsb?hBSw`cvT+tDgu8BWD58?1NSlXb;7 z;$a;lPo!M1BqkxENbsiQ+CcSM+0aIm?IE%Hts$d^S(BmJXY$W?U7PAZUa*}TLqE)# z?r||03*XRx6n7dW)!}+B(@(|7&8w7L_1<~M%Y9`&Z_y=2rLuM>kG3%r;JNL7GSj2k zMF&&q-8LDS$Y(h3nrWqS%|iFbkJtCA`AdrDzH_HPbj!w)opg{H$x6LpzrJ(oeVD%_ z$x@mq^@3niwqo5JnEO+=e=#+_UbWe5uGhwP(97FIRs7(JVzr<5rTr;tX(Wg}(*E2rckuKtdF*>H)EcaoyHrr>P#%X{-%OZ`$Nf{l-N%K+NXBWbKx*+eT}ET-h|-x zy!3Nz`HlDAVtrGw4Na*EDgm~02E(ah3e}=57STK?zt#@?#g%I;&y4p~k7W7Tn&%6N z|I7z|PT6-p4SSNiTt0rNOWIq)vICwuAA{;@ea)S>n@G%!2x)OUWQh1-erK*H>}t}{ z!Ntw=9n7adY0EqLrlzf`GwZgv8R+Jre z6~1OYZ+whRLitU@9hkh)RX=O8{dv`6%|XSoE{dOzJ2_mYx$xxFnI*;Pfv*wc$sYVI zIed>_n?Dz1D|7!zyyIe`uonL@H&cAWqZz${87gR)&L^I4ks9%g9%%mW5APYnEt^c3VFWaFm+efc3+CE?uhwVLUX!aCUJad|FccBp#@LYm8Alv|3j|L z(#$e?M`8wd51ikgXgM0Q;Q3X|!?p)yydr+@)H6{>Qe+?JeW`>i#h0^=J#W6=w#&`J zvb!6}8eI@b5IG?dA7XpD*{1B+{s9lEH^eFr-WaGV$lrhIs{s97rA7^GFKlU2SF4zJ z6!E~fa>Y?o-Y8n$7l$zk3X)IUW1nK-m$8deTUp7f@;QgD8V_~n(`=7WJ@rb>8${w-ePn%p|(ZxM_FXc5JCusI&i)2V}<|f?wRr8!T zI7rq%yz7SPkE2qay#lX|3brSI@Qu$P^eemujwjyk)(qs|6#mS()p(pE)!4#ZuPEyM z4c)>Maxb~g$ly8NUKQ@$WhtC4E$SoRv!DcaU7&qm$#xN_my+i)8sgv6O|6yZ7n!QO z)V?FJQ6ZthEnPjWTe4o2qH}2>>)lUQlV|YYlkg9n8E2{%&%3bP75t+iDYg2ukxb>U z^>GEsH(ThlXTgh9*)c-v^FrbSM*CYK-}RK$T3?hvn}sWvY8*rA7c0lfQ@rSpX>E$4 ziKpvT?F^ND7dysq4=`#)UBbl~(!jmAyVOO7^xWdY{9&1K#?bvF3U~F|dwxpv;j^a` zZcnV-G%+rqgmk~kWtffpnBRd-b3Ymla+e1sUDMZzzFYD9!0Upiq@~`o^oa4Okk})# zcByqeG72w}YaQ0!MJHXjDP+&#Y+db3bYBt6M%Bn=`w|}N2)^=GOWx<2yI<3=)2#0P zZQme2DsK6EfuFybp^$;fvoQQst`4e_>MkPjuxLX2L+)H0K{PA0w_o$*#5rARIQCU+ ze-StOPthO6g_GB@=kZg-)X4p)RsG^&77xh^=5j&r`R8t~a$4^b_Gr$Y=h!pdx6=JG z=Wd#-tbl-SWzS~l?7^XTWR(CdO-E6JkrZ!F$(^0giNDfl`(*dux_260VV^|}b5X|( zG(C6{y+%3EN&G=JS`BYAeI9)+8+kW} zeQP2Zrd?fg?-Zxas52X{cJnmt$;Ju~Cfu!PT0;KGn-3PwKNz1Lxj_=+vSy7=Q^#uK zKj(gllJoi2R61ZHy0*(>Qvipk3f|ofK-ZvRY9fpB#2e1(5j!^Z3+K#K*;9x4t@&0( zMHB7}cB%30nUG6UoX6d#Hs&E6y_-^9QudVepYLh#I8gO1_2`We8~vlab`E{xF=dXD zVZ6hr<0p&?h7xBvBX`DoHE(nJ&6XcxE`2}RqC9vz+1PcaAm`Mm8|yi0fl_awxR2>F zV=_?nPty=Jw#gA=ZRG}M%a!0WTtoVIc8N+|te$rFTw+QeN!jon^tQqA_MI5JKk#|< bLgT0)d#$#tpj19T)$^ftV)?TM{TvdPBI#>vLEZQHiB;l{RY+qP}voBjRIdB5}G%sg{%KXt3` zy;a>cRb7q#?c28sI1u3Pw{I4}4>M3I8`(RU*;o@X{h(*0XC(UNWM*kd#K!c4h>?*2 z_+|P5R23wMew#TO5eXZbIRb61Ai@4S#f#Q|;#&j!|Hyy8n+E@*yMmm1A><^jG=EX& zojISN{u<7TM0UvNm{J*#db`W;;xx(r`Q~}gSnpJrJDwpj$NZBxk2nzd7c{Z;`eldK zFK8{ce@88^Z7o1W;p@olsczAIv+ASMqQhd)Goz`_W9!3X>FT8-#)QYBBl}H2N=k~* zcPfvRH|FkKCdgA1kB_87Rdl5*+;!72)B)Zq0%_NjkdGVx@(6ET1fDMyb4iadD|roF zUAyg_Yt=J+h;=JwEp;&B#2bs%maZ`ffq~+5A)Ovxl&e|BGf=A&(*alctwN`zvidf-0m<*m3X(8~nFo>=$#)8BTfuiK|K`D!C-SYw zl@B`xtdo5j!O1@xhL*DTs~W!BBy_PE|3MAj%#qJj!Pfsg!b^W*4(|L?8|vUE;^9P5 z3R=Tf`sN!?cvV|=ktp5g#$zLuWTIBwWLxy^#>QLT>Dg!X)|!akR)-au65Hmz-qzKa zv#XZ-7{jBR-IYsRbXA9$f2YAK(K`5EqY&@!bKB@@>hl3L2VQFrj?EA8F1WYGb7+rd zX>*0^AgLQ3OS)MW^$2onW)H?v3=&*) zB~%wRn>}B`UkekCGJRt}f`b|Sdtj=)Av~+OH-8gdB{!UDKZ~fg1-?X!2z^&0LUAFg zG4uZsv~?ZuBF@!zy0s;ZUHQUFktXq0t$!V$sp+6GEa1_M)MWR<_53>k$$RKt79Y_E z)@!K#DhO%yW)Y^)1w$O2+_@LVlz`9vrFb27nO`7qhSxZhLDdcI5AWf7m95GndU>Nz zizf`DN;4X5t~FMd7Zfk`GxzE>>7?M!E6rnA?U7W(JGy5q<>Gp<*i=o9qi5H0v_!~T zf_Gr@ut{En7_JH3Ee2(USiq`2mt~aMH0$LM%M^qDZrip2AZ{_j&8|krB# z#`#f3j@4h%Mcu`bHGHJ><8ns6)TyhvSJCQ0h`X3<$)n5m$hT+z6E{w|$s5O;Q{nl7 zdz9r+C6^zXp^ohO{bnII%nz%{P6#@}_`6wcb>62OdNn;c8)tbg_1Oc|D(kmf7$=tV z5Yi93iF3*TTsQCSjSLeFBf=&Hn-7m)csMo@H;rPuA*vpBo~GPd9a+@`&lx+LvJ9!B zn)%t-<1Byt2!~`YCz(|X+1)u$e$dg2pPmYI$0Ss>{X|Hnj^1=_b?4FJSzq|TW3d(A zwLpUK&T#JFn$u0&JwD0rt8XSNDSMObDqouSs;b<5R?J}Ddr+tXSxMQZjd8L&wdJ00 z#izI-oPn~VyXb~|5?seRHaTCX-1NXpg|xLk`^=!IC*X0qZ~7gw+Dvu>k$xn2HTB7) z?Ht3J}laBGdvXN-5rY#jw@t%u1S#IMp&#gLJmPclNDBQZ>U`8 z;tx@;X~iL0?Z)Rv-FO+=-TL@Q?H14ZDdx z|C4L;)$#x2`d5IvjgmJd%Bc22&=f6&%*jcobBaXTE2ik?8QJr|>uFP!?*Y|q)CgJh z8bjo1@HXaXW$y9%!RBBtHG%!YP9Z)kPd{sA9V2*_A%|n_e1Rws0^9~yqW)Tr(61x zkzd+7lFz#W0aTqGbJi|QRafpU!rO4UniUpE zWgH+p!%ky-f>^H$VZvFE3_l)kblIp7%$oQ@nK&1eb#`G#K3LuEk)B|wQH%IhzuP=OnB(t}D zbt@OQ4=Y3S0p|7dq|>zqMMgCYq71VPLz$kA+m9S`d`xKpM1U`ebqjHp-Uc91crOrVUGoM76q@c!$QD}<>;hVXG4;qUO+fyZ7#wSKJPa5%RF zLJdkj`7Jba1@~^uWX`&Nl>Ls)&4I_;9o)}`$K>S(Vzmm+@8yD`k|BZeLFSD}fL2)y zoDAP7gG_&|<4R6KG5QoZALJu>^8Mx-#kR(SmUSZ|ni=EecKsfBYJE=skqP(Ilfgd- zscD11sVdH+mNnF@anV}x)3N_zOIxxiS^gw%Oh@JV9hOzV=}{LpOg&=!v1rh4$CRd{ z%1mSi?v9gxEAm3CIY)WR1_Ct+-{2o=*`6;7styJPUzDROR>Yy%9{RXFalC5=4uZu% z0=c~)CTD$85oBS=Q=n@yq9Bvod0E*oOjzjvazXOZXe*^yrz>AmZQiPELS}a}{^xGB zUd=%}J=$~<`Kg7q0%b<)U=I42K1+n#<%YSpC87vrLaRMg*E`w?>CzZwB6_MmJZQ{_ znc_RWcA&OuoeBKLcY|YN96`1*>{hkG=?SJ_>tsg9y5E86A0+(~B!7@>IFaF8Qj`VR zETU}dz7R(WgPX3U^LM^y6zvZf_M%mg`bY_x%WHsPD)_KkXtJf3-p69Qf3iI~1j@z1d&;wUDXflYfOy;k8X z_KwPBmEnjIG=|^X?Krry)uSIZW~HtR0-3uUbUTWsN>#iY{hyo598PFu0X1QzY6|yat=M5*yOCVN?{}=o;BYl=D{{Y-> z($(u#SJ{6QSs43qX#GSOKlvlBE0QdrE4?%h`ty1m)FIOpIrU5^p!*!ztHVhxV6(MO zz$R(&w|f~0?!B@w>v2W6<+R3`;YauRHM4se^!N$j-ab<;@$?Wqp&xrUgL7>@r-Y5w z(!ADqao*o@{(pg=6?$Ac!Hl^fRpuA%64OO)3rUEzXN;SjofJeXj4Od{N|9fm$c_ZR z!5)m>P_8s7ZM?`HO}t1Rjl7V-?Mf<%8D0ZT{2*f)i7;NxXBvK#K|+%I2G$h;#PVOr ziQ)8lL)`JPgY~QTJL`MVQH4ZO;04DYO)m9RUmh!I@f<5D(-)=5jUZ!x!<;cPFn3Lf z#n4;OJk;h2FcHw?j+!H)*Y0;izA{r=xr}0%aFZE>{c~xiHuBT4u6VBYYs{VXN*J&? zVg{H5q7#TpAhLjn2Eu#948?jxW=c+I@+`xs?=QAzgqC+-k1oad4q(6ph274Jj0iCg zVaQw&{jftPj@eT)j+t92j`>|Nj=3EOPawDz<4E4`WXg*YhVIW?;bBhjZR69GQu?z< z2dP!LJC<0w3v*qsR%fBVZ06Z6!@Xk3TgIV}ZB%W)3n{wvb(3IPiiYI< z+>;}l;8j_KHOiZO(nYVa1t z{o+Ie2#3qUmWLFV{Qfc0AK_R@SH_g)8aJtARA9I(%(;}1Bp--%6EQLyLBh$^75_cR zksjDlVOR|!h78jY>Qe2+3uKrLBCazsJbBG%#LV`T8sel5@n~^u+D`F#C=}$PeO=_D zq+$}%fi8C(tkPJm5fKML>PO=XEs%2%jO)9vvuBNI+{x(y1*op3bT1bH~0Z zXL16Y)Q~;wx-XzS$jQb!Dia- z9Ps}Th$>TSSammtA#Bd)Z48q zm}CnH($h1Dz_wP{bbF*q*{}hERbj^QDHBvA3)HT~t`u*s_iISVt_pIeN(zdT`LJkI zwibg@UbN@@bE&K9Nn!($!8ZbbX|RO%P&A^JjL(WMbdXIx9k#r=I~>V9v4k4tXcipW zUDG*_p=t&Cc5!vB+BDy)gzSqFQf(c(CZmGh!AW2DBsz_ym{Lven|(sz1Z1TnKzK~q z3^#A32sq{)q?bVYKZ*a#R;e1~Eb=c7DJ z>9S;0vEC(ycIn`YI)l<)eML&C-J^2!sdN*5tQ-#MBxf})TWw&$9!e1a#}0CwbkcWm zukTVDTr;Y2d(ZqHf>0&z=W{clqi1O07?Ian$Ok%xU3Mz!3IXm)0*q6^#>EGFI?d0c z1mJXDV6Iq`0+8#ADji|ph1ayevxBT;31fF_7 zb;#~tHiKb^gszzoO4>|;B5KRx9>6dK*ytIGI!5wC5#3)Q+C^5%OE!X{C>0_9-<LE%|jAg=#OA)o}pRv0LSg~M${LUC?egDf?o04aD;ut2;f<3*hU zu?oa!azJiKo2QO4qQF)pxy&4DfY$L@xiW^f>)7;EzpICs)@xMB8B zkHBKAgPAB2h^1AGIJKHIbwwEb)_86?ALNIJvE99tU#G`BNDqJYg!+@Q5S@DptEXbL za#$$-TJ>AdJ%J~td_?K#u1r39vYGCWyoXydbQ0-z+{8fSd&P=TLE`Oxtln;3)oGg8 zocjU3IYD$~z>(pU^we?RnU9(;t-hq7anJ8W(p&95RRCj54KoRWfp9;U_b!wM?r4M9 z(T%HU34=x#0#jqmHa3^4Nza5|jahW+6_x-2$RMKopC6XF$XHMb_ATI3{CilNsqBvk z;Tb}1AlY);AKBr>4kPn@^Vh^k3Vku$5NrKFudd&b0KqLX-)N6*K)C)x|Jr|0eQHVmxE=4L~`$s(Tc)|=%ZFo5M zVU%(Nz&bnY9)gyIj+>ZbNwF>dpacV!3T@65-ZMDs%OA{80?^Dy>zii!;nAQFK{10oBEJmAc^_PUO}D z+eS{sF(jPWQW3H!k3z%8U}iCP2mF)K-YDUf(O*&GFpF7zXq4d;Iq$+z(jJ#z??txL z!3Ii2W56k2h!R=UWvLh-6F5a`EhRB?R1}^)E)36~5oa_t;5vDi_r1n;DngW)3LGJk z#_Z*-mZTR2xJE8oapsO|amu_=#rq98o^bEvCBkzTN*+;r6c}zZYRS2@+*U_lL=fbm zECuxyw>kZ2;{hPnmHG7vZ3g$5K;&j^^JY9FSpaMIDN5lLmfk5tCh-IyD@3_72-;ql z1!ChZ%;-U;DBc40;;-u<`#60NNCz?R>oC~1Z2PR9g}<{aeUNC?z9U$Eq4K&uEuYq; zFW#Abx8{T5ZGP|UwAWt=KR8QC84{vn57^s*o*F2RFv4`B_r@}2JkDMDOkZj{w}xy} z)c`*0-5{urI1mNvrN14fhi`iiMx8`2*)irwcAslanz8{AqW4Foi1n`g4U0>{qAvh? za%2u?SP#zh3R*U_TW_2A6ns^x{8Ak6Fmk5BwT2=27vah%PoR<{ zh@n^{`phxWM_`fqkWg6S3CHAf)C{TF)kwj*HFywp*tv{c`aRP~Pq`|3gXBb-5#|B& zwXRHNwq+0$2#>+t`90d0sm#kBU>6rjvmxM*|tVB z1RXirnc8qU(H~vTbz=&Je(HAE58SREuO6H!&nuU=n?2kOZI4YD&?Z;4RXEQ%jb%bJ z!7iEC@YGnvY55{Geri{TCI?{trgT3<^G9XgfbkCD;>E1SS`kf!gU~=0s=u~=)-8Fa zgxKoieP(^Emcgc^znlR3ie57n)wQz(^gg&-s?fg5VCDo>aAus%1KGjj z_z@axH%kl&vYa@kw=GpoWGsOvUkZVdox zh!8pno9ud2Omb8<>tBP@t8_ZOAJk1|J3-mtu$D+s*)Lq5&AyjN#d`s%#!>SZ}RcJ>5HR}^nO<(kd{>D^&JOayr5 zxVJXiS@y5ih^DBYb$LQrsuIe+4IvHr&)$6KbJ5^m%DkeelVnFNl2|RP?~_~lAYWGC zWZ-5@og4c_fWJxf`xz2!1fOw9S`5Zda zTSppv>)Ako`tcaqlNzkfJ$YlbOrC;|Tt(DUm%49*AC+Ak0ib?`=6Rotfxd2AhDx_z zL3~O5wKct{wV@+x0Je|M&=mH*4HzQ7!EYLXVm+uuxP6s<4ffP<+kk%Q;p~Qk_WWEo zO|RW4XBFtYRwtl0?Njay%$$hjYp=gmspbLU%?_Me(=%YiE98Y(ROxnUUx84TN`<`Z zt*Yfn>$PC4gov>lNJDM`6VQ|up$BzbLEmI5SVf;fetUFgwxUhgU|H{ZyxdO9d$PFA|fI}GL&df;|J$M_XJ8R zgJp@w9$>6GR`o@8cs7HKj^Eo4_1wBD?H2okpVpc#eXj^?3)VtM zlN8~u)f36}*(9v?KOqyMcM@Ba~maETf&NkK>Fw_0MV+nSmR^Wr7k+@qL za~XAL_mB6FQd*j@(wLcFUbDu0#S}I6!iEeCan#fEbxH!kNf=RSX3N|E>m}~TO zG~K0Tr68^CUviBj5Oqay6e1dB(el-W=*zi7 ziA1;5OgT~AOa>`vY_ZDA%cNCb{kxhR(^z4t)nGnwoN*?k-Z}Ujaa0o+j<#wq4Qq+H z$xQu>I9O$&GqV~m&Y-ivY>=Xz81~}{xJ{ll{7I5&)npr##XM~X8rQ89LK(7gzc~^4eP65<>QyT*QhxyotJYe|GmX;W&i18 z1b-6p5~JZ@Q-P4Y8uSMk+6|w&bqLF2+NXRN@)qm_EX~%7{j*IHbn@b4iv!o%ntJ*iex}13 zC)c))q)BTXMgk;V`}d+7I66Xqr+0W5%t}6Z!aj9ZJVXAF)^87|V{^XY_#`PoqW!l6 z{@ooG+S;90C)IuB?JBrG(;rf^RX`4Ly5Wn9(Yy6~CUPpdWn>)vs+Z>Pf9vKB=#!_P z(b24T9LyT2RrVsn4;AceYO@J?IoKW1dNQ7OGZOo(IW1M;YoyV{3tCRTJEyyJPzim>=bAU+{cjR`Jf-q zWP%NJyzt`C!Bd0cKX@`L%`XeteiwD~E3Jczb8}u!HR(~_FXW@p|DtB~h@UpDv`1OK zUfTadaa$RMlMRiNVwil>^$iUPi}quo)6#}!Yl}_xasn}_PxPmrRp&5l-6Q)l&Wu^C zH#!Ah%ePmw-I{%I`e%ELZ=pe0xM>16H{$$#UVcNMRDQ;Bst8oq?_z3( zgud`lHQD$hgOS9z71!iY{6btoNlha2o1en#BwL7iyhz2eFj6Z!f4#G^Y(nf(z_2W8 zE{igHn);UW4i7q_i%$+QEBl-J6jf3cR6sE%%q=Pz8BS6;9@6;-_OdK(Y|M$c=6Qz8 zLPBz@OJ6auefea*_I|HNAWox9M@9EdLc55(+8x6P+}lv*`9K|;@^U*fqkhq!N1mcb zJyV8ePbuS0+ErhhhA?bFeLI`zZu0r2$h_1%y{tO{g5Zo={F*l@PW3Ccfl!{21W_?A zTJ3Nwrv4}DVGmz)3QReQ4TW@?f)A+TUG?)%hn+7FnyiK%)lI0oAa2JG@^<4FXVuKi z2EBb1U2q5zsO=JvXjclf#imX9O9adXXFX)hd{Rp;)qSur9pmDfRNmtM@ zklrwt_Nw4`a5|h{N>^w~Q`pj#_R8aUkUE^dIGkq_RS+)!gNIzU3F^4M^KG5C55I>7UXxUC7oMEE{+vLyCmC^}IMq^&M)L?|4#?oFT98Pr{4*`es zSD+Z5e`eDTA1Qk{ZDHWdDdS_ipbUSCzVrULp^t+lU>IyaA02%F%fWRYoFci4B=0p1 z|Ea!yICf&Hmv0&9#~S*1XUfTnKHD++g;cC+ASelW&5SvPzJ+LU^cD8q8T;-#NA`P^Gs7n-@*_)|KB`-0j7 z^O5bc$;J(U0f+um~Y`pe=Tk32Awoj9;g$f^8T#o?R_}?Q7n9g z&C$;r$OxyComV+!RC8|X*Czmr>1Jcz={RQ5`V4Lym;wilJHugPA|l=QPTJtGA1S{OD;Ir z=Cyuhf#3qjPQU&Ny)rzo+5o=!q8anDO2aa~@uC@Haf7(>vJ&1XXAQt?jqU59bxd?1 zpfo}(PV`xb6D@q0fCP6xjyH; zgQRK}_3!a@tF!{YoH?F*M)Nb(okKJ5?u}a|{rG51N@NU;7_*KS#8x*;@n)^6Zq3Q8 zY)j%&oPsEYQWdn)rB`?5Nh#0FlT}Dh-ucaoNk=I?SS%-yUJZ|2!&OL5?)kw>wW)6u zs{$)VdVC#ASF~X?90I~EuZrBrEu|1JN94Kj$z0LJSKovb6b<>iRuw{j&k~W4z2*zm zsq`vSzwY1f;b@2D6ALBgsz_`b5fTV6iIaxLVke^^M=H~Y<%0-`DH>5v*J+|Q?use} ztDh6n!)V;((X>IdCQJ4zzZZ{AMn&z$S7Y!6=YvmLY5~oLLeJfoFS)&!Pci%CK@2z< zgvu(O8NcJ3R{}l0tCEo)x}Ahg^0fYm*x||#wxM+ zJQ|uhj5&{U$Bo^OMmw;}Uy(7g1c|28hD;(%E`J?P);UNjRBOhOk0}5?m4`_?@Hbg~ zXQ5f0X$1$+3%({aKpM*qugrX=DqJFe ziE?(!qNov8B7cff9>1P6US=#+2_!D0r)cw3R_nQJb8)f_JrS7~{R~TjlNyDmActg( zKSy?!BLjJ~?6HMHmg`9z?4}`yn1a!)Eg|)nh;&qTpG15x?%(pLKSA~1M;x@sYdFKGi(l0tY4lMO zD%H~a(dQ>@S!BDLgZgl2mj3_`Jx;Tr4ukFrEifq=IaunyLm{A03-s-hQ53;4oubvS zhRNM6o_UfqQZRBHsL=8YjnieE)&lPC%%j_xWQWzqRmjybs0?Wju@u9y2&oL|4ry&X zK%i@^4Qx;oRO0L#RQeXlD5^$lAej}H>N&&Y^v;7Sm1}syMvV9Gzh3!?H4rluezAf7 z%ds650Eb?CFwLLQ9mI5TiI;=c&?f`Y^3xKivbjY(Xf{zRlRUyvcHRxPDZ;N z45l5Xpt)K3vdP(YC2!O=L9#pf&AIGl#y!QCuIWOVym^IOcT7uCLN`E?=p@Y1E>T@E z$}>W;d(rLQpL?@)!HDlf&9R*^^-?r>3Dg=4-m7aK&ZV)B!G*Geij;<0BQaW+{-B;98>6r`$ArSTIOY63BebwE)(#No z3UMqi|2}k~jbMSF&qc>IJk~)XUd1dvHA)*dp&gfxm7Xsq5VpR9A@1HG&MMx-ZS@2@ zy}i?~&Sog^l$2#p)`=3Nl#JKeZ= zIAOt!+W2b`^`K3I2UVOj+48o$v}DPhIbP&@A#vWw88={&L|Hm%?|}fp0))0`)gWE8 zPrZG#gm@nT$n2C#2A8q)>?{BO^ay!f)eI;ty9SDyS*uwMbTd!oM56$4_#V^&ySQu zU9nX2U&Xy?qtK~JF}>N)u*KYULeX`PmR#camwbZ~2;_Y?q;Ck2yF*W*$#~-vVac6l zK0D|kEKnM9Dmgl*fPv&D&o?A)&_j5jC}lc(cS-iB3(=L<-4ohUt40))I~Cpp{4-C= zWZ&8YW+GS6gt<`if&`3Qs!yU6pZ8o|j1wloNS7_?{U;TX_SGB=p;SC5xstet8_IVA zdYYh^W?+(0^atgHPSmP!fOF2CPaBC?L4uQdUvrhHo+=_E`@CC%JVV;tK4) zljM(H!=(5(sGlmQ5b&MIS7S5pTXAOF|8uQX3J;|PD;^NceyNsg4f@UuEvD0;EP755 zHD&9Uuapl<)OKgm@{x~0)&|-}zK4uejitCy{^_9Et^V7{h2AF%P8F`?{PT;{LSzWUe(GWcQh~I!6AdjQVu;Tu?s9a0J)jrgWBoGlk(N!VJqjYiM>S zhtSK>d1kCN9sOnc+B$r+ayv%F_}kTYv35HxjN{gD+_v6HhoqGlS2QGJ`zFq#C^U_N z;n!Jo-bCRntLLM-^2OVfJgQ(B3bfo(4jK zCj2uLZuxeG{B->x2AB^o4O}FSDk@hAx^4{SMN5^j z^elC< zt#MK}$A3j=tzCzpi|dIz%a6GK5qn=<2S9?kO1dKB=)aP#PcHIlvni>Sk-u9GAQslE z1*OMG|2d@fgZn3w6T1ojIW(fg{_6iPveKie{|w63Xz2aB19s+kmQ#jYni6S~wcTg) z5JA5{AB&oq&66B!HKO|euA&y)E`3Xi#7`n{>h>(sG3r)V+t+(3_?8rC6sIuiUs^jx zbcg&LI(S7$P&@ysbxMZuiMPzxDqPO;6&jz0OqNhR8JJQ7mw^UmXvxtX%6aI;AN#v^ zRt$-%^iER6chYc%9U@{c(Y_X{mApJkQFwuxvIVFpk(1xJScm23C0&1aK3fdn7kEVT z43MdaZ{`UcuQtEwf=UYs$D~Z_XTyih)ONb`wvUyb9mL|qP|EUwo)td@`DMa?!p$x= zl#HvmkQN&PenOVn7J93w6qtsZpWp~f>KL3z_-+8KlomNXQik{{JALC!sBt+6de{eQ zXz7OA=3`*)8%bwk(A3J!%|Do2MW^U>gaX3Us9B*R1ww#BWH2`Os%TaSF-+F+@r&J2 zX@r}GpW;Lc`lVw;AQgd`EC}18O!b(~2$HOyH z&kYSTQmsxFK;JfJ@&#sa|Kfv7`t1QEbj}o*@c%WW)nEID$!|CsS&J2jf``DU02}U! z{-3^54QH0HnWjBo%$79Hv$Ncc-d#qiIwQ|gcq1`97*wE%N#Sy_BFrtC< zQqH@Fb6`jyCaNdj|23PPNTM{W*pTutjxsSN7O=4otIN>brh(O2V>a?Nu>SS2Mv8f+ z{=YufC^nP;2IN^GGvWv_ylmePbjmE?@?X0thlCz{!|}*V_w=lxmhe0e$XSjpE7c|(!jhD4N5AHMR zj}-`fyK?2Py$=M4=Xa$H^iu`x1td^thVS%Y+(sI$cee+whX-(`kg0AOdA7n%9Tq@k zr@GYGUCEf&3>$rNj+T%C2mI_fqvPcl-O^h2^$|wC*GfW2H}B?0z+*+brIy6-A^xKT znUw)`oL?l@Sf~n#85dkw5R=6BTZv`!v!L2sOb_ySM3-9h+T3JUpyu=|SH^u_zDj6T zPg-Kw?V$m<2ocPPJ+?w4c)*M8jt%)BIf?lrc2@gs%4pH*;e>Z(cr5iHpKq4vc9XKB zez1bUoO_XoYf`s+GxnbQ#}&Nfz%P}3U9_#v@{EIZ?^!Xj!O>jKVElu}gkWML9nM21 zAc;+OUUy`x3>?S7Vym|b2wXHqE-0gTM>{RIlz_$4dFPT(KEUyu`4al=Jo*63_D#;= zw1Dx*{`@6=VbuFRV&-WeWBj3bA=f>82qr!WuCPkw+_7$9DkP;?q6_h{!xCXB{@{qos^Ratvo1@pZ#=i>Jrv5|Wui}7 z_PqB@vE7tn)zHyGyNo*Md6JVS)=y^3=Hc`!=nPM0LJ$kh6I$_34J$<`7^(&q!}jYt zbD^-6b}X&?jKj2^067rW%E4bHHxkpx`0bY}C^t)#bLnH zaJYK|Q1N&gmTw;f7PWZn+tx48qOjx-T3uS>!0VhPg#wfeB!PCBz~yguxLS7^#zt+6 z&J}Q>-S{~CqajLCF=B~~7lBklE>`Obfyl4U0FPxm#5N&Q81>Mh7Jn1^aG+T|NaN;w zd1~yu3+8-J&>__;;%a|tRC#j}wz}B7?3-3CLwnk(kM56vsrS{AYT^APFHNR2ypNl$&VJcyXTd@N#B7X)>S&}fMkbDUNM4Pq`8u7Le#gP%l;}7qo zd>*0F;=FUDXQg;&)RNr6Iiy#mcGuwD$OySRINJN3}&YYx?G@ zI?v^*c*ntV#eKS!uXB|e7Bhl)5|0lO@uG=ZDhN0DI}}+vQEZEjS}I{);G%Ddx?s5L zS*ZMBBqJl>@-B?*BED8CTz+52i$t6&AAMxxWw+}^sN6}^Y5eAj7saAOZo>2F4M>Qb zXnyU?RWD*m)(-i=3uVC2L_f0nK*}NZ5<)?kjQYc3dPB>-D#=Y!Ao_x5fjJnX5$V~` z%Oyg+WnY^wN2t}7@}4YIW7dY-H{McL1vi8$#UHRA1DWc1w__O(mhyqJ`0}zTUq}}% z3#m$J6rV*>y^4W_v}!>D7!L$`(U>VWvh#+f#OHR=UUi2uv}~PgF;(0Q38q5AN1*Zt zmPz)bNECP#lI>Xu46ZS_la)tFLaaP|!jbJa&!_K0?5gz=hnK(*(xuUs~%fean)b5bq2?VmKH4}AD)1ESEG4|ujhYmDPTPla7_&;|G zISx7OZQuQv2w8kmF_t`~H{QME)0$XZbW>=(p%-sT4`Ur>sOHkn(Oa5J3q>{v{k-a7 z9y7V<)xU4p#U;)46MCTM`U}n%P|F4TM{*Z&!^+(dSN_sY-k~H2Dn>?85y%vMFA*iC zk?2>Bg~I2%qY{D$Z-zZ(J}`^Ik`x_ zag0Ut27JrLj_M@tn=yJ7Q`t`v6U9eSRAU`HaK{q3^e?1xgCBrN2nwo_G5#)45N;m!*`y#7!Mda%aW65` z{aHHQMYYcq*d0?L0%~EUc;sOkzb^+EGJNE~Z*8j1ljO0E+{mEkxm#6QpyDB5#IZ^j z@3<-avorkA`${s;6~M;{xCO`s(x&{FB%1GyW9{1ME9?e~b; z7@_Lt1<&Jhf%>+;B#{{#T0m6m=B;Romqtgq21|D~u$D&}6~A_4ced7Jf-{pIALWIP z<4V)QkOWQa=Ky(ZeM}hW{(Y_ zSO##GDtbGYusU*4d!o079>gLo?^VbQ$6x+e8g7Jv) zzasFk^-a7Ytb`~2$iAoJ9W=4C%~Ab6-&(rjpD_0F%9;(%>afzIj}WuDJt+F!qh?X3 z1i|<%oV>XuN3{$K^v;ts5y9ebmtt>~UPQ4UEwMmN{Dfc6&geDKKDzf6DU!{*nySXU zj#g^LFD>-x;kSo#UPLM|*JXA5xMZv&(b5J_mqvN&$J`Mlq4ay!;@mO5py9Pa!fN{jKZ;T6!Exvw1jDE!=;utghhA|8ockp3 zX&C5J#u|8!veG|0LB81^Y~IGs8Ti0CKhR)}O^rVyA{jX}^Z<7ggFb~P&q^oHOdeo# z>$o)N_TQkA`IVtwu>=DAG|;gGKmX=E7-x=qyQOy|Jt=&cxzC2kv}Q!M18bUJ0rGwQSz#bfv9^S zj~$Xg(aN_Xe$E~e?1WSf-~qQO8RStty8Co}VL}{-!(?XR!CM5g1uZ>yytuTl`BQP& zmWk;}oG-B2+F-G?WUbk0{c-wGwiFFAeNR*!80-)jjoNhrr&A{Ky z+ih7Z(-GgfwyKc))K-GuvArq$>9OCmL{`2hg=8>R5vZKaNH{;>c5_C@fOV{R)>NtD zlp4_@Pw2H%skAm@T0@KOM=34haZJROu1LC|p-M z3At9Uig}Fxih8gtYjPzfh?LkHj;d4i?Y6QEUdFm)|Ml zP@25^=y>ou6xM}7dI4^(<6F^P+>XS<1}iSI{CZOBT$TL$zJ4cGh3;h zK~elWeqmSN_Lr^ipP_g6A2D8e>W=RNFb^FEo|ZZwr*nYQhewyBvztQobAP=B>iLNr zP7KpNFx!`6-cHl-LVux5lcM#7CV{qB?oBnDL_c#M9lrf}1&=0EjUoO#fGBv-S}`wV zNKRF6D%hMFtWxZH19&THemBl{1fsqU!2^)gAq4cYfRChdg&hV+kO}KROe5BuZDhd= z$nqq()Vvg5;mD}Y5hYc`nU%YR&z>H2#H09?(|Gl-ZrPTq_IVgxX;z)mY^e-6{xf*P zw&8?o#1Kfke0mkg;Im9qwB-TMff%`;n#N;ED8;|;wVO6l%+?0F)#)A|`Ye}Ea7=BQ zK^>YT82P~KtfA*f=9(;UhbYcymD|EuQuUHWYdf$%l<3;mqkA-4WGgu*8r0T^F!|C0 zUfd8hJ&Qe%DK0;}a;F>qdMB@O)kjVBv!dY_@yd)LE!ZN4F!TrJ1xon* znT|vK?M?j$J!_4*>S+iY*(xz63ONx^xe%nr(30l=5Gh?q!uq-FOtSfVQ_< zjMNTdl4SH+vz`g9^G`6(QnGCP@jki2Fluj7$Umr111p{ORX^2wHFuKo->sIkx|}VI zO1b0h3t7H#M6lW5ejCUNffMm8rIVo__a_`ptv~K2f7k>C|H&X-u7545f%$6Rp2{IqzXOs0>!5)TYP@O{A z8FJG^w%-N&yj%xK{M9j_*b;*X5=5^PaY~3n#G2OiucKC}}Tad~>IC~@|!xtnP*$y}bhUl&@epuK*S+EnC>`afdOI}sW zS7)-;-b&M|xusyxUNy?)Xerm;63{AdS`3W7m8-5GD8q;3BLVlP;f*OhBGU*#DG&n= zByf;2gI}TU+C6SBsw-dhe(0(0`mh#x&u6?c9L{_3doe4*j_;j{R+jw2pzrR6FPGG2 z+;yU8>^r^GnW($9;Sb^ivw3mJQNuxdT4TB=Y=rk3PyQRT#^m@7o~QkiaWX9o9ZygP z!NHpSg*cDYA91;*vi#@lK|w_Xr7;Xi(hNZ)i3l_d z(Lfv&7;;?hZ@;bk?cIC7-L0>x|LLw%)m2YF;he7bJx4=%j2ync9IYvz^aq%ppY=G` zY}O$Ca2dtP+x%gVF*I?$C}+D|h1xqsS&fL#T6?Iq{#NVu`Mr1OiJb;w{*HC<0y20( zF#7roXP`QNB#-qOiI|L`5HqPkcMHDV zuk-fX%@2YstJs6TazqP%y!8HgW8%+=+wlFk&bjc1{d$?H2h-kbQI^J&_|$_8@3s4M z!d9g3zpz)Hp36;0nX#L_^|X3^CESsE$m-YqBV+MY!7k!+dfOpVMg?B z6~owl>8X#CD>4XZTKP-lT@oGE4BnW-4_z zGPqxaX7HugoA_`n%y1@c<{N*W?QouLAl<7xjyIb84I}w=E%|p-wASsPJRbato+(y3 z_KNBza1B7q7v;xV^mO`eZdk z4s|_5AT-xFC@_wVv=^XW8bBwzQJqyiQG*%Z89k03j?Amwkh{3cI6OEs@$sM?KTO(e zZX0Z++C3a5N=;Zh`VHH^ZoK!);%}8;K0m-$8=3~HYaSol-j-MEF;%Oy2qrGiKQO4K zUGMQRZL#Q!#x)&piR-g#O*H0&PaTb4RA3VRo+VZrjX zXEb5E#|XWgkIG#zPV^U;=r&#T^e_%p6KMbRZf$cRtC&bME1u7tjSLbu`|6lD^5mBt znEolm(L#qu>`dWj8;;=(hlR~YtUn?YD$a!YX|ICxYG3T4xbv1W@UfrYE>Yw-`A()} z`RfP*-^3_1BL1(Msfj0(_XOIP#GL471(Zv_?;fgjNY(_%yqg|7ks zGjHYJz`t9u*z?^lqiMS^?q@fZ$8dsfJf2-Hxa}On8vUSr7kz8K)z2amrR;cHlkPIJqpPQE__1wK`6ZuVs*iqr(~FRW_E5u*t8ZTj+%)l^@da-T{7RN5 z=vt|DbnWeQpcvMJ5!)Nu9$dkRs&F#Qfr38HVFzB-P z;pjQn2lOe@>fC7S>1f;RuYn6nWmDQsF!$wc9ni(Fvc8vaQonqbqNIo-w>HygaS6hlw}-$wbSu*>_WCr<*$E zC9dD)?OCqboTTHqoP^_QO_~j%f40SbJq>*-iuYC zf+|$KqZm>5J;+g^CaO@)+Pjqm)tWrJ&mHe(p5+K`suW1M*OR+_+iOOJ8helAxaQp6 z{iD4*@MsYIQQJ{7Cqvoe-u=<22ZMC!v1%WT1IzUf))I!cCxWt*_!CHT<=mh3CiU;p z@+M^S(+Hb=3mxLj-X7dRVHED984O)$1oqEA@gjX7?N7llXyo;p#Y?&aCyN^Ooti{# zMmp9;OzZs7VjF4Amfu$vxyvRq6B|xKDrduUNfY@OBMennoFDcFS{OGeAR@g~cT!>s zTW8(qBB*E9zk26IIjQa}C9NK;8c}+ZpgR(BTXKo)e*oW>t`8%p^_#foLVq0p_@!Jq zU&OR!H+b3d)zKF$Q0RQS^2&S7LAs<#3K1#yWks3eH`HmrIz9YJU~uw=0u)-nC|FtT z;e}@u3^`J%XrjyG@{e`zz}Ey_b~!SQ64x1k>BdZw)|&tUidHalY5%GG<< zxv0%ub%(p&;^1pS^)bQ0G3})B(d9a8NPfmO3HoE1Np)@govP>W%SSCVqHNL$9z0H! zU5b<_`0cTM^9USpTw;926I4q3^herXO>bXcY=eoXYE!~9>NIj!Oeoij4XI#lx3l6% zkqL5C97!Gv(J$&@x6pgq=Q~=&fXVL+aGcQdA^K_DvDVwHpcK(!QVlD>?kIcre7o_d z-rtMNj1MuzAETu6wm2U4N9Cv9lSS-Hch2ca-fh*$S1uw=3bifwpJ~QbMdirKxJp=Ld|oD#B@Ej6VhMeS5^}2taXb= zNOMtEt2TZ#q^(ChtA{afkGe9n%nw>veuPxbjh`-$6bzL9p;^4UKT%-RO8ZubD#^ z<|to}g9d|+Hn%6qdU6k5ZwxQCxA|IZhD0LOA~irSBl(;&CDpB^eXy6Cj4N|~Pe$YB z#;cM~w*S5))u@Ts7hjpD(qI3rxiV++bmkWAT8AON4B>`r{#rt`kpUJVD3T} zRr$8%*2d;e_qk(#VI)=7Ctu&yQSQI)Z51pOqv6NynCO4*W?$h$nb_eU94u?EU8`1i zS_U;;3L5V1f4<#iYt?dX{fg9&(GWh7h6mZ)jsx8(Pl$DLT=m*q_4$e8j}jZKiyHLp zoGW$l@fnSdC~JH)>B(lb)Jo%t4-8T^^*6hWAF`auZJhJn3R}hpUTvrgI4r(vV`=;4 z;O?uP!>Gl5(GOF9`%dtd~KjBWBW&bos>xNC;bE?R#!zMF^dGBq*13oYNXhL>4LVf<4j6d zla-V8dMFRq2NNoT`rH1j2&DC%ZR~Ez;)p2AXI<_z7F_okH>v$v9vh6#skqNwaKFQ7 zbh&J*GF~%#{TJz2wD1?nMY*w;n(=HS*97|&_|YWeqb$i+DcmGq<4e8%#uSrYwuUk) zH!5v@N%?FN64LBJDK;t}lax8?X>xs7)s)dc7c?zY5hOxA+I?s!l52QY`OHTBnCPfp zgmENSdkzPE^Q_1*WU)-+%cT+&)tkXZS#7mR z=YYjYop@^5*5~hLH~-N7HuI_7{8m>vIl+MkQbNaQiSd=XvG`l!`OwYl$4@stRmpv* zOoXoG%!zht-d<(9aV(x{F^gwpe;H+EG|xSlEHvGmfq{US~`D>!X+8lSfNdG%wnX<>(V zkx(u%=l(Ss>Yq8%sDp^*>pxyTEswrh2s-KJi}#`BFC4d3&ulA5vo)&EtZXlq*?h~} zQ}IxQ-_Z-sWz>~7)B*Dq=X?1U{{bBWyCoaO(N!1BaPBio-Y9EdKHd-k$V4#pG-gxT z7#pb~>1=PlpAmN0W|%3-8y8t;&Ro$7U@=cIJ&?G(LHfW$y9bNOyYtF{aeuk0KJbp> zn?&CGLJ`zpJf?+@m)7MTsb6kiR@aFfEgqF4*kE=g;&mX+79C!xRP$uy`F(c!ervU> ze?mW&%WQrO=!kP|F4{PE+rW0_{;T!MtHh!_0#xZ zmP60S#z!b|ey!aN4lShPtX0$bn% zg%EqyP_h6&92pO$!0_gfB+fz%#l3ZE2cekbaVzFPGY{Zr!4pANtc4F0Mtq?{5dsDf zm~0RM(>8?6aRpMS6C_J6Bp#4vx#0`35Wy%d#<;_Do}m`d3)|xb*$`*cD9nHsPFSj< z6*R=ID^pGZd^q7#6`#RkM2W^xZ` zL)=hCA%JiMArp**35ufhNUyNM9**&#Axw@7lM84O)0I)WfC&P5AM}DPo}!!~sbUK} z9MynQI5GtsfZ;De2wcx8iahBB4<;QLVAk#f%doB<5HC?t6{QN~z>!H{Qq<-B2r=Lh z9K*uiT~N~wreG}qh>`e0m2wUkfMe4CC0eR5ZA(WcQaTs12rxNX{7{quNu01)MFPOY zQm#y)0qk%~(kLvj%k10^>R{7UQJO$GoR9))z{r;%I0?f^NC(I)RZ7}Sc^Ti6br0{CnU*iZi(`QE)ZWRQ|JI& z1f~$2hiRKZFkFEsN{VF3gG>QRnejc~BdmrRg#kd|rnSSSE6d`5t*b!mj0$YBEES5t zP47PA>N>i4p5~YYY=y!+bzr1~IL?0n>@(PaRq%pN5{(fAJZJ|aUw{N~OA@G5K%3dQ z16;zQJs>0zsfv;V@Nhyr*aIV*Lnm-(F_at$$xTQD1ewXb;BD-Z2LuPS5d<9Q3Uj^y z1>n-S2?;rh2RP#QO8KVoXAH&DGR<0wEYjXgrzIu zfDUGS2Uvpb@q@UC`P#@QV>LoY())VEDk4aawL=h!KrY;pI7)`J!A(d7!kGnqpa7!1 z3W^`_f{_iNvpBRgik?K^B4hy0EaX;D0gLv9e2D~Q6c0d#6Jo$6n6nAQj!WYrJOXYo zliNT$?2<2Z8VH9IQo#n8vniyDOXDOI0d_=o<%;u=0dAcWlL$x>+12DtATC^wH03mD zh6|Gl2>-j-q!CCwXbZz%fc$Yi691jpfM5xtlnRAAKejDb?SJ7B0=)y!6XCkn6-PrJZu2~!HB)8sI$N<9GM1E zVR%!B5oaMv5hF?QV3L4G%=j&b6C`GQD`*z9GMNOx>MugPI9D2&QkJD3Le+h`~PXrGNfglV8_iaI3WT2mpC8@S)c`sd>-<}p`}o)BqSFh3lL-> zw}Wh0v>$Yqh*3tRf(0;VLr4+#S`4L0GG-x@Kp*Uq4|JKhp@PDK`>=9uOfO*fXsLoa0hA(;k3cmT-T-pKSx8faNH4fBg@7$feKRP7b@hh4 ziHa&HZh&XROi79xnFQoa{pOc;Q=y0fws1@gSO(L!g4A&Z;;6HvUT)+OS!hTr=p&FW z*ZJZKPRWzNAK2T<6gcpYdV;w#>9Vd?X!mj{^!%rfJ9!FSjm4+uf@ zRi&r{@8CE+OnO+}e*~8Ye33)Bf)37mxcXnc4>tLj7T>GNabS|>1tU#T&oEBjSA&U+ zjIm5wDOVtrg$ylKQOFTPUDVkw`7IHR{}UHD%@NPKcQBIX-BU*sk*!$q)}y62I4{4I zde$?DoYT6Ty=1$*FGf;Ey2o;OlbwDyA6CUM&>Zm6dfd5H7{Hr(!R_-cC2*Y8V@0sb zSZ^*yaR4$7amwe#H}?kAn6T$;dbt9T9>1o)o6CnX>ImAfw_d63lCcaP`z5=X`E??N zN}G_gp);qba(DB}YD34(>Dc)CU%v}04qN2HpYty_JZ~}X+CDx@Rhjg<2{-+d(b8`m znM&Sre*e0UwFBQW4r_EnxEh=>3%WfpXPR!wrEo*MjXiJ(4Q~iXVI7_hoU{ffTX-k@ z`DxFw2cI}vCdl0T{d^Bz>Bx9lC=#5NLoWx%jp6>ZXce)E(D1jPf2+7UEod=acuBKO zMxw7nF)-3)m98;j>>y86hJv^~qpia+;BDPb}JmRo{Ex%kgaCcY_JuuP>i$(fBcq za?0czaltDDT$>~N;YCJy97e=GH*+4}fpwp6#IG4%qy zt{^gcZg>i^W~q+3hwFN`7H(K$Q>xhVQmJiA`@Y!Ow|%UUcGteJL?Tf%jfxv$MqdiB zX0D9nJCV>^o9w2Hw7GWnzTB5TXPe8;J4o)PrZ@R7oScqt#IOW9OUtYktnel|_wp>>=IrFAnWi^Bo)U~HzVBWfp801lH!uK!CgpGx*%Yw}YRsrD(B~T%R<1|- ztRU8D8{Zm{m!Bv0mR0(Z!hOf4=?XP4^o^-HeR!fncClvLpj)#^Qg7Y;@AMH`>(6VH zXd^RjFUT!jR%#pG(M?uz+3J0;2usBTkreH1_A0X@twVd%2;n*qjqmNKO#8b z&MYFF#+a9V3pJM3e8@yYb9fj^8P+MPS4 z<9SYgzGj*d?AYs0=CWV7FAhepSZPRjs2i!kxjh->j5zzTQ{@7Oa0~N@J#httk|ZNZ zJAKAJt@=9~vi3##?o_e=-=v*$zv{|K?aD6WQ6?qJ1 zs;cDU=i#&7yJ=$P(QcC=cKgx~d)@~u6yA?_m*NiD`*4Vid1dR|HlIxU_N!BEBb6pr zL?fD5#3-s0cC$WzkhsW)-mN@^d6{43?*tiL)m#1?UgVG|)h2)O^-~8-AB(T<89sL5aINds)wdDwcf4q&pu!dMN26D*S$)@N8i$ z{}RIS>dil4Ej>Lyu#iGjUK=x+3GE7EU;HDpO zrZlJTT6dbly;}-}lOkUu2%&2Cc5~iw#E^HpY7Kx~s9wmvMDF6k^TH~CH-+H@jR2if z>F7=A;$!BWsoFM|G#nll?YdV88^BYnt~@8IlosT^87+rs!12lCR>aIF2phnGBc!@)V>LFwXgkv1AI7K>KE}0YBP_%YBhkvv~` zmB6U0v`_hRdz$g-2k{Ccp^B@6ID&{;n5&!f2&|~sY?YksETdagaY6WEfVMB+HKmhp z_wwpql$=UNDx`~Rd45`g|704WQ(oF~5FuX^-LX`Udwty~L(=x9`lX!nV{0>r8pb)| zu^B1%{$pF5+dCVZQHlxlsTNpKq0HY7maEO-xwna{InI6GT8!{c3~CDrNE!Ut%*gG= z?1jaInD*URe!KiZ_62`urhsviUlR6fZ{$<&?wnn?R9-$LH$mNuVEY2nb%J=WES8p>i1V%tjgZ*PR!r4!KX~Kj=71BW(=~09E^`eRPifx%q2}3 zOY$%fv!3_f_mj}0|Og`aP zia6GR^x}J|(nRE+_rd;AZnf&Pt~D+X(@$TOz?ThYQkLXM`yO|vF2y9hO}Ub?Y8w`If-ue+eUs<@ilUb$u9Tnc)5EGR^1)NS9 zp5N5%bgq30BabmSPNRL__Z5fR&2b8k&aOnmFKcN?_GPYd&W0^STo`<=ebEMOPjtr*4tw z*|Y9p7ZlGNU^oO)_y0doSyDpEiG+lNf~mDzW(ay1Gj6mIu-gpt^~@e2h;++(yio{R6;-+q=pzmLQ)t3krL@< z1nKS=;9E1`K6{`4`@K8fefIyH_w!nF$;k6O>sjkw_geSwPDqX&JC=iY9Q=Fim?>yC zz)xl96B}bo3p#GjYh2g3=)~-d&GhN`xH;*#xH!Ovn-hF}Bt<7}Yzw86&^NXP_nOXd zVY;Yy!u&XP{;)^Pf1WxY{?76E+)#@p)&1ABIuAK*S8A|b^Ly|pnu zCF_o?zcImfW>E74x4`Eo`R`Gy_R9BX6e?p0?kc+9xjRm;lg_88x_xeWKc3G&Ipe^} z-hPAD?_hU-7KNG57P(liS^s-QV0pRHK}>s*xr86Yy0cgk^#V{=w?Vo;JirN8u)$QGkhp!jM>2sN($y z#ryM|SY%zv%G()l((P3o!PkD~=}hXh_sMFCl&NG7Ki3NNGuvf6mnh1xuiiSmxqq+t zg(ulU``t`&88(^ACk9LA-q!5CXW@^VM845H>ICpo@9TM=;QKM zVMd-9ynNn$c|aEz^Q8GDEcU^apof01RTKkEHUmZoAL*cF}OAsWOCkMoE)k^PCrV{exqX%-K`fv*6BY$oRhK7@q z;_-yl`y(aVZNBYG+k)xv}BReMbhegnJZ@I_}- z^(5^ElOy?lx(|g_$o8y0Ah!t^_bSeNXyrRV@EnL+XoARQC`;yc*Jai938{ySMOy3k z-S-C{z}`$i0`$9LSno%4I(IQ`Z`*KWhQ8Kj4^^_vWl(xJtCTQ4*;e^R%EY}$Qu#+` zXqpk(?M(F)A{|=W+V&@x5+cicB001)QOog^*?&t3S}?3}ANHm@OSrKu!3FR=%H(CXvkhZrs2Y5SLBwML ztiWoPM8Ql;1mOG1nJ^UUU8Lgu7C2cWj1K}9Y8@uGvO1~tkddcajUnqtXK0%F3A+8f zNT!%YYPtZw=DxRDM{7|%=;~ZrS-lIEOAc}1u{?{IpB$)m9X#kxx$cTtr|Uxa2YUB+ zUY`u;*Hutg4Cue`sIr(EEhx+{4WYLN%b{@SWYO+ze{_@W7C7C^!`C_w&+VXx|39DG zf3xU$o0oxjE3&h0wiUO!S{RA&&|1)1)T$`kLhMx!X`+xDO)cqY(GZfrv3~<~@Uyts zvlJ3+C>h~D$P?*7EQzp7`?@r1GaZrqwcN35vp+cguin`186Eek;|Z#5+}$@!em=aa z2lqQfL^S^UrD{A}sy?t(%BgUHyrTomjjPLa;3Ys&on&9w(K{ow9|LQ0R(z<1iV(Gh z0EyhaFLwl*n2Y|mgQj0?K2f{?LFwHTfSyJKL_}N!F!1Rc5Elnlt06=eJWBVTtWG00 z_7{Mt79lt|sDl%gBv>A-SRbf|v@Xx{wTjHOt#hK}@WPNZt38d$_9~qK@l6mvZTcY~ z{&G1;JG0gfH$*JPCM3+KFCXDt?O_enlU=z3l-2U;5TYegZqvbmFM)=pa&f>i)}y!D z&jV0RZO!r=gSKr3rc)+fG34tVTL`UNB`(pXfvmQ|OR zZ?7#)1&z3mp%DA0b|clJj&DX)%N}WIJPF4%x_@ZNf3-1SPd+`wU5L_s5`{7o2?DDF z*9JKD+e}NH$RKJA@nZ}8PYq%J6bJD-wYW52u zus@42CzYc$=Yxr_JtEpD*G;R{ZykF>LqMgwXSsv1AXyGqo00xdmVcj}_SedCHRb;5 zk(^x|0s7be$ig-EDwopjmFfM3g^3PCN{JiBB2luaZGqe#(%TnQ?IaB7Pgd)6Jz=H# z!zTKd+mXfNguh!d_HI-SRt{G>+AZ($eYfvTmixtUBrGg0SO^Q^)vw$OwZZZIx=2-EL34_HZmEdlu4F%p1yPTN8kd+>(@_*Xms#N+mFR>u=nSG!aW%vV)e81a&LvEg5T zdV(bJ7#1_#C7k<`V&_9&cr!5k##iD8j&@g-A2TWwH+Sf9(%$}ct=D-v2)kMqBp<87j z=B4vEhM`M)>&Ooy9y_WzN$YXOZZg@=i+spUZWBq=WRhrTRSH$CyF|L$*xk61pDsK1 zWW?DdDEp-_f9F%-Qd!(rJL9A-syk(~v8)bQHcSjsgY|)IA2JeYNR?>~TnECfJNJVHFwS)Giwnmp`h%4u|D!UBCd-#8n zX1Ls#*m7SlChYSaDc>Y@A7S#>5c0GU)_YGnRf6X{2^mc<=wIke9?qwwZV=j>zn0dtVJ!E5m`eu!RhC8I?57tZjHNAnxms>tsscclaNLm{T2P2*<-6gBA!}l zk<(D;XszPqyPs>uX3<~KzoFKtTE6%B@WzfN-r+NXj;LfU`@N3#wnn0n0vl|!o`&gp=JUQumOk=8e5+?4iM=vKray_sUmfd}t)KGoB8 z=f*jiJH&mZ-qTuSS_Cbu_q4$#QV}4!;&e-ke zNZU#_4dy{5a$he3H`m^|hSPN-kCuD54Z*vyG=3iLR@$g(pj_;XBh&Sn++aB+Tg}sL z3|`N9^3CV@OwPS6n#HE@rxBr|@I1+o_*C8AECt>JCj&! zKO{dd5kgByh_ISq@^y}m|FV+s{FcHn{hcQhJZmXjS)91_=OPM=W_@{BoKaR*e|3OVy3#z*8@` zh`L{C7M-IOWiQ?#-2607I?|)k!c-`=dlg$Ez=9sE3e<4pU2`kdggC+4RtwQH&m4jd z&Q?B3^rMZ*4MeB?bo-*$504RNvv0=aUSY(9fIOUbhvY4|{`0}nvDasPaeQ>u8==-i z5F&3&324lAv+(;dlNKq%pj$?pI`C+xavI`BJEnVlZ@OB9bEVM7Ar6Yf*-10iGEP8b ztrD0DSvn>s51hfg+wEG65#RZ=p}gzl>_#?tuYIknu!aCHOCR!bQ)@Ojv#RR(J8y?* z>*JA_nMZ*;`q13|t2@Bq)~&}XhaiuQwJHTnl*x)=qE~UM-w(x@g^;!{bf*)TsJhE6 z9KFB4|7h-LKD&(5vBx|V|7B68dS;iL2ybeaeDB%IMZGn*Ko|V_gWH8nALDY3({{xi z?edSfXV7Lx2^_dF4An_9_+3itWzL>eb5-$%*88 zBBcw@2^Tl>1qSKdF>iJVLpCGh5qZsxsXLZ^n#RO_8ZtU@(Ko`vZnV_l8V5>R=DN?_ zlX*={BZ3b&(JF~EcCm~&{#~6*{L*q9?z*`G{IOEDKeb6>L$$=0@r3}%JD1PDd@B8W z&_Ny-&IpyVnSb@8lr2&Zy}FTs5WzJ9$PG#Zoh%PyNsfWY17!&9gtGSXuI!4iyJv~k z-RrO0vx!>fT8guQ82i>0>6T^5q{r0JAbXc1g`&l%+s|ObK)qXbsj90aEI%yT zj)_>U*$mOtx(Aiq-t$laN#s1>6$xTV_xe8;*WVaS{|%^sqm$^Evkeowu*bxf5`-huFZ?G zMFL!52BBe9mil-jeCGva+m}j(Qbi(tMCexE&E-)PQDl`-bWHI$2UW$~)$XjQt!dOE zi|JYBL!vh!JPV&^^z_(n-zam2oT!nxZX~7P&@$&n0g!r>29ySI7I@kedP5hpJDR%l za0_%u*WBE+M`-DjwC*bSKMYUSBUvBjVTMKK=#uK!u=FaRTi{E1jud8zt5WS;{?3aR zNxF_6onuC~dVn?*!jnl-r^qEL9SHnitrcwbwA8lgy-hS#kH!##=-l&!FgUMZ76G1#=)BFGjauI%)d6$JQlU}&6m)S@0e+D3;Dssa zi-1ApkKekawHRGgQFLVPG$~rV9myH?#923ITgz--dN;zkfP{2iJpJTlfW|o4%h}QB zUbbmye$h1aI=jCKUp_Amx=EY|LtAPvge-1+MXk3r)#gp{QlZAAUlSB2p+S)9OAH_p z+D8;+WmWQf%tIx5?D8@ZJh|2~wV4d~LoCu}j_ak7nmfZGnaY=>%afjWVU|I%_H$0H z3nI&zLXVA!py!z0l(McuZI*N2#aC?EFOwwlYw|!lGsN=V5oNM9Or)(hNbi3x zP=}#ZSJL;J?yUu7>Zy+z^LKN(m2Vkr zsOR81(MuL0_f5%MLA{eKzyYh_HhaTIAi7Gg9SPEOKi#&ovUa!d2cDLO2?#5dQFA~b z(+!(5RWibp`uSljhIfca6*&XggkIWm$#! z&N6+xsG4$r10wpSZpH-2Gh+24upmpgULZsnc8W=$&AG%$*+86tZD-rzRA9=9asU%{ zzQcq@T~!X&GI@Y)!W?*DFJe_4iUm|lO|!4t7B%;QgMH@05%B3ijKNRI_T8x;RN9HJ zgrU)SSJ()hT0kNYkx#!v6j`FlS?Khvvy#Y{khK)1-F9;3`u9_1Oed8vFn~=Bt8#9f zk@dCV=@`RG7v!)Ic0JcKw209l{r(>D%E0_U&E8&HhDt#thKBe22BZM6a6-P5VojbS zhJ}CHX#?g|Y^dVc#`$-3u~Tm0m^{w8ANTF^<6u7^a05k*XSON<(nW-gg{VjmuV#4d znISVz+`M8tY)UFP+<2;rENcUhN7@X7Z}O&^r#F2cQ6$6lcETMDziHx?fQzS?T%B>@ zzPeFaoYJ%!YbN}?d4+lE@_7Br6AT1nu65Nwf<|{XWqiqJN$Mw1+C9-#RTTel)=50} z5L8GQ%2xBmemX0PclJ$lcpn2)`LNq!3|3OHomg{0Wa3}Cj>C<6{}Q{rWRcZCza4lO zgA;!|{Cfz7C!)bOSnrC41agWPNFbdcz*0^-laGV$xFKuh_zRa5pZ9j17bwUuCdT=&Yei-WGhH{DjBqXmP|;jy#^e8 zE&=-FtHa570$U9#(FBFdG5P*TzsIGn)wHOh;}O!W>*XCI>Kos(L(5qNZ5&aYY=TPd zHQ;qBwlKBRC5~-H6QAtl?$&okmv!`qeNqr$F+?N$IJS?TY#&eHO8zcWgVhNf4~Go; z5x=>c4_(Du%|_aDFvr8GA(lA#N;BHR$-*`pXVMB2Qj(;-H+$pcIP2VKAxJ`iyIHy(c7yR>dvXz34dRt%@Sms}U$$MfCt=RGhO~B(+ALT)txt^# zgD*QtJY>ka_wXExn1o|3q0=3X)puqI0!j{IT}ZWWXM#ticd>%>Iq+yd)S|VLw<}55 zs8VMp zp%tYDL8bJWxx};~(P^k+60TC3Qk|9$OH)>IWM^@PMa<4B<8DgX`=`88qRY~_CuAwH^Acf;S9HUY( zx1Cwt>#B2uH12cY<;ZXl8(M2YV(m8EuDGQ-glV&qw>J$}38>^gagAu?OEtax`V7vj zRMZ@_d<=q^Dx=%r?;;(l;UEKT0 z;*>uA`X1KKzIBN|j}r=}l?F^RuOo-4<2ti@K4*uND`}*R0qen$ac1g`R<|0*>gIQw z%US`Clq0H7OP9G&>MgwKB|A$@aGR+YHQzm#TupfmYo%_L^{U_$Y6xB+&45 z3XgQxSSnxmsk94kGa`Mw0he#dn=PmTCqn?=v%(apgL{zl`DV>8+7% z!p3u)!D`_^N|Jmx5eNtXLqvf`q^JJ3K-}`{Os9AS9?7(xA-@-~31Ak>q0}R9MfV2u zP~Ohl2_8D(&HK!`G5o9GE}2%e9UPx zTk=O-dhx7+^P`xIv4>}_qXa2r+;Hg@vIbg1mL*{Ca z?pP#9=RU>#;sUnnlq{hp`yiT;k`JL)^7Zr)N?EAmlWgg@%t8VcM@o4NvKUpyKuk74 z8zAEpeQ#s0)Y9P%H6~^G3;^0ny~WV>J8|TKBQmes6(7g5Jc$_^_TVA%{0=-m-qs@5 zPr4~!dk9OOA6goYriEsrs*g)Cr1@cwTI_On$j!7J!wQ+kZ8! zTLQ{yt(|??Y!w6e=m+xwR) z{z=`3ta-4`KFUIx(8``-4Sc3F-c>{jaUGQJu@b0{CLcOY~BkH;s zY2JxecAbbqc*G>)z}R(g)R!&sDaIq99-7z91Yfh8&)p2})!enp?*KMt5?9mA>D>HQ z%-PGjX(x8Da1uxEl@()73vPUcCuV7Sv3s#!9Jw-jW4&3o>Bua^FY!PmUp0sJVfA4t z4PyCRDG0qpUUTLyr?U4s(KBLaJY#RYs)SDzF5(G(TXJYH6s7^*6KA{TrJa>2Me8l$ZIgBY z-$N06mceirS1U&zA=I>E?yx3xoB%!|(>_UYsxqMhtjGM@Cv}PB`p2B1?T<*<$Arn8AS%HKGDYC`r5$VK)bEJawcVX;Dcw`{XNJ* zm&dyHzmi9PLDb*=8Y^F0x1X6Eq-|8oXv5+rPuqE#ulF=x_nmsw>g#>YCb!5@1}46# zH|)uCDMW5l^vE;@s^#Ol%?9QDE*>S?LnHR@A|(*BW1H7_s8(zAn{S1@vnbm9I_tMG z4Dh$Ex+!Q6{v?CeRxwn#R(r&c>_7f#F_w?gUpM0!^^5{heHw42bM!&jO2RDynB$Rop&cv_xy$ZC^cOx$CR-;960Q;X=v|+%iEe`mN6vHQ z!3*J8#c;eKSVrWwh5_+UaDbGz6|4|1@SB=mEI^T7D&#Xz7W-}gZ*O3a?Cj-3_+ID% zTN~Fy9~MQYZ2vuMidT`Mzks`VukA0qiBterqm@ZrNMUSnf338cS8&1ZOhF~X(>(Kp zQXDC`N*UZaEE_C}s#*X8cd={RWk0fmwGx{2Q-^EaC56dD)s*IxG72hKBr4fZKHMW= z@W+vG60QNndzhoogdhOA?xzf!9V1b>tnYkREF>PlEk_(Qe}`VbMt+%fpJ#Cpc-x*4z-ORe*;-A5Z9c-2 z-dix`0ng}HBKXcOG&w)c$eWFv1t9y0AdM;IOL<6ObC0caYOyBV<{{~wKgH|X$4TNb z1#Q3}W*X10l-sVWUbM)f&X6VC%UJLUN{jh2-7AOY2W0cKZoA99wSpmQ(^Wm!x5c{H zt^rk+rm-(QFAsi^C95n0V5afv&!#gZ>$7RE0B2SH(kZd&fh8D+@06D~!Pdt94J zMO2e0k10_E>7Bzr≪=kB@O(jYvHOcQm+%YwWz@z<^BJB z$DzA~ZC<}UOYinIV5KYVwrd!?TRI7Rm$F1Z>ojk}B;div-%W`jN7Z_$Z76|LfiqS> z2;;CryQI;C6vQ4!PtQ~n;1q8cwBrxh(T-fy4{oZi&5*wU6e7&E8{#TCw*X{Ibcgt% z%EVpyX9n;cxSF_LOsMaX8ao7sJ7VpVDHvMb!hqrQ$4RSznj#rnfle zVlhUkGN-D#1+t;LV4ak!e+4ReGzcIF^HgLv1`4|!qWSNL^N1{8&UcFyb=x7#NQUkL z>*f)nOgi+CsjAhgWdPSxRM4U8=?DlPQS8A36}&EB>nT(?bpIRy-6Nm9F^*}H+H9FF zuRq48cLZdQh{?vT%dTh&e1>;_1>Z5cNLpknW3~@^j(yB!LBOl}9fUcJgAgB4+zn-`5jkIZ_1$7BU3%JhcOyGjw`G?yi6sNi!mfMZq` zlD`bl^LKR1;PDUqiwWPTvxvB2gdaG4Jzbmn2qeE@Vyd`!jl>r@x@Ohv(qXs(lTGiK zwoQ$*2$YmKz#SKSh8sfbyEbJsLy;2TC`M;3_pB*dFKLM?ggXhz# zTlQz>ld!8jOvPdHJ}hBbmoX~* zH$A*Rqe1>Z!sY6(mR5F_)(qRKAIh6%oGH=pRvwud2y`P=A=4Kn)2}&Ad_L6b=K1cO z@rbHi{7<9y+!_5!TAMQUnoR6m6&1s1ypX?^}h}T)jvJ4EAiHm!m1}rzR_ge}W`H z0dI?vxz>SDORic{gbVyW(5)WW3Ct`>_2T z1pi+ve>t^ln@arj+TuM3WSxy3uQGLOM6=FsWw8{{;ek(a+8?KGZ5pGrN6cQ=B4N|+ zp34e?vjRe*P)bC;*935}{^u)i7f$V?n+WxCI`hT`)_;0Bb#ew`bfXD|T z7@G+n?(VQ=(!SIZld?%hFhZlv2jqpY%@ao_xAie|jfGrdgl6drF+u6>l73D~0|d5S z#&K#gET%_i1?L`%Kd@g3jTU@_ww&w;{~e&GhYk4{n5Jv-&}Kr_LYDI^N#G-V{N;Z; z;Xic3|0N4=C5+R6B92}iintz@fEs5K`A!@qhQa>R-Z?^W6{Y79#(eV|cIfuU&!N9$ zl>cT#`*Xv2b$_elSy18R2n&8+yQ4~_yG!?JTjP~|D~ zv9AO91E+J$E6#1rsYuJstlfbU98v;!Zt$==FKTGnCB(0%VKbIye{Et)(K1ACePO6Q z%QBc~m|FYeW+3&ICO+hDmFb9x(>ON8$0hYh*JN@rIaM>G^fI^tCWQ3{2g$$Mjf3X; zyqh=|cKdvZwLXU2AB+T!W&H7l+rE^8y$d7e%ZtT-#}NL&stR94MtNK&<_5#mkH3SB zNs+n@bi`stWVbM#*}T*9J8z2bJj`cNuG_hR?D$iTx*uEZ?>`Oy01Ny^DqO9X_ab~V z)oR0yx0rV@Y1FTak5a^-#w;pmL`MY(*A4aG{e+g5+S+$+`_Q-frt{)LDBpA!ZO=zE9ZzJDlXyKQH_=INpG|VXi)Y1F z{Y^`kD)+b)$?^e72-r|4}Xhj_~TRiy{W4I!y`6VwRW?|4;Pp<)~^tF;Lmv% ziq_IHb(=*IxFK2J6}(9B!4b8G(WVPE`@TkD5>P(p_+DJXA~;N`MG7+F@Aifwzm+Et z7Q5{}i~@N&`K+qpIkXk6;qO?>=?>f9t)W>F;*4lePpt2XP(n;IDk3(izUAb0MwDGN zc~6Lo#eDlXIG}1trBr6aAUkDJP@mMt3T*yyfpOAxanfa`*{e$Egvs9Qk5@d^n2Z>6 zWG)qHNh*I24frm{0kW48{>f(`&vTpAD7QJH3cfhIoAf+e|D&PsYIK|HmH+6ibzibJ z=)u;+%rPV|vZ$(UKabcXqRp)jHi?F-(?MIxz5`k z$l2zMA8*KhxjADi7zccJIj2?^EX5nzt!=5O?=@UH94qf7WK`Vt?(9iBpff6$BSTi? z_$l?ejZ7EKFWXwIG`xudu`&%?MSh<^oVh34*4m$m>Cz4MhD7>FZSO~_p1NmsiiwFo z^zzlv0@#}=DEOJ>|K{4&(92F@4C~xA=VFOHQ^r87b~X#YbF`%YIP7vJ+9Di(_WrNq zqJy=rgkF9Jlbk_!#dL=o_1;h*lwLsa(mfD1?fHlgJdOWJX9$1Bi<{YN?0QCI<4dZb zwwLY<_ns*7-CN8hNb`^Bs$n-`Pe=gESwblI+G!IiKP8b`+SI~v&f zbSEi3vL5d=-Eu4=QWBM=Fk48Ok478^aAph(*xQM+Xb=#hj z$tycD?iL860r8dSAinH7VwL#dBKHf(iGl%s3Vo2~llcf8yZIh89Y#<|0DbXU#rYRQ z^^J7Z)xs6)bMN>A3aR2^=|N=c9L_B7xk_nlXr2Z3x+q0>%`!!2)3{`C{iLJ_AU`aI z{{MbajsG5CgXG=)78>;8jMh?gZh6a2)%(eEgwYFJOrYPB3?4UHq4L=Xm+f2DW-cGB z6bK*R3^AVGbXIcz=CFeDk5I9r%1Yi)v|Y017^p1&(&S6A)HB zcRuz9fhYWLd9BF|t>bUCg4oNLpa`wBEk1SBI0WTi9Ydg`z!B!w7rAFqz|s13@KLPW zw4BhUVw_uV)ilojx}Uoq3n;JW=SZ+%_;6GYu`^*d1uDs^Zuc zf@*U-Y7^?Zp3qh3JUlThjqY>AU}N2_Z}IA`bF}k9X8d+`eAg=Prh#+xT+=>pA$+mP zU9PXEsnbSoz1eU*JHF%{AD#%$IUpozc(1;2>$4OD51z0ndy13&lr(RsXNi7uof|Fu zI`(HNdC7k}G|D*|rd~Cpk`6eLy7TQ#=E^_-$v(Y>^=8RKos`zNl}cQ@^3hopH#3YK z6Wgfsn&R+1LHNhV~8<7WYlw+YCa8S{EkJ<#w_;XRjIUA^C?i4qFhtl z{TsynTS>bN2L`qx1O7f2;y;ANf3jZoV-|lGr1+Cu!k^?FS$>kmu&pbi>~&sltW;rl zc2XGw%$WkzY>8!T$#2sIr3|K^GbX`hUn9yMLN+8HEWBLf1_eNcm zQQ6h8+NI{sy?ixDKMifxCA4{Uqe0kDz$VJZ&M68q(%@)+rS-+LW~z@Ftae4WHK(DU z%${+lL~}m^Ijg;#ZTFsO0gKpe-~3gI+2$s4mwD5`-RB#Sx_NSkX4QJCRkQd>x}HgH zJDz)*%Tk(|SxMNgqnd#y5}KkAR1#i4U9pu{PUvwd7!CC18Mc{qr|l* z7wCI@3@$@B{jr*@fcB<0(w`<|qqVL;AM!eUS_9z^ z1@9iEMc$7NZ;1mLQlH+KErd@)A6|jlqyw?TeKqDLNTLb9-#31>e31In%r2kH6#8md zy7VgWvA({kjJY~^wFBL;tfZ=u+%%O>wfsi+=J?{HNjO97HY?8bsH2k0iMqjgV`+}^ zs#}W8&22hW=xlGd6Fr_`XJi!uuFgP_qf1;Z$qZz5<55(dDZ>y>e}H82p39g$F8oR6 zH2g(NvX%iBq|}qFE*Txz+#9s@g%)EV?ZzdHxo8aGC_d&^{|DOQ=iP8u%CYQ*;# zwB$&Pn;=O@cNXM9yfzY5*Oq5B!ZQCaQtdmM1l8qniV}I5c$p{%W2c}~;pN=&tz?Q~>=`Z3TN<(Xn4BqgKKoS> zd@=0lp>T6nHN;~{BI}&^Frn`iLtKgPHYGlSJzY3E8z@|vU5FJSk7r`@*ruSS<++xx z@LH0k*IM7Y-Q5JO?mkbc46Gu7e1X2vi8~x%B%ez}cjk5kQ{*^i%|sbHJ^N#OW6i8= zk|V)LrUvNT?A=7Z^oY_=jh^cq58*Ke#)X#q|ZNI8vNyn^tl zwW^wxb(|nubgFG|fQfI(StCGtO^i43#oSQ<%g+Q`D*S=cR{z7JGgrN~DXYYfQE+-v zlhyKD<-*GFRRu=a9lwjc?+rl2>?c2pCE~4;v-WYCy)L*epez-LC~=YN%8dt*%)%l4 zwS^qAYwaw6SEA)4z$(QXH}W}NOvn!cA6{_RSXeS~gd;<2fQ9C6hdgleR;-+m-An;> zjnd*FT5TVdlBH~8w5dRm0{OF;YEvM|>I}t4Ghzw=9kB`$7VafErTPJj^)>nYPtws> zug4@ej6BHxRTw&mg3mWsV$%cB=S92D6}w-W(BS*ltD5*{_~wm?k(s^4EU(a3?|wI- zVYg{08uimO>9pPUE!O|sq-ds2+N467^?1IDX0giOxo=(Wo(PkOYk)9DJGgb%7hhlH zHg=_SG`qaPjYkHbnuQI!-DJxmQ$>E-*1!g)2NUJQIZwK<=hC3a3@9R{)RkG*412^P zC6U>SB~y-vPM$?q^E!jJQpk6lkRXNW!$S8j>Y=MfDaFB>vIWsm4*uqCZwKO&wa5S& zPN2*EqoOo5u5jbRcjRKV^da z*9WW4sN5=k7CsO&c^h{#>iY%*ZpKtgD%nYmE$PJo-&sciaum@nk#EEu*N{_^^L=< zIp6D)z?@`LcooVjt;$Z0uKI*J8m<9?G_5&Vg@iKj9Upm-&S1Ztm%;Z-;%1L+EO=AR ze6D6;dh8oYs7N`PMQiWdH!zxh7sOx^47mH~OfvDjtr@W8g*S>*fkFZ0c zav2gX@-QF`7!^@D7d$}6-45t!sAAF=sca^ev=H56W>`6+1`hOq5CQe*oQMJpZ4W2J zFqrM_U%d|g`Vjxf&M&et^jfKgO?#bVa}r4h=Pc00C)$5e+X*wF7v3=u^2;S!D0FeG zk$ZQ zNQ&Js&p#~~lFGl)YaRE*m*({kRrNn|iT_>PFS^D!2YbrSJ0X74Sza@Vf*FLvT4F_J zF5Wjl9WV=cbc*rSmSjf>qwC@Q_%tOAd(&ZFv0j4`L{H{dNFW23W>p%>CTSd9-x(D& z$y4mqQ(XLZ)qZz>rpNNY%mrCp*tZWu4YA8+zd1{9+5FSIxeLe0@VxWeYS^nxJVqmF*FqC#nZ0HhJ^SrTmEf!W@>~sfHvw79ku|2uf>O z0w!&UwwOdn27^x&&Dn=YzjD&Y&GnqEICmZf1!Y3WYsfiqEl;PY*_YqD(sYZvfe5sa z7xL^U!KE|xPZb<*l6Msh2yv@UycBTf2hH2tgaVV3j2&1zP}8f_ROK-;u{jHej?wQ3 zhaFg3XZvaAKh-( zJi+Z>pE50fH4pD^+gM%MGb8Tz=GAQ(`$lWhw=YuP_9g9=xmD+&0L&MbWb$zjZ|`C9 z-pzV@_5p1lkkE-;f`^=-o!||H%{At#6?16KI|!!A7(eQVaVDFD!!Gu?4w^*EOs!J3 z35^nE=i-DX;L3a8C>Fk|;|s3~Q1RCKP%JZP<2F-!;T_$Dc-GMzR44t{+w1{SOdj9h zsJfm^S0~ef&-t_N3xY+>23Aj97mio!DPEAbGR5uWrrheLjC#+TM=-}Zaa1I>GNSsK z_i&RAA(%FbfRjTQt4NytQB!9@d1pZ}WR(-nYmu$rvzB7*-M)&4*tor$wS5V-r<9;K z2umjVxQLDtYp=oF>3d^mQYMv zmnvgr7-AvM3Zg8pJe-QEqtDwFl3Q3z{$X+?SvuLg7Ngxfdqz~cYiw_rCFGP9zi3{X zR<2PoAMBk!npZ9Bn~3z$tNaSFuE{Fs*Pkpo9JApiiL1^9$)O9l_e*f=O~&di7GJyH z20QF`N&zP^ z_v)-JlTWbi`qt?ku4HR(dZC6&F^&sb*Sf1TKGq-vdVQ^jYn3ValnXGEe;Gffg+$&e zuzl6ar8Cc!NHA7`pYt<2U>*hz4EB#tmaGVibz@8Ny6S@>=Fn5JdNWxHapVtSi%Ye^ zS8>5NLhy5l1FEw2p=pPdY_o=+1?~&H0;*fFUgqSJ>}Ft*7|YR1K{YL~KuXg}|G;pX z>SQ;~NigZA&wy4^_CHeul)>onWIIhXsY6-sgr{yksM3fb@IB{X7azaXk6%;;8=e+5 zJmn2s<$enoE)=sc!E02TxWHReMA!6hGF8BJel|5=w5h17R97@RQd@I(v(&zfA9`Tv zJOZ)Y-sSRupn2=1<^@wyK(>XwC_H6^NyZ$@F2Z4ETedQR#C>1p8VdYv<%0vq z^v~+(=osx7r8J#+6a6%b@+U8i*soq1CsAHvQRJ7OqEKIGXKwq8;{T+(vM;NZ+j^-P zpW=4@y|!V#XKP-0XU}@Am2dBsmSWe5hv##e9mCZ&*K*W41(QAxYesZ&edyt$6aLWN z*gy(Qj-3;9 literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7c8f56091a0e170804d7bf62919f50d7bb7a50f7 GIT binary patch literal 25500 zcmZs>19YT8^DmqYH+HhIZDV6=V>=t$#>Td7Yhv5BZEtMe+4nu?e&46z%62!))@t&9jcm>CI~m>7Ux zW=0^ZBtzs4T-UYirnb?X?p(IHYJAdon~g|zK^)_1FHp*I6pBV53Pck6Zoltx^%q6x zr0rj6WbtFWg(#5o;j62)i)n~M&vJ_Ch?6UZcfEpZ**1Fn2`9$Z%W&e@Lta?8A8P0B zBy@V8=M6`=izJ#LPPek)LQkB>mV1CJxM>i|z9EJn8{x?j!G z_MDLKa`>?Kx~$Uc^Gs_Apq--UKED>y^Q0PpD36T~mIKvu40b)*Z`!xwQHekFu3t$I zIrvQv_r#yOce*8MfA^32>n@9g2dypP0S#%i~jph(FDpbYm#SYK3>z1#W7df0Vs92_8MyDKFZat@F=@GWaguyjKwb z(zY*yd<>T#^m8|j9KU8>f`A=O9wv+J;5SJyb zVY+Ilxmi15)AB{)@pY-97sFeHet8FTc$8>E5wA7!IJJFkg`Xj z7GLA|F!EEGs{MUihwf+F9wJRJCs2j+iH)$df#otoeA;EF{{xjnb=`ZFieKKpE0=$j z`q+6b_;ZW9_r97qEPp_j6Jc^+oSq;_>l+SbDC62N+zkYct#W)5@fy@_V>^``IfF|@B9n*dE#zlz7bSQ8{xuZb$RYCJuC5|{troh zA?btqEzQEHdW@Iw1l2-8>sq{~AyzY-r~UL}Or{&1Yo;iP^TCdm6+pe%+m;-!PiI35 zLnuzLq2>l=gPy*^TM)eVzQ4C+X8q#KwW#L)ndNmFWRC5e_ z=dZKuH*%cc6jhQto`ZJe}vp?6S_Y!GrUaq2@*v~*q zJnYBJX`-Td_^+vtS5;SsFBUYvvXR7x)_zyg#Xl1*>EaS#ORH5-R6_BYws0)K9wB3% zQb0OJk?#!OBvhn9j-!doQQ#F1l1b|Det)*Vb!MB_Pun|*DympSUFzT5oK^r!A?^)t-NJl?+Y(SDs=fWqxafatCXOPJrl~A0#Z{&{O z9y2_}Yi7T8-jzNSOrZO>%Tdod;?1#j=)Fc2%mFR{;04#t|2DKp`<6-&{j%Fxg!o%} z@Dzvp)S^o7kM5at|IutrWLgR5OH-2A0p@a@HGDMkwWN%edmCV_mpfXishtFGZGcP? zXa8+v@8Ih*bExbf)Z?+pfC-d9v73KcFSG9L3=xEnpriRJMhVJ(?)j{ju$mt?a$q(* zLF@o(S#ocN4dV`tV%yN%f{tPxuIu*GMGly6n}nB@{@<@ZEC#8*)Y~+94S4OgXUi~U zA|mdE1KeEXyy$Vpjn+eE$mu1|&bqvEL^EIUrT1@$p2yxUJ8OL`$ZiwI38QytA}^y3 z3C8P7{_dV{kCiY{*stwhN`$c6mvQhdDAurEa6V+a(bLH4=uWbBy@L6^t$BNQSG8e0 z1fF|*VwVnkJtFrY%7Ag&ro1^~SMGBjcJmkaGw;U_K<(qJ4VeeLS)^;yEq}@>E*l;z z7F>P9t~fv8u3Mb0sor0Ku}Dbi&5(HUgbA3741r=0#lGNpz7(hp^>G@0ws)vR1$aCZ z_g;DNpuO4CU9f6*(cT42KZij){qeC87KXACNCq_YYaT$)@A$k=yb0O4+Y#K_N5NJP zKg+6cei?Z09A_*4S(zdZN#(e=*LG`PjMdz_8h@+JRB-N@@7S9z2YBz(tHkh3vR#vv z^M9hR^<Tt;EI)bJHtY^2QVuiEuG zUhN&8Kfjr%Q&hF|*I)R#8t#9PZr!KCaoI^64`i%q)zv0lNBxX3%n|Q@biVFo^RdfW z8FD7^=7f~bCEiO#(0XOM*27;)ZLfV$=Z$>nRp(5N2+e&}Th0qb6)yf3D^9$Oh~2+I1mCYX zN&I~lD^aUdf&QJYOIuFQ#;0v<3Ade{v_do_$oa(VG*+HkZ}eVB_?U(`VE@{^a=r$3 zQ{@ zZowrbk_%doGs%VJMa_u!U2dEi`Z?P>4iPq3a&*{=Vlr-$un5zoOld`v$yQSI*-WC# zdeS1~S)IfA?2(8j)$2>( zH)*X;Ow5Hjq;c9b)@CN>f^Cx7o$8$eGd_q1CW+G#ZMl(PT~jcGIV{8M>c0?1i-Vi5 zWeay{GmCd=fS?9~3JA(fj9m&Z8!B`3s@3#NVLD2)iJNQRLirOw@?7hg?^JDTgoG(l z;P_Z0=Uf7a)y|D(p7sx`Y8M3h$}@@Ia-~ethk{Ye)PvcI?mp!jSDc;2D;d*aWvC1? zI~`a!@-iD>bbC)T^12y=POl3jYIC0yLbUOTbkOwL_ zXHry@EL~I=7XWd`{>dUH3g0fssfI3E*A*EW11oT`Wd3QRSXKl4r$l4|?$#_%{;!{_A?DH$}j=la|81VA7W*fdvYXW!3bw!{P6wi z{m$lId`u~kL|?I1Ae!vgQ$uB}wE1(av|N9bHV?dZ}V<5JHSO#Jm zh+!bQ4;sEVACw$Z5+6HF)$Pi|bq&&Y@9Hz+9oaqX)ql@y;loA>nGH5%qlUTL0!U!< zRZC!JmrG##0HF&69}xKE63Cw~6if4?hObUM!GZS(oTHP}5x=LAsVp^1A|Xoj_R(mw zx6Ut2l@vN$t?dK9md|lqhxtb0wTmI{IcPaCh|Ajz^pRnk3i@Z$ZYbkT2&$;eA7`;8 z>tmKA(!CqR<}M1@{z>DAUrRY?mn5)BLM=){Zvx~j3o%6-=yK*Ih_axgh(#$OmV_6S z$y1H?M7fufRnlZSB#z7L6q#>`v(87QsQMzF2aQfek+ZV&B$I`>Q{vD^2O>0y>o8A5 z>Bw~#exm>j9VaE(3L9Za*sTdwg>hV?VIw#I?$O!r3GoHH+wld+q+}F*?Ju}EC9pa{ zLAOFxjz?!+S*QL#Z0x;X{J#<*OhDnq;5WCVoH?^aNZPG&SxpV$TsgVz7r|jOG>UZJC`#Ys{141ui;m*dC|Ayon>om+ z6n3+Y2EqLzcx(pi88`EQA!!^|-H3{tF(w>aSGWDfAHb5}|3E4#Zo~}Pw~nrdEZNLD zR0IBB!sBi~g>~07Y}HY;8?|gQ<7N%{KajuG+0FbW`;+jt9WKC5IL!WEa&E`Ab(0#! zLDzA^4TlZr&;7rN6E-3PybJvO(KTeUq6Yru4VZsVJ4&8A7CRkDZNp-shOj))Exrh0nY*@e?g`YaNxSr z`l15u_|s(~R&vaeJQPQ6XpU>itU54T{1k0aqV1N@&}PJfphv+!uO!~lwP!Of6%?iO z?SWdWshB{e^?h%YKb)MXe2NW?K&`;tOFK&J)}ACiJjedlo=q0%xdod7#%m)K6>?_m zc2&{#&O*69rCebg=nt7^8MgC9k%e+?3bsQ322F=@)Lo-)`H;C5gUbFz74Bk|%{oH? zvsk9HK8KAFwDd5EEE)6OeTI0ZPt|b9X6N@7Y@OcW#S>V*YBAGmdp3`Nn2K3Epsi0N zeEZz&^KBfAt)y4y;;qZi82}5|lOo&Miu7XXZw9vf;B%D(`+-xv-?;X#DXAj39U1E^ z!(8C#$QY7)dpvtGm6-MxuVTm{rDBe_y^AL>bx<(Xk^{)v385q{*qyy8rcswW`(pPY zJ)tDGR!H`tz<}z&P*n=zJC&oj9~IfkSEQp#cY8vGjoHFDMgD_m&P%Q-x9i51I5Jwi z7*27YeSS^n<;GRqVXDSmTyeW75`+_rdkEJni2os)i6hPh5$whbXX+>^VE6xD9Q`D+ zUnu*bhU~+6L$jfrZY0T%TG*tL$MEn7W%1KJh84Go*fHXMB}O-twmyPe?iV~VoNzk6 zP@FbKasu-G4f-S&uLBth@~nHYxn@pqf?+2}?+rMrzvU2g-B#x@zhgGUl5}GAK;?GBtoy!+|(6)m3pcEH(ctE=!l(v`oS@4KB)yHn={w zU&OmbSm(X*Py8>eY7s89Zj;@>YoV@u=QH^@)(s%ufxuOWW90!t8wf9jIO0s?-@zR= zMC+;rLuBFweL!>p(FQ~l5Orh}`PE?DKBC7~xeK$I#82=pZ8^!Vy5q36p10A2$DrIi z2-AH6$|c7TPB+B3;oYg2yqFISdY%!a%cRJ9rfdOHSm=*^k9T%0C9;19(nM}!LtU9d z;VgqhIhgWw-91~vusaujEu7TZ!0QwXpqf zodYtFR1nj5qIMNEG|X|NJQxB*olrSJ3;yA4&!|*E#%BZ)mYqD%L7}K$kYq&Qyebv9 z^4~dcf*5NM4;o=eDLZeb?~#I%hPYPi$5~#lU-hte!dK>EH5gG3Lpzoklf@9)lfz|D4h;4d zYSZ_#%sdBikVkui5mPAW_L!o%eU%WOX)`}CvU!d4FI65LtGbB1zYR;q$D-5GCdV(6aNP zD48ZGBp!9x;uy?zW}UZ^b-|Gh4O_c`6oZflWEu8uiry-HAmsk(k-uwdISFHTrOP2P zP6iko;NY^Z$tbaPl;v65N`Q0nm*DJ4vVZ<88H8xTPZ%i?wS$tGGBUQa)F0R?GH_AY z(YW&;2 z!3bjDNXFBqipYWF_WqkX->Kw%B{BU`JC(EQ#?4NX?eK>Na$=QYr z)dnY%A$-tILt_`Q81M&IoLu)Tmk);t+^Ii?~*tJx4MP3((;vwXg9ECPi>*j2-B;L5=5Jfe=E0;xLui z+bQlX^F7&d8>o37!VF&O)FJUkR=}F9(({Y&| zGJkiige78$#ADA;L%Qed#zZW3cj89WLuq2C@5dUZ%baxU{{sD{{~cqTi7|o*yPgCC z?!%731Hwcbw6C$0U4kxxA_1~lQ-4n1_PY%Bg|VMxKqm*Ixg7vl+E@oofPDI`>$ig6 zC?3d3U7pkoB8#$9c~d#^S`s)5FA80d5r!hhfkGB(LoD@UQy`JC&7IP~Xt{8kAXcBe7=UaJw>mk*?NSUqe>c&LGa(8Pssh;yh)7cM$2ci|*;L%!X_p^sy1w-*V1m)kW}mvIxIwLA2fz z*Z0B;Hca<$X@#$)v-+k&AJHpLAh|t55EK(#W$En<{4@USo8eVaxuSB^EPYUqgaCzCn?QlBD(r$WndKMwjZlHRLhVYiFY=FC6h}i z8*n2CzP7?)Cy1vI>A8ko(TH23xeVwse>l0T<%AM0ZxmV#uL@C_`%ch>3xc0r)kjtt z6w)h(dVz`Gmr;alcZ2+kxIDWAReeTt1^q3MR~r^?d9Pia1f#I`X`h|`c@5?snCWIH5 z&kwkJZu)C;oxHkNqNPIK5_|%aM@%~#C2*QB+@aSA0zJ=zO9VZ6_*>+>Fi#obZSERZ zFwD=Y&Wi@U-~0at-gLO}`haOY3h?*%guuQkNC2Y=SN2>8`{;qN7rZ)xUR#_;a^@aw&JX)ie>1C4u)N;Nn$WC zMLj@duzZKMiV2ve*u8UEQU4xNCg=CSc8zDt>Zbx$+}m+Yqb*x}%^hmlYp88cE^;Y= zgqau@0iweW!I>O?22BH=bA7iMUlGj6)I{bl=O@Q+HSIs0vKwG8prb3|nF>ST(E`tY z>5FJn5VA;r$Y^>qp=Y-KD<-g zuJbu;dmqbKVvnj+56TkKuTN+1(jRoWcA7|#&jekkyFR?B8PW=3`n%>Jz0R~AlL7zDY}fZr0v%&U-z`c%KbjK zZm&hVG9))Rol;UQ09QcF{cx5EVpGLd}6wq zOJ(NbTpT`@xs6_9epRcp@tcHH>X9YfwqmhMol@cvK{p&r8-|lQKzx7 zXE_Nk&E`^_18Ek9#@>0m0F<}`vbIRiD64j0E_i>|9de}Dih8z<-cmYb(b8%a2?-ue z`g-nKa#TaLl}4k*;7H{mF`b@a=HQ)*&>*w{76k-5tZlm3Gr~|xbCsF7Y)NvJMRuo^ z(dt;-5jshIhN*J{Yja~$6sO4Rl_y@e8^sbjcb53xk_zF?a(i{?Dp<*lHPho>q{IQz z`8UHEz)rxY=lPm*HBJsvKZIfB&P1QXj*h$__yhrcjBgC7c8<=AzcG#)K&Saa4DNU4 zIddeJJq1KhSyQ*bti2Y!S&8noQ+`t_iDdFdkJYW``2m6{*i-nL!&O-nr24m1znfU-B${wRvtML9_16oNIXZ-ke*cceLpPW_>OLM(fLHH^jKg$nue=_B_x8;=+juDLJ7wtimPHkbu z!{jEMB)OGL$DiY&$k3oWYq>= z#)8h>54dFlo!&y??wTj{k0ul5KJsUHZd>_ItvJJx3~A&=iSJE72{jv%Fncp-%*0Gk z+{Kt4y%_9d+o<9fuI{!1bGOKLBNFiX1Up%V;~QQ=Rg=MnAstYI)e?BYA$z47HnGf90<4}rRxsL(gJ{a*{6}~f$eC)`e)s_l%?b?O)Hla6z(4ef z?VucCPxb`!7bK8X-7w>^s+rFhPALVUge)zGObzspt;OY5);qOcbe!Yv{H|EMx)WTy zm*FL^C^G#vKa=zYU8)|mMiF$aO!toUGFR{1TIq9x3oy`d1dgaQr9W`WEFmrUHf;hX z87})g0jYFr6DEd7^}gmSjKDFMlCIR8s>E+5`#~?i3m{6evG7Ex1WT#Obzz2n@f2Wp z9Jm5Qy+Wbdzxh2eUR|lp57L%r`cBI{txgLlJa{S^S1r%JIt##)aY6H$d=XcDV2kF zJNE2$%Q}Rfn<^aC3v@x0o>jUvLwdNJsY4D;QcEXaQ^LyA%kL5|m~;1jIk+W&zc*=B zE1u9LLb32J{#drxSuBxZk2Et0iFz7mE0cLZ7Z?JogRc|noDe-t^eF#x&^C-H))vwC+Y7F(KRIXr9 zRcBQ7PpVwOV*C)OhFXF*wsjM0q2ccR}vzcYW<1?#BpYxV44GFutkSD zX3CWKUw&7GeK(_J#T)PbWPbklCBtptR=SmjigBpgGFMhON(#- zvAGw&1$psAxkrnYbb|Y_sT(wa$lR&lcV%A1y)s>Bt%LxdQ)QM;l%^v{JE@g) zY}(i&H*Se*%J_z-uwu$_U!Tqrq|-t{5s7=LFmga(mE!%_=`c$NillHTrD#lI&p02C ze_?_c5)l(A1>uh>Z4^nc(Ad%u#az=?Qmet3aJa@5er=SdJ#kSNSSPxaz^Z43xGWr; zK{5jxA2=!K%+Mr4??r2sI7X}*AUk3^`i?ty}bi4g|8+z6c=c0^1VU_nmORL1ln9~Q5qsI$|Z(GCq-fpDP7UzPl3EbM^2(drN55Cx$<+8u_*Dib)Z6( z>jF6N>o);jQrX%+t}W20U0CbNjUfevoquHz@t43bA6TFx)n3dnr@}+QtU8=ThNr`V z23c`AsY27iq17GEU@eM82uN_THYoJY)nuw*M|fi=<8r4Y&+n1t5|J}ewI`%{Y05_B z^h?G2hK~E6@pvk1%ANHKJa) z*u-_QD2?cjDp0Cm(CX0d?#e}`5z*=}?vk22K?2pAYdOM3%OqOYDhw=95|j?r!LZ9M zHM0gv86SnzDps-wjOH9({}|@R(uGV>=VkmSR$1sM$bZ3CS`;)A7UHe}ohV?nc{Eo~ zA23>YiNN#={=vM9E5o*FV!F4T6;Hvi+=;!hOtnoF*GA0c0YGGY@xobO$yPno8Q1m{YiLEU7-0!ri-lQO`MQ& zOsadf2%I>~TxGSZ6$TZiw2ICT%s{;z$yiZk)AC@ESATMJ2dlwju^eWFc2oy2zGfqa}*^ z>l(TcUw0#^P3ZC%WeV?$xxHEg*?~M7ci1t3Rk4i{FPH2wB6Fc+89W6RXN~w#g~$=+ zBWAbUF~N8MWSPI`{!=%mn0jghMxuOL1>tP*DGc;{z9!!y1hE}0UbfE& zYvmW&7aUCJ@~cyyctW9og3279E_g&pgw!s{znl~(ah;3nz%2Pgwnx7+{6`|4WY8_$ z`+FwVweO<>Xkz9>neM~(KUKLx=iTjSl@L+%D#2j%5D|jHx`uCvDgKSQy*8WgKPX3X z4XS>kEvUT5+!2%ds0#)c$vXGG{qu8%vYgqguSmZtxox3nSgs9?782e)W2yugjK5e3 zbw5#x&|EH2v?oSc1_(bq=$u;BB67R`T(fx|D$jV`(`?OgIJz2isgm*d!Uc)b5Iw>h?U zZ;~HM(FPYoMYhy_PQRgyK^@ROF)(Wm^CFaCb9Ns8x|dFTH3NIXZ<7!`xw3m};&Bqx z)TMi+Z?+v5#wcQA9*Xc2XD3y`p-f3&T@hAy9nmp8iYmAh-Ih?#%88N}I4O zKd!S zL5z}Cvg{gPfF3`CU}+&RRz|xMAdx22u+D+OoW0Mn<+3QS%5Lp(7G+-e*+5&8s0ccb zK?4~>6$Q!nEG^GOHe(GAEUPD!)+zGn#@ zLVW=nIgyh%Ig|(Rp*eMI4kx$Q`o7cvJ>43*+)l+MG#p=DsiH?jjIBMiyi?hB7x9gx zQ#)5s@)d_1S-EY{RY|A%#w=;ujf9}1z#Ib;E9rq69E+vQ9D@*B>r5l2&^T%6#r%OG z&fz}Xs~l?|WApLogPkibdO8ewx&p&t?EG zrcnKztb#HHRkDW&@wAvI03N4}x%>E=Jpj}#ReFCxg;AM*j#*y}T~PqtabS0`eRTfD z+~j55b@o#Z23TdmYthF}A~2L(@=!jo z;?dx7Ial4hGbbbw=ZLR$yC7#k;pLSWR&BvjJ#6IzG96{5N=Uze-hxiW&bf>IbCRXf zDLvqyj|Obv#Xx5VGySRMu$>N0$lur2PRKXg$bhz+oy+GM{`uGRB2`QW6aXHm(;k62 zu4dP3)f5M2RPJ0cgs2|W$STTaQ_94}H?nHBO0RJ;69mh%Afp5OMkXh+)3Diw?amEj zq(z*gV%VmR^9!xe(AFuzF{brD`4i20@o@Qn_5qS9p$WKp#ZMl1&L`{mM5KDK~IWFE2Vrl?eC(Gl1wcen! zMb8kT>ySzhSgxaQygsz>qa$t2eECg#Vf%Q!TPO6(0FfYk$<(qi57dA&uy29Km>8nj zb#zBhaC{;{fIjS16)l&P#%9S?%U9`eiY7%ucrKM z4+mCzBzm8IP9Hxe4B;8%Y}USm+VES*c5)tRNAv2{X|BfjFui`JCbZhHVd;Q9Wy-K=AlR?$m zu3oxFmBYlfvwMULX)$Kq@UcGMBia)0`wS=K2jQOL&>_tYLi);nWV79yf-LqjpQrGa zhYkOjxZ%2*T$uIFV}UknwfAGqff!IIyuWs(`qz`Dncy(j{;w<5TeJO}!lygEOJ?#g zOS9vf!oJLgJBFu=8EUibd0~_X#aBcx4}S9_yvy246e82?T#<|RT-a8>b1 z;;$Qr95H42sPzp|4K*zFrtw;_DqK3c?l} zN0;%v*NwVir3!3Kft97pz~P2anF`UNIZKxD_5eHp8(KEQDXq7P6xj*y%`@f$?-D<3 zfuL~y98v)D`OdMbL2AH9v%VXpuNzr`-hw_I{gFBGnbE_?#~QNQ{4Dz!X?2KK2?9&q z1T#bR)|XNC`35!jJORjhJxzSL!x-aBlY3stH`}H+|Ei*WW8_>J^-)OQ9<4(>LNy`y z*mwzQ^MB>aX!Zlme#aW20Qi{m1AIuY|4#VW4nPQ2Zh26c7k&8GeIeAu;DOvCq9<|+ zNQC8==j+&n6|(SA#ipbBYX&k0sl9)~{_aG5{_ig~u>!bjzx_J5yY>0aTeTAN zIFvjE1?oP@$K~bKQn$fS%$#${zs2NH%p*~#vYau0c?yCeDnnAI>HZ9fR4k;7QQm?g ze$EFYi+{G0C_gy7ZW;!}H+V`URpJJ0U8zZ~2^WTQkA3>;k(pD(QCskQvqe`mDMGVQl%lj7{|+|7-t!q2E1{PhkQ^=nodZGZpD90`=Dzj90^j@O*6zh zt*|7~?we$&r|&r&=#06#ZPJh;I;< zimS|I_{;!SyDAUkeqEy0F5xUrJoy3zrioJz1bpz8-nEpT@52HEX}No5ws)pThlKC; z@^;0vrTV)eNJV<+2UdH85<6UsrtIHP9**l@flI5NJKGyO;*il1b~C!^7xZRBl2Z&;v|884yUTh%k_l5 zvy%q!gNQQ)O!XbUsUJC>RbLzG<5wU|P~bNn66ve1eo@!wuzIn)Ih4L(134GzH>{*D z0Lz;s7)L$B-Ji@=Iqb$XZ!GGr-ivjZ)d=MWuY|N_^lHqqdF$=pM+HJ`U17|{Pvf7S zpchKV;SN)!T82i4^&H;bT4^!2lPgDeXK^)Gly?f%SnFP@Xh!4K&>$1=q4mXXsOz~&98`_}a2h-g z3JR38)x7ZQ;|0vh7c;9*!fT?Os4oBcBET$NK{=5brP}yv1JB3Lh&t!Xx_uqxviZYU z<~<88>Wp=gLg-rp1-x)`ZDm%>!(WC79c5LHHNia&C`F1EJBU+MCWH2iM0w^<5t#cX z_`N2=_*e&2au|%LhyL|rjV#uQ6A2V+ajM%MniLN{P(bz}aJvmW9syE_5FlmO3#_M= z2d!iHngVi^Kus0VZm4gW^1u0OT>BF&qvdSUofvsFvm{v;9>HavaHZP91 zDTBR_lEpTpgn=Fy!M?8=^P5wkKGx5DlBIZSc~_Q#p6Y3XO1`C13dkau{&5C28RTB{ z{e$^kf4ZMO*{O@n!%mQE#yI)wgo2><{b9<3@|(N=D{!}Nj)@BDzSx&al<8mqp_06E zW+65*{>2UwjSWQC*BZqd` zQ5*B2Lmt#GAHuc5=3acn2BD(45Eq!(VPYOHMrF!l43)d0-8lh0h`&D27sLufI^ZvR zjof%HkIA`V*L31D?}vW9QbYEEQh6{zCphH?Fkoi8RPv4boq3PUq#_;Cv48U%%DOET zoO6@auGik-!6=nzo%TXjJ^m#Af>1dFfe(DEw#>mX~_o~``6@R~RrwJ>6;;A1>&kLq$ z#S$QEEJbSgKB>lbTociJ9B`K5O`AGN=g*T2dqP}w*ZakTf6G>beL>_V&34(#opU!# zqh_a{%>l^U-L1I{L6s*fv2;y>Ns=L3K5W>5C4!$@s?uri^0=#W2Hthi>vTc$4Tka~ zV@2~4Lo^OV8{2W|KL%*0fzptv(8E0@LPAyqM7(Bgd6SS1E;Q=UkSFWGxtT#X*UbOzn(vnd6eA?%BmZHzYB{gg)(XwHV)(J7j$wy-9| z39KE44l2kEF?r8poZ&3&K;SE9EShp42wc|mUI=Ez#o@MGTK0d4y+k+ZnlCpU1y8{$MU@%L=d+<%NzgN_!Q0vxK;V*&tmx57aUF|3yVmr)OG*VM`q(`$IKL$QopEQ~>8JWL&)QMpUVRNn*j>WlYB#r?FysKdVvf z6aX9=g0j4gt`$wraIEF_BJwR+XNLMnuhfxTj9EqU5TiQMxio?>nZ0u$%nK~)XCdy| zfAW}T)TTJmKYt_5T!@(|n1I0`Fb$aZSWv}qc|fZVtej+M2OT11@v5ET{3tcCr%x-R zEq{DKO!knD$O1Vsx+{8J9l(NUko{Afzyp15X9psFrr7$YbSaEIKxabj{Ri8mag#EB z@R-Pz^SXChlMY;El8`OIL~vHN-(&C?qbm)jLjdD>Fe4qacSw`HRo#|QQN4T+mWes% ze88;UV&C}R9}~&9Md9<>S`F~OZ&)-^b4+^&q1qw!_qLX4V07><3|l*u)}NiMSZ3`l z?ix1q(U0w(g=9FTD4z~33&tuMtlJAf@u+$apoEN*g2jiUh+yFX-_D7$;9d8Livwfp zc3C^(AxwX?%0Rq=M|u}AlVb(5wzP971+U@||8~c%Gw+?;y!Zt3Dkn|B8tGLi4x{oR zflNn%8cf?#jA7B})80)g-1s|gtNpXBu9kJEm@H_IUoHzB@=%TD!J-EEd71~|1;Gz(<)5#8mD*qeOe{ON zZzNcl06#XyohyGwE7ROtODb&aB~Yf^AHO-OnG9FTpX1QMGO$VuQ} zqE5dX79D1Or?@>w-~3JQK_O27S!^J+l!|2*s9;=5p=3@w$j6B8?g{auZ%+dHy@gx45x(1e#fzUToRDfgcx#VOIw4RnRX0P`<~?ZzF%?@@f~PLwto&0R6Yu z7BvPZqU*ll3Z>l~KUrruXA-bn9?m(>01&7v&Q7w--`fGwJ>K4+myh5Z9Hcv4Oy8b< zLAU($wJf^pTushvUSYmh)e3g;&s*ccizW+(jk3F~JHYn~THfQXWaxsg)OYevNk*eS zl#weq2ghsfY3{G=#H-x(&GZvy*{AL7m+Ey{9U1#sWpt>cTG_EK=I5d{I_6oa?hZhw zxvKwdjHu3p8_)M$`I#j(>w?Y1=X)wAT=Ezhj}!I<&dAN>XmfwtSx9f7LD<)7=Bt9^ zS$C?^6WGJ7JQZV11zs!r5slq9NE7|6+i+w6OD&$N>z74#(jPGDcPzK@Zm`L*RJWew zyssML6T>Dr?~UZV0R59)wix><8P-0g`v=D3-~@s`u8wm?)0aYCrJOpI4q>3)n(i*2 zA&p!PgzzIm3qkWj+Vh0mn={rS)Y z$&RR7D|j%2Eu)3DJoW|fF*0F$L2!23>QlOnlRWTLbA9i~h`&>`g(~Sr{vBpV^AnV3 z;C_1ieKFNt&CbQWt53>fHPd4-lbil?mEHD3*HG5G^uKo&1lSxyInpEkS83ON$ zd5S^;p^GF$;FBsyj|Pyg6cqw0(nNtpR|2SDMClMhuZn=7DJq~K3;7HxJ)uZPr7Q?l zr3j%(?}u;inK`r1>^*zVHUGkWJ@@Zk&->ojyK3Nt{zj(9>hD^Q(E;x=){W`mqRFO_ zw~bl#6*S0)4LiSl!;$}|@^`yX4=Zb*!_pi1oz=(0F`5a6qim)do+qf{#Si)c!yrxG zvdHAPxOADCsHM@A~5T`amUFYCRe3*e|_zbF#OT}poY&hk|Wgw3SGka&cO4&pRByNIL0(Y>??Hm z=@W6dd~a36dGdY-XW-YYE$tsW!K2q#*!2!t?4IMI$7#tA$~9IECwuNq_Sl8qnv9t3 zFjUL1IOv@CoGut)yIOwj)A03`M!kC@vl4GJKYL6Lz&O2?VoDR-y@kgTH35zK4zJR~ zmRjMJ6HS7>LU<(K(dt>yw3d5X-NB9m><+5$ywGspq;4yIx&G;+Z10O8>DNLT{v~gk zycxFD!LH6gWMI{ywT&m>nL7Hw;#p5Q?E)!nnc*P_isJ!Ky&B9J$?&#X@LU%58#ov8 z(v_>2i*=CmbkXv8eyfUlvK?vA~^60XzO*2y$!yWsr^*xkxMqt*48C8cP12Wl?JrA{)m3PrlzmD;#k%A zuDn2rvqGgF9liJ~nYn^_wZrvdJy%yKsg}Ejr(J3FNB*HmRjr5#tyGp#lg3-tH3ft8 z^!si_@sopy@*r&-{0eR`Y7=KrvYds_n30aYDLm4C@wbzskv~Sb>2vwU`b^q$D%Irq zuZ*eP+Y%;UZK4PACM?0k&we&IZ2@uhoYu=c{cCBS>yJ2p1S%A(KXBK)3$kLmZ3Fl- z=TgYwt?%X-Z32R#acMWT(LfIigGub>3EFbBXzY$~)10I&>(q=|!T0Tbm1e^a-m>M> z1F$xgnm(~vk(`#(5^l$BSC*&IaM(RL;NT10ge#kCj3brhMj7j^Z%c=vJhN*>kW-0P)D|8rHp z-;w0*L;*~0py#)VSb2($Ii{_BTGe+3c4^5!Z%8e=YjrZyvj5e|etg#qap&@K@elpS zwpIQqAEy;2d${zx!e2)io1i&4eIxhuKO|JE*~w}0RMz9WA4Hdxb^p-1X|^7E%bZK? zsr#ap@616_ir!H~4vmdEQc>(s0c>t@&0488`+1QbES&6kUvW^Pay1M3t5l`eDDZlb zC{;~tury?t*m!wighEO*R__^j&6$^LeZs16j6Ht2K^`=b+vrrH2?f^hjm}0SeO~=8 zF}!gxz8ikxnkI{njGm{wj;2v9%YJ{7#wygzUsn4xdiT8nqm)(iyZ=l3dUKileM!`A zLCdtRiP65H>Q-);_xzpo)R?4*OUZcy&FJm{Q%0CxROe{&t&2P~x!Xlk_d?hMH#lo= zJQLm2uWQ&Xzn-MCthE8bx>Zx48Lrz-NjBS%6MOOgalY;Px+WtNwWyrBBALAt&-*nx z-x`Pbsc!YStTapKo3oxds<`9iT>V`4cyfnQS)a3-blcYZn1-c_z;5>|ZaT{bd9>Wh zf<}dndlx>Nv%ITq{C=_P5TShoJAQv}piUF`I(|$`a4d8C>@Mc!kMS6bto+3ZU#1OA zmxbd?aZZb+iRGqtB_t*ZiP`^_v1#Z$8G^zj31ezq+IQZmET3#@cdm=Sy{Ejs{km+r zKR(kz%}rEIX<9Abu-3I*3uxb=s4Q#N#V;O4=qJA(-znW?x9u1^p>FYJox<_cJjf$q zfy@1u{~h)C8o6gX%UnOF^zV2)VD2t6Sas(DG95~~-1_hKBVBI%ME5BjI)lBA9^&bA z?02gsRi^y>=C$-|`SB{5KP~8LZpjODpS05`f*~ndtC+H-5ChGIiR70@X)s6z-_eg^ zMxVw9+o^8NwM>&!zc?UPBz4yL$H>h^>C$aGZK+=t&OcsHmvQpB&`^W1t#{D(^XI{E z+Q031$h?)N*NnCvi?rW-HsmN#rOUqXg5&zkz`#>^bm>K-kbA0Io~d6dm_>M&#}czm zHH3l_itTr+`@$3YZNa67oLGt-xWvYZ9f4s*VRPc6R>+^dtHKkwqpD@Bcy;}cS3V#5 zC1It+<74(Y#N_NAJv3<(L$0ck;0q8cvzt%~^7jPoJE|W+3inDmBRiZ%(xP|g4Kzk^ zjKqycZdNJ_7aD?<{wkdoejPFXT10pvb|4NL{oL0QMYioLNjt%**yV3Ll1?GYd;vb< zYf(<+O7&qHeU3CO%a>wFjX8&+CQ?g2bFr@uFuGR+w(zfZFE}=5GDs7<7Se%MzELZ_ zU9Ed4$7b~gj=e!&hr*NiX+^Ub7fa+?Rf(dIc$c zznz<)dYEE-l5TPMZ@vyuqy2!?q%*9@rreC=eV)g=(QO^TZyvJ~`@E@Y#nn-)B75Rz za5j@-`rpsE=Ca@VQ;UCkFo(ug)QtSh>1AVnI>mjbnMG{Rsb~0SsQtQs-uH>+xW)1yufuvaZSr|2Ni~YUu&hNi1qwbFk7Da>tKK+oIW<7 zsx@?i9_m$h#Tn~z_KF%T#9FQ>6Yi@n1+`3z#hB-!FZtHS80)f~PUp(g6&=(vDi`B= zW^Q715QNG5(et9xdoNtRV^Y85X2tJa&7(QHadBSUtbcoJIARa{-DUbDqJUYu^Aex* z{t9ttHq2*a0~Z5z!CV4<_}R3O!?;3LN1US$bLJPMe)-litZ$D+)D|Ief;0E^DphcmH1z<&2GCwIQ zT;@`UP2iS?UWZ81E7~(B+Ch6F>*4PS3#Oj?RxW=teX`2(x2E z#1N7_HZGh4=9Z5)ZWGIv#di?d$(!g*6R{B%bZOs-f{ zpsbR=%p?XHj)9g}2bQnCU!5+uMgB@?WP5){9q};4lYhbUvWX-Advpa}5)~EkD-K)N z72)&2b#>Z6H8!8VROirpe*emywAB*vj{!R@>*;;$>UCc1fatMX@~1BqeDuM z^l#KB5_cMfJZtq%;(r%8VZprB zvRvnc)zz735i83c!M_v1$BjtR%1WEI!DHHgEvD8viMW9;ncF)lrPh_$Bz}?7F)h| z&!I1fuYXEeeXVln_@Hi}Q4n8KIuC2zlo%WKxol10Tt0r?inlZQ`su!f!_Uj-%g2u$ zS*EOZhy*6=8k83Mj>pp%(;AtSVTn5Tqu=sG#BW{=#6Af)`8k~>sr)+bX7V@BYHXk! zHwb@vZ0g$wmnFwe!nsWsG13*dWnkJ)^!fZ6*pIf1(k{dPhkhKn7gx?By?W9ua$9?u zvubLOydG&$J^kj5SCH!+Z*g;I%evsUj-Z2WpzOSMT~Lwydd{`=Rr)r7!3!p7>U~)3 zHNI`(9WJ10hGTA2JH=+fZ-hk8@oP(NzA~!;I`VGU$X;Kmn<_kFTiNhfyJ4{L*<%T> zV=j-UQPl}<(Tbc~v7X(ruNv@$H%GSAn`0LW7mw7x)Si9WbI;46={e_=A5~Vqpwq9r z;BJk*PGI>R2iqJWn-<>;#v@jXjo*A+j(Z|OHrq3_qSf7EdH*Y)0D-nB-=80|UwSkg zx#xPvefP*0#fdj%9<9~#h5~PXHXrUo8Xfv__tmOO!hi?j>HuvJdxlLTtt#DhSc63r zz)t43GC!d{LzEw>iEwjh+a$4!Zt^sMS@d=tpB{H0+&>EWT)jbjzCg9b1Av4i(Gwieu&hxo1j`?;7`R^#@Me@EPW|P%NIp z`AH$PUC;m-MM(n{5cIQ<70F8)kEUVyDA@oSPOk^=5$9Z>6F?=35({c0(8uvgv?u(O zBp?n>?*akpK}qB+q)$qNYc_!1RBlZ1d5DkX3fF7}KN3Zpp<~oUOmQ~&2;nKtI6`yd zCp-l(Fijfxi+FI`eTv$tf|mn?kl0Kx4na16q)0ePhAK^(7t0*TyNgI~Q~s#8PyP&= z5OGeBAypc~U;!+UgdDI4p=k`AB4tbA&(Jz~u@OK4oZJFh5KpTxBmt;4E4)5L2IlDw z-Jwn@GmwBUitreerzP@Qxj+E*6N(TGs?xamtpJFbNj5P+gQ27?fGrcf}6OMnmx2vWIKi%lVbBna1}g7Sbd3Y!i( zBgp5VJEZplgmB;{)l9WG2Kq96KPKF^*d&Ngc1T5g1U7#%Sh9l%cRaC|E1L;U? z3fP7q>p>g3MZr2bARJMNV4pO*?hHv$rBxW>z`>zV7$}R-H2;@s-6UoIQJf7l!^v%6 zKG79LAcEpFZh^iI@EkD>MMwrYXxzNmc5s)d;0oDOjgXWm&=Nt%LBgatar|RI6K>ZG ziV(eAAOaPO!Jh=|kd#QU1VJ~2up}>Wye19HPl*6#Ve}U8GL@i$=lcJgB>{wq03j8? z5wo2jQ);I&{tV!b!X|*e2=Ybf0SR}U!9tT3Afy0~V3iGE4Dp5=bcoutpV=r)l~!h` z9+H;9sxbJ0M@T{n*n+6kgRYXYCGf(uPJV1Q;0`0#gWrf9E|4tsDUy&1o}w*gS1tB; zcPa;#C_DKgNefqv@QSp>ps&%cDAK}LqvFrXfm&>3-lTDwe)NA4%nj0^K2gOh0TKxM zIY^E)CynQ#5%{pJU^cPD8RDj5Rq>ht8A(Y1I}r4X&{2}tar{XdR)CTKkYV&rFo8Jd z2%Vu4RPm3&8wk5|kT`;_U za1KE~4{?)9B=9mcBRIVgJWZT)gXE}d%6KAJjj+22>5vqp@wzk;T(b`30N7Dh(hOeO zC%9%iC=M7SpGYxI&?fl_@qjN(vlArz-E0;J@&)K7spAg@g7!+_-xF^BoQh3Hc)~nh$3Ww-Xsz6;&w2CXo)0*f&ghw z2CqU}5~W(H;MD+6Bqi>D(>xYen24&I>aXddw zk%g3gu|Sn^>?OGgEGOdJAr#e3nQ;ohAv7=8JO%#y_=eHecqy?!CESh%-XJEZ;$?tY zBqah&K+w$~X_A)&9z&z>Qz8L7CK`71z*~>K*=c=zlt(~5an1=6rVwR#7QXVgZXQ3(5R_zPSG5-Lbf3y;< zlHFkMAj}>C1u#!1=mPaCLQ~&Hla?rejRKls|2I4z7-=I%qj>uzf^Gu+D;;nMx)J0? z@{+=H(kQ%?G=L1JH-R{!mpf!cB`D)X08JG3BBV$vIgVGOS@BV#014upD|Cgrrh-=i zwozD9h?!&w)BFso0#V35+&@b&IO!!^vjvomK5W{@ojC&4&Id&N)4 z0W4sZbzlqeh6^M~RaC)?1L-Ji7`ViEDf9zQt^?a{ug3|LA_+0z1w`d}$c1#{4?G90 zQvjO=Ou_ydmeBEyzeGB5Lx5(+|HKV~QwLFmEbzbjiB!s(%e-kvQ{I_4T>R#5T#=wH z7U(DsOOwXCp8atUdlrs2nC z0zxn{6&f?gv@s=()x7SfTVvQ5>%Kj@uzW%iP|B$*Zq zU`&;dmi_YcYu(6i$E+RJa<1$InCpx!eyw32Rxm0x%N_|hCZuTd!ES!WWtYc(z_U7Z zL4gZtTzQ zB|I$mrcL*j@!3b31?bfWvs?HV+&DB=m7#mvOyD!=n~!9I9AnT*kb?F@?Fs zOL?BKbrr#sE3~!KWwK8BVX#~Oq@coOUbQ=93L+9+0Pgfx@H&%Ep6 z46^j-h6iEsOf`yY;s*Yq)$^~33TW3&^gy&XV=+D^c>9>T<+Lz5(5QLjl;D>s>Pd}L ziKt6~b54%V@z3dxYdjw4`mj~ijd2Lwk24u4{5kywUc+}{YeVYOpEb+QH6LC7iP;|O!AASo($%0N4j&e?k1ti{OqZW+ym_#T znf&>>KP+$)#!hVY8qpB3*CDiQ?KqRwA{QbzYFKd&xyzR0kE)GmAUEd1d+JMfFQ>QC9+MME$ z&OSB0^g{yVRshe{&Rp8uckan^Ps(%Kp~VZTU2e|cmEh^>U-B7uem0-SUHOP&abebE zFSQTauN}8kmUxF?WXa!Oix*#EQJd8o_NRMU+uc^#WR{~LX~m~ zZg+mR**Qoi2kx;(Zbqiq z2-hM%`_cI}N2BUD&mI)9(l#f{KOU7-az4rQ@cFnKyXm1)qDKAfD}~wmiDKcA5gq@6 zLo_QU*85VIU=x`0Olv>GOpG1HFR!Y6?mA8O1bWvFONCfau@&gi?x(XJ<{{SZUCtt| zH?&zh4!^kVwqW9KmTHN7B$XM=_2`(-9hu3qMlQ7|QZ~ z1Lj@<=;A6Et9jU~^RJ3FPN#YH$*r+h(J{UsJ(%04Z>Ds<62-c3-|9_%%WV6ZoAvjW z!SX1RROZx+@{+=5W4FEz1_xEGq#-Mgy7j#NMdFwMuBEbt-{}{2+K2B9C^*R{9!rm@ zjg7V_2=2-`#5<6hVVd?v)LYWzMw@74ZwpTFu+8i9LiKsP2B3}r;>4?sdO7WA#i$hI z6aL?X4$UaVA98N#g?gAg^idJo+QYufi-YFz+!Ca7SKkT3?=bb1tNL&Hu1{Aer}Q-{ z=U;~59ho;~`_SK#qLtO3sUl?0n#$USS1$3%ZLyyjn%@hnd7IOja40MI4*nAKN7Z9t z6VqWFLx2~fs&nncbi$(A16irx=jo!uXxV&zrdZJ-iXfZq?Vpa;4i@1GZ;7KFSFptrZX z&45Jz!lz}&ra=BJC!I!-^_PZCH&0x)7Qbp)e+>v&b3dmGSAvyzG5d5ky|$G1*ajl3 zU%gK|3dJg3@HuJr^26{u;djSBmVg?@0Y&0%xo?X#;m@bLzxztE-+Lg&wi#@Nc$K|3 zfR{_sO@R*OeU&a8oFbpT4P0m>oGA7BiAY zylg&tLA$8RV|z(NMZn-a&=&tjZGy@ArSR{)%-3&9pSfs}^DJduW^2@wmWB+AzG?>q z^J<6HLrknmYC>LZt=?OE!}yP1lb-xw;~PqL3Dx_M+H)rN{0C89@mBc>Zje(aX%J z;lUr)Uew`!=6_Z?$C~lLL(~Wk#8uc8t(?1Qc!_;w$dFgtzNyFO6?bZ{W^%+-eV+5a zaU;hmag!z4A&+N|vR;ejhDtT7U62VYuJ|x`k#L;IlfzPOlNv-IyPQa6zjiF>@!~`A zl;7(QPYmSEGRw^zV>{? zypCIsj2~1V&NJ82nGhT_Fltmgx3uXe_RG*5#w#!hV4iOcDDQk)*}>c|6LE5jw&S?b vKE@{6^yV?@e0kt}+aE?}@v+9@o2rE`g03t&g_|Yqx?ft=J@{kB{{DXe$VP$i literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv_sg.cdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..bd1a389b44680f70ecbce82e96482f295cc72dfb GIT binary patch literal 1833 zcmV+^2iEu$000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*I~m00000003VF00000008U)0000000000 z001cm00000004La>{?4}6hRQ4_>2)W#wQ*m2zpQuW1gsotY(GyN?13jgs=n+B9RE2 zs5kK!_!B((2fTX}&mIIX9z3XcP*6}2%vfL7bazc>dLO;PHS1QWc~tdR-Cb2(Ju{mP zQ53Bw26ZN^Zj!?~anqe#chI>{C?FTN(LTCI8%45VN)bi9)L;{FSHk*!IgVqnzTZlE zup(`k^oo=aR;;}rqyYDl3>w#756Qrav^{;V&TNlIcAO-&ur`3+saGD47@YV}cjK0B(_#@sF+W2FV2Pi(M7PT`@)WOPjgWTR^$ARS#30r}{f5DAHR zqfq@!3h@@YuB8Yg8AgdgF#nD4-E_8j^RPU33(vnR$xWotKGCo2vv20__j#fTefBq@ z&w(cNIoO0ghnmpmaD_f)A+^i)GG%@TUdE)_76!autx((2lc~1FEmLjFXQtW~=uEZU z0y5S1!O*D1=7-6G)QsfDhQ=VJSh3&wwCpCImc8WDvXgvT_K{D^F7j#FLq07#D5d48 z^XoX(-ndNsmKa45gd%(B6pr{JG-lHc%djfm;A($OO^$#wL!l+Rk~V$#|+ChY<1&3gRy^tX)< zK2GY~;TY&hxIOVb+M+LwVQCvt~t# z4Z_E3UmnQ#^azZCcxobEp`b@hCGjhjwkS0=S6mFYYGZuy(QB+8zv0yseg)<={Q{$H zu$Qa*8aR!Com$4$##G;bW{2U`y~N4W>GDXF1u3B67>?OX8>>x(pIGqQnDNrtixX!rczmS1g1kAXuJ~Un;uxP} z92@yuA=?J;v^MwH&~CM6@fp{QW3rIHk}qZ(VUTO&oZ3p%N>ItIgSAaHx2^3J+u@~< zJutaUvT@mC;q>FNU>xs6xnieV+yT0LMf&q}W@l!Pg`H$^e8tDCxxYwkR-Z$5f7Nl> zV{zv$w<34$`^iKr&;3({xbJE4xyyg=aN~}j-CH^CUn|5N^{AES{=LH7@qxaT=l-k0 z+_4W}?sQt!I?wwXtlAyQUO^6mWOeKO9{>OV|NnRaY?4b$BT*2AOXDLNpV5_yx|s2W zGel4j3}QcSl9r^ec3;6D*bYokH$m|+vk<|J=*~ajT4r#i=tgvJaSGMdK!zAtB&+>cE(bNf`tK0I2b&7u3*zaTD=O#@x~#WfZx;1p0g5*CHyM(8J)M{VvG*L;QQp`eEC z)X)yjcD1ANPl&~v1IChYg5#No`v_-QuQ$OrOB)|SoqwHq`}u-b%U~T8v@#QkdK$yg zVft)i9q|X$00oEz+nEMhz~TAc{mmfa&^t&n)JQ!@oaB0!c#XXB7AWY%uDl896h6)SZZ`7-00960uUdd$ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv_sg_swap.cdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8863e013d429557c7fc546e535326201f7f00a30 GIT binary patch literal 682 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A6)mkd&s-#%QbH z%+k@Cz~u1DUZmkl^Ou7R9y8|s+3)asAG6_!vtPD|Kl4{xus6nm=h1(Lq<{!T<_U9n zCQ3YEXJwMmIJ>!HL(}34IhmC;cpN@1aZ=?mVPkE$bAsu} zNz0oGg=PYb%nJLLsZ3L;dgdy9q=}{Qq|~FSriZk%d%~}=tY4!U7iqj_)3X_y?gae* zHGP_cZO^03l+2F)LNDh%J;73s(&tpo0!J>=~Q>j=KvN* znG}Xo0vaFrr-p20Qw`))C@bvxUfR>~ou8MJgSV6a13yr@j5&630wWjZ1n z+(}GN4lx9mh43>o8zxT3;0sdaJ96R1r!UT(I*A=Tvouup7@l?SP>2xNdSabqUlAw_ z|NpOGe3X=s@&Oo(C)Tg!Okug?wiom5cQ~pwY~T=3j(Ps(z4U|k&tHijI;OxdWy8<3 zFU%f^fgEL4Ct~05@Hwz%*OXl^V9d-@V9Ruw@j~*E)RWT(CoqcKv3_`5m`~ws=fV^6 zOFAYyGHYx!4c+X(;la(kQA9x5hiNCTP>REMR@b6N<|i*=X1guW+Q8j7FPVdi|(!1d#v$ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sld_design_entry.sci b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..7d39adde8f31de0546a03b8e43c0c0a063183ff7 GIT binary patch literal 225 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6RZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6RQf)Ozv|P~e-8!- z2uM9V=syGjvH!O?{;`&&o4c*E6A2p&6Dt!diI}IYg9QmU8w&|5EAzi%WBDi5{M?E`vg`0l+i=83gIQNPxzm|J$!s?OVR z884f>sNcRseu2t=Cyv>c`)liZK3GO&7!Se*q_u9z_3Q9!8_1h`lZy)i4wgofy;-Nk z1FM}ike|)}yxr-3Gcxt!@9yp{JbVNF!kRAU{v%pgT50x9X{6n{^Tc=~I6(8ZQ06N$K8e}*F=o3qhE<_v`<{4m?Dg^G zyPM}l?)u{-*k%!rleEA;`6`A``1Cy(lebqJbEAQtLWc&7zctj`t6T4DlNiDbWqt7G z@PZ+%#4&QFnwo6Kdnj`7Q8_>36yY~kE`sFR{rX^HmlugxA9}uSFr!Y{z^je#Nr^LL zGBl26Pu}7Wnqb&HI%uaNv3B%h7wniW{_}5a7zYDDD*c z%hu$P!a1O5?*XcTpvmr1bX|H4PQ33(CwU9Yv5)g~&8Un-b@pyfUfGft?mduL0&dG4 z6-lYLML(HFx_6kWCDe!p)%n$ELp5wJi>`*4CT$pg_awOW=y;dH0aB^3$?Tn@$?@q^ zg{!jW0|-Ka7q(C0;^(Et3dxv?1n2R+o4K+7Dhis^&x65U7qsK4w;XK`|3QgnNq@yv zyeIB@EMYiDq#`Jd8mgn4%#(OD2rB#`@jd|ndB_^PJymSNouEC?S@3(x$_ z{DR{&YY3RyiRQFGik#gUYUFxQ=b-t-7*SjiMt_v5Uw&7pYrKrg4BGHgHOi7xLxbGL z{OsUsHc-#HH)}WQZn+blM{Gjf7Dh)Rmj}C9LiZtOj=4EQcjvk zSV$KGU%^Yu1gH0g!mUX+P?c06?@(j2SAd%XuSc)U3A;ypQ zAXzT|XbgLq@jZhy^SbzVXJBf4muoRRc*VFBT1UbV3A#2I&%P~9=B9A(vv?6=-^RK! z+8`z4uFMv_O^=i%X5HYG&KWu(UN~a3gC&QB??*J2(Q6a`x{jW$1jjRy_j67RmX44q zPE-bZE0j`L@q9o(8S)TWyGE1Pz?@wznx1C34>8w|>4X%EUBWPDzk}UrDumz2^H{e} z0gWyGP5Hp@Ij1$GRafytd5kG#K^$?NPDbDm402snZgL#vPn&&YJrwXB4XbLSxd>J` zJBgW~ub`?QepV`S)7i)u2EW7Ztn$}!89*{9s-=*x)U)utn&4^cB9b)&H{g}4(Z8UC zv-bYJn9~hOEX8~lJod_Tf534*h%8~8g0QqBv<&rhnVLV8vHGl1o5E9m7lIbq{yak; zyhYos-3cvTYcGq3`#GpV$GO)ma4jY1ec`&&zOJ8W2=osfKN8Y~DRtL5G~7tQlEBtl zO$|r?_CRr(xVNK6z?SgX1{5+#JiXhf9%BQD=*?FG{-!_9sFlN$A?PV%Z9~;h7yWp8 z;u&rsoL8$4!env~G}2JfKk7hTxmSYTJxqOVjrU!8_}D*mlEz6-jpa zW*rtl(}koUE+B}4eZ=1kuOir1DyXe@s61N;P(8wi$J7km167c$qn}MM>P1l={+!n& z5(yBgrkh&nwa<^GRvs3h$`kw+`S4$;UsZ7N_0aw99Ch0K`yueA5hXzPgQjv3(l@$M zR+_~*sMbK}ZsTNMEbYc4fVsw_uHc}+87})c9k&lWS0L*LO*=TyjX1`-{e5N>&LMa~ z2czf=yfXA|{Ealu&rm<=F(~XFz4q~#;}+Ved*R+tcooCikh7r7Ah0zNxE8T8EG>AXDP?)xkkMj)|5ULDDcqrtbLKZVA#Y>} zASVe1r(Z0!ppA?I8(PoEL;}OXPZh9m&gb+VH1sZsNcSkkxN*Zm4F0WfXJ57S7NzxU zi8rcUgBst2Od6-pD1Uk5b|s(%9=&b=5uPZkD@lQx_5Hp6mv(bB1CN%Nq1471@IHD07R6h zu^9R7y^!nT@DC-FBqZAlIA|oU!GC6}iM02@mh8Ht<|50yXr*Qn~_+pmhrWtpoD zxJ^F_MQck`7MLL0n-QM&XeX0#`M$_Tc%@QnKvj3g&e(ZkBnwy-WOY{})oxKjP!KxC zvJp(6(s7M8F%pBrsPmpueH+}H*ogEevhw=)`yekEqAVm~+eb#5P$;GctVA7gBCz7c zh14=$Tr#T+Fcb)P*Qfy$^e?xh;Q$tJO2qZ11Wk*)#k)&s19Y4CybhERh4g7ASSqIe z+A`zV$qZ_ylum{X7XF1c44f%JOWe`a?YzIsunyUv3MAnjId*Q#u#W;19OGy-OA9NQ zK>LlG@*J?xzkG6tG|j3S%PPxrOpBG>{LMb6m{Ra=?SXS3sV__+mk3=Qp+q(+z3RNb zl(%_Mv31fkS}z+H%RrEO1ls!ZpmvHFo6@{!ramQ){N_Hw@E1^82(G1+m~BesUFFZh zd}36j%can!Q^FYu!OWoJ>w*3GAss0B17%TLDjgIO`{QC$WluVexkVNR)tJk}dP#g9YNz8*JAwA4Ck}nvuP?{){*d#T|IhA_2daB<|Z$8bl zrr@Q~3EBj&fz6Gqd_{daJRSq@A(l29e@`Q9L&Dr6J7+ImsB~K`qn-tJ08&lA|Z+C(Zc(eG@ zxmgbn#7p_d4)X$o_jxaS;F7hDi7 zc$Q4|Xx*T~q&E4T53t0Mw18-5*QmOD5pk*TkY_ke&*8evU_$W;IDF+utvBsf#A(a| z|9Yog|0qe4v9<7ZqL|`4c#&!jX%}~lJL;I^`Q8)S>y_vy%y#>tK+7g)9cD_z1dYl< zus`$<3z+Av9f=nvf+@c%D!>b5?e@>$%9yn)U}vn5S44evFewp{E&veL0s*d^>)N#o z$%2N^f!8#&7^mW&Yvm%zK|)AR+B9n5ulN_Lc9~+E%=30tDHQO^+Ay=_b{x1vl%M6l zanxg}R;we?zHsy&&@Eyk^Hk#?6%MdVFKFjId?Xz!!Mhuf%I)ahrs%2aavH9OaE8+1 z(rc=lB-LF(sARd-6t$mR2-B)_;PCTe?C@$UFN&0xI7;T@CS+(5B6DjhT;DpOt|^t) z2DzA3F^_qiN^%-DjQI+pt1HYfViqHLSMN0by!>l}ug^Kos94@v2wGp+i`n`*LS#&E zSb^J2c&{#Cu3H@aRMxKMA}tnOn5t;+moocn6ke8}d@_#VK)I`0F1A|hg#pt|_L|lA z+I8jErUGT(H;ltxQ)NkH2W`)=AjeRbwHA*Ah6TIquh`tU939#w-S`a>CGb&pl%Ftp z%NjTtYoWlI&gXg!x=->ijLS5-7>dA;2Kwu(sgaQ0{1DztQzE4p%$*O2BfCTsbpPdM z``&)?x0mM0nb88)AyBsEiu|lDZ}+^A3HTIincF2qNZB`^u%qQ;pV+*Nbz$lEg~(T| zuFE23iFvF$>qQCFXZ7!={HnGz#3vF`Fd`~DAJ=UJ`rooA^1qZC#20Wo8z__6-2$J- zENke6><#5Lg$wrejk9fgT|Gw~zyhAB`s1j76baxKzcO*ZF05`(9fE^e$2dEn#mUnR zPJ1l0jq#DMw2g7ry;$(nb38xO%tD!KNZf$<>rr2BVHZvwpNY_Zwu<(JPq7fT7&o1K zkxD17oSQ|`q8uWsCRo?_i7n~(RvI;IzKi%cNR6V7AEdf#c2U)i^=t<|3(y*6 zj(EK<3LT5WZE5s0tS23ruAEUYphGS(%y$7gm)1boy_mJ z5u}aD*+BmudztH1q0w#UM=6a(EMc|J8m&0wkIdE*X^7?E%+T#S*k?+nr3h~?w;i95 z!j8tZ&)uQKpXbFw7fi@6;$=Q?Iyc~I-M>iDdMi5kLS_?p8{c`{)2r#cT+{vtV=8|> zZ~7?8;xEOKx{Ox`DPNNSd8$!t0UsR{>pV$%uz}+;DZ*yT_v2Z;A2HOTf`mKAIedYegW~l|@ZLQ6 z+A{YY6*UM5{xft}Pd={kkwTE3`|az+AfFa;t%f!ofi`IgnjPe9ke!BhQsTo%iIg6A zVZ|$~zE6)Wlyd*)i7A1-z#Q&N2bpl8n(uDwa*%dAryevZ+|LX>g1{ZM@ow6LmgRjeIpE3>kG2>)H~ znv!+D_zt*A+~{osNir0i=qHsYtJYbUBLpVZROj-(Qh1jO!#1P(*h+8$87%t_&_*NU z;qN0$pK0U07LL5YO51de4D0>u28&ZQIYT9mVb&x z80$^HOK8vrdoM|PzTeY@WB5Ri!p{)KoD@b2YECSvfLC6%g!hCV<@fjnTJ|+J|Ee(2 zt(#AMUu^}*5?kyHP(ebL>mAmyIYpHmv>rU0IITKDj$Sk&<f?2P(SZ9nwKdM>`-94Pnb9k3Em3=TV1vS@QCskWk+RG(~J;4K&^nQhd``US0oaZHnjve5#v8P4ZF^i}MVxicN0c9ho64 zzfx{>PX3I#?6txJS)<_IC(K^#lIEj;!8F|XCh$x0YVteN7wN?|1baS=Wzp__i@+6x zzaRPTer=^Dj^tjUBHOTfDM*CTkjA?j!sz7e$G5+R|M0n3m1q=ln3{K@+;OFDompt_ zgj>?)Qn6c^+3z#`^dz~rs7Sh9H*FJD+7I6MAE^Zzjtk^w$mdvmb&dDBMzY?v$KMnL zxN5p`wn_#MyHqPQ)SC^zv(v2O9bF%4vbm6)DmOC1bF*7D_2ooYIMm6%av3@iu+@t@SpF6Avzy>7HezqYj?()T|$U? z3h;O_d6|2L5||BaFm2{f2m-_TafVTm9b&QDz41IXA@Qz`>4SB~EJ65rLGC5m=fV<3 zns3CHSWZ$HwmtbeK#}o*d;CD=vxA9Dp2IUOmxKI)cuh=zq zLR3Wb9aW_BQ(%w)1?h!##SiFnBF%E2Ml^vspD2F^Fqla?G^FI+_a4#K(k(p?b#Y)UhYlvrTvaS zk1@djkCrQjG8VHsbf}58j$Qi*N;#Q3^u&P6(-M;uY#}68O}%sDzIOd^;^DTtqd}C= zy=K&do1M=NbE;+UlGTf+(mYla1Ek|_IY|JH{)^@R#nCt??h^e#DFFU_Jy+?5=cyF= zEntH=;Ry+l=K^PVV^?d`eGNlKjU2v`6&Mp0$63aBAo*rVYe$ z?4t^jfOgSE;w`+}ZYP-GkFdZz@L9~$H}cD20-pwv6=GB7qkebDt}mEwQs@Huxku@` zLf%`0;09qc!tvk}xYI-+o@aOG` z(a?~(Z&o%CjI+JBITG)1D}wF0HN=DB0Hjee2T^a2q?C8EM&6)n@*V{mlLLn;w8o%ir@&XE&&wE+ww$vR#%{& ze0_T7b_+`>D_vP9?q6~#agmrqDircWs*%4pY9YBdK?#1D3;qPV7A{mQKx2t3(=0Nx zTow&>$W|gmwJ5~Ld&^*TrTUyXKDN}oGG~oUJlES~6=gkD#+4PvbzMBn3`R}uIek4T!0L)StF*!mb z0z6x`KF64TnB8C^YJ@`sWcCyz-XWtH=N=FSNHP?naEyg`k5!y=YaUjbZYoOU9t-6g ztD^YAI_NsxM3UMm8TwnYis}Og6=v-Ju{z`b*X9&o%m;rXkh5i%6m!+o+GqNbZl=jF zTlfmD5sNkVkM1qCky2AU%ALA!)Zo)s3>8l-XH2n~W%3aHdyCl8;lwF29IliFo20m5 z!$K(j5VwJm@q}A(fjibk^mA3f&|}v)SVp}TKJE_7P_)7^*Ph&PYLfb$Qb&l+GQ-?a zu#?O$k<1u|9M+P;P^N$KE3*CI6zxP8&5lkDrFJPEDowY=z$VK=uox~SxFOyHa^7B{ zl*pc2YmhC?hQEALD>Kp)x5Q3oc7aD*D$tVc-`5SJaUKAN zUs#hxR^o`!4}cP2hU`HK|0Jx(^b0HN{}&*hL}o8zs}@;N^F+L>nm3XmIIXEv#XbsK zT+TG7mcZsf)0XljmG6w2Q1j$e&u|rB%O+z!(=^=Vp;JrxB_fu0`}TF71j~)y11p zv#ecNFb9CBrifkFb({XYz(TlNhEW`h#fJh|{m$BiQ1Wpd>R*n-R^J^#4Ao;dQV7Q8 zMzERoVTCl>WXa+@7{T}#5cwBKJqX8T>hwjSpG=l&8&EzQGLoVa8BsnHKM_Bt&&C6h zxnD0H%g46WxFFuM2*AGMcy;}ItC>N}qZvvFL(6$KFks0ZE_3Ceqm(Cb^vJm!p`)Qc zaT%$DM<%@1YOpodbQnV}0031-q89{2BcQ>C<#N3y%1?d}%>Jo3Qy1vHf7Arr*Q`Z? zVcRl3xhSYu4Mmoi@3ox4-K=0ey=QElQ0mh>fg4o58ihGuvYVEFhqRr(guXa27(r)L zoIQ=i>iyx^>h%o;dY`gtrm$82hUGm2{z}Gj^hRn0_63lUaOZWbEwx8`gb) z`+#aBH7kLMqC`ML@QR4UQ)TJU#B*t-Eo?TEmjH?;Q$!9pW2mT7l5Cj6?+_W{ghHF= zXJUr2cR^d5IJrh~(DS!85OqGXFJ}PXU+lb5{Tv_)UQlU|=eMVAWi?HrUlfl)rPu5jqERCpTwzD%;WX1YQ^1%Dd^)5= z5C8pu6UH`^DydX=?N;zJKOsCnwCk$(-H%PGss#T8by%N@YsaMI@_RtqQv(E_|k3HAJY x?Xp3_GCMpHC*YYs=1Gb!%iRJhA{{d?SZgT(t literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sta_cmp.7_slow_1200mv_85c.tdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.sta_cmp.7_slow_1200mv_85c.tdb new file mode 100644 index 0000000000000000000000000000000000000000..0d13df0826aeceed20dcb97d6ca7cf8026c828fd GIT binary patch literal 4562 zcma)9hf@>E*F{lK0TB@C1Vuop0R)1fiqd)X5=Dvx5$PbEgeFapUPOVYAn2pyAtj-P z9%@h!BA`SPdJ8=S67unT?;rT)?%Z={&hFW>JF_!;uQD+)RkNKr%}h*Qr*Y>L?c4){ zJpFvdlz{Sz@`_^jf<3*VVroi2F-1j%)1d@BEv=2kOg&$?i#>pPzBrxhDv>$`kPaJll~ zHTy{3i#G#kclXyE3xKSj%RrYhT@2R)U-hhO%tNL=jhX_bCObfdt$Hpo>C zfTi`IHcChFPp_xNgcJHCD%z{sk3I>nsY_zf1)dG>+~F;7Zi)!URxQZbi*UdWXer7b zsCv`q2w?EyJgTchBYYswzN$IGXz1lO$=;~}I#}i&?=nAYYLfK@asT{^lFYNU`9-23 zQsOUN*J?*EXG~RohMwgjYQx315(zJM`_LNwPs1I7PD`&0+3vK2S3s<-to{8rC>z%DH}qn1KTWOo9t?kJF4)yjSxkB*+c>gUr__a39W@J|bi(cC=6XQh z&W-m`S*_qN1K^Ssw0N1!C#EnjIm0txaa^s93|M_v!Gr_UegJfb)KMJ5iED*E!Z@`7zYQpXA)uu|bV zjW{sn7ftZo860;FQ@RwQ=hu0ebDg8xS<8zKV+kwY&x&3#aYijP)>ANqUU_dkCQE$> zT1cXvd(jv!cUjc@BS+o$PG_1LyEYsBk)~^y<}_XXr(aZi$gzCCCxPRS2QLtjL{oyY z&fo3t&3q^3Y_z8~#i)$YRVKU`6e2W!h6nZ-JDDz%bnKk_3a}+oCp?J?b5}s@fh@%L zLIJ?DM_S7$v1<;EbT0Jz=o=+6<@9NR)EUT*C$4Ag*Lf?Zz9HjrO6h(L zcQmold?DV`e2E^!9XL2$1Mw+GOXe+h-C5b84qb~#VXrvQm=0hK#frs=`ICLKBG z@cxULvXu)Mbu7cGhr#zJ^ul47h+|QDglH06d0xpdf4`TZ z#7>3%OLU_XMAE~e&IE+tnHTPF5u3T*A_ke{V*vVagdTplpvLSus$iJlrIL~)4VG;8 z2Aaa)90I?$Hs{9YUvaPf0;YS861Ys*j&ehrO~(_&xyC8F?abj)jgKsn$BT_1?#=iC z>2VQ%J4iKM(6UcTd(9l(u0h;X$j3C(O%~F5>1{EhzOh_*kb>gC84nm?T&WP7EB&NE$!2a5Um`I(q&_Rw_T`KrZ95 zO7`7y-~M4A$qlT}-LiRfNqpK&nvPqoobq&~IM1FeCCv0sw{a&%-8*5#%s{g1G345n{x1st;6`#OSN9;Iq35uD{}R(+oDd^WHj-ds;*RP_eN z*ntf-uZPb~y*}PX3z>JRoM*kkKD7N)DwYqI$Au-G%~7{_BR6+1NTfn%E?AIiNHUfj zd|Aq-p{f`x6q*NL&!E=1pCDHoma=Z$jMAx>b8MakIiMrfHvFPj;I~A*!4vH-+9Hp7 z5c{FJMeOF*ZJLYE3;6V8ZPvl>{mt?mo(PDZk=W{*0!^O0eY6tR*>*h&=oB`RU~qHp zOD3A*^^-m-DN5omvV4dw5NJn~9s!s<&c;NLm_~gpI7#N%ci(XWjq^yTXx?z_dDKez z23TnZ&$9#S_cCgpdzcfJjX9*z?Jp@f7A@t7dq6Zu0p)XryCG_g1P&^69=|VsA?(+U z(YL7RY8RKiBNO(Z5Ube?QHi=j*wpME(po~66kTB*Nw?~MXn?s3;JNL0i#ws1S)-I5;?_T&{{J0~Qd>P>^goa|_8ZCNpi z+q|nce34fo;PUCoJ!V;y-M5EBvb6gYvEh>QWN}P7MvR{o9Po#f2#69^lwpC>% z)k`?Zr0DGx6u*=?-zq#O{4C zz&^UIm4KtzE8)AT=%clTpqNvluJQK&h$yWrGV|Wmh zE_@oV{?x=_^GC7W2%0d;pw0r9>t*(mT!Liw?%sbSSgB~oPj4|` zxjf|KspJQ_^@>Tq4>8wn;;$XS<$D>1O`E;QRIipOZHsueEEqcqrriUSP&CqZ?9S}5 z+3kjJq;9n%pcwQdTl9kQeyW!}tr=Z|qcE$0n5VE?kKxq^TL{UXWB8K|&jx~<;gkN| z(&y$1PncV5d^l%DBlz9mk^3dO>?oY0^pm%19){h-PL$449+%UhhI0^|h=duT5m#$KShouqU zauDgNQpdP`BM6MokJ){*wW0vV2o`}Yz5P(t?&UM3vjQg7sgY)F4G2x?Qk7&!bNAI% zVScP>&FeKY0@b{{_05uCZB=_varr9oVM-Mh$1uC1j2>}vre8Qd+^uA0^K`(`^ihwy zYnf(F6rYRm@rqM}YqbcRHg!(jNH3X#ZAC~htPHW*+?L)fzgp3Zp1p~5Ml4q}tKu#3 zMEKucZX&_3ucv%ddb8GqdGK!=gU2#~tJ6#XnqQ3e=FeUl%4s)_(JW3NH}KOLX=m4y>^{C|F7s^a55jwzKtj&=NK(9TIglu zq=9mBu@OFWv~2CzV~pxuVnhh7dwV=V`3C)tdRMj<=|3jnMo^BoBv@L9@4T}*Dk&P2 z*l$x=p=o0fdvtX|pAW3S?10q3WduXYyI$U-t4{5UsI45e7r!uqEtxg9QzRb~x^v{e zkUNd=l$0_l3_>b$2ORNL3R58!>9to8P;uA2s@LTYi7!8e-*2cEO&KY?|#-2t6_sp%3;=_VrK=uaA>%H z_}9`f>}F4|k2pX=@Z;G>uT$7~_~17iLv;E2a_vZQ{O@$eRKMTZ__%Vf;CBO?YkXgi z8R_Ga=wj=RsQ%hbwa}-VkHqHYr0;%7c4$T|?Vt+Etw}rwMtQu8iNb!CRPJtyf1;n0oZvui3GFJXZ1- z>O~A&?2j!oeq7HG69|wq4%n&WTS`$gP@*Y1$9CU9r;Eh)PQN4ZUGOz=ElG->Rx-93 zrW^7?ChA6+{8o>=5~~EQD;=JhFW$;!S?pv85g4=>kaTBh$Y*z_g&kw?jX!i~tzd9r zs}fxZVzG6_7?GTJ8U0l=YgwoH^*R&U4~+hHADG}e1d7Wke^sShA*Dpf=fYBLY+vxZM;qJh1jKgrSjpYi-V?V^`X<}?@Id5FF{Qg3J752{h`6}sAQNT(>zPR6 z#kL=v-eWFVDB0h+vnt4qfK|ux1td!4Ios%axsnvSn*I@3lE~ z#@mEvb~V>*JU&}Wz>X6`ojeeF?ed@S&H*d&z*KZpnm0_yZcyk$3NsTs~3X zn~V7!_S_ThJE~eP5DzVYI-Y|Ae^I<8MR&aJm?z1(>tzvDYm+5^Lfq~2NAx+q^(U_(8^M5q^k_I&cg9t{$I@_=?gToEWSX`NU`8xOudcJ%tFG);$z%Y}U zRpD_@ngkzZi literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.fast_1200mv_0c.ddb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000000000000000000000000000000000000..f6380d2b845bc3e33f4dede4a5dd4bc1d550c236 GIT binary patch literal 118749 zcmaI51ymeC*DVUc-GV!W;O_43?(QDkh5>@h06_!62X}V~K|^qN8{FOH@_ql4d)IpF zP0yVHTC=t^bRGJB~q)Lc{&|;3!(0(>Rzhi$=@5I(~^*bU&4;tQ){~ zbgC<;GmmEWrp8O$8-E9!kDmPUCil7TGWJf-m>BQ4&*66-&*JwuTYX+{wvF>DZWq!{ z`Jtt24`~XHFF-w?&tX2fiB*npLdV>Hz*&<$Cc5T-uSU1^4{=k=Ir^>F;;-^a=f$Wi zhW)7_rr`B_B5OfBMP2K74~74oQu9nGhrwPAk5wnX?&Qp_h%e|QwW5?5+)!ZLVihF% zQkFu4;OW#!^RAtAy`5xvLtd|WM{vu`|3o@6`Dk-aSIX{RR!D=vytEOh5dW4S?lhD?j%%O*I}geskxg=x*ZYM!&49F!I`IGRR7)~`#TOe1gL9nBz>j=QE<92pFr|*`duCYIzbA7ZaAVSOO z@8j2?m2fALJmux@)<#_IX>+|Z+f&_{-GZSa_PG!>fOu#C3v+VdCH z07CGKb*W=%wpq`N#-6J1-(z!4xmvSIoNHB{$Tw}}k=lR$P(K#cIW zoi@HH%lz)0hM6B1xpw{b`U!|^VekOn zzG?BXs}KKK%6y?TNPSk4;ZFYeEcAxnd$z8WL}>786SOvtEIH-!Sbf^}TdgYBrRw4q?6B69J;AT# z=tE?dt&mkQ|HhkEP?V?f{VtW0K(m=uK`o1ZCnc|IfB0^c?AjtIy~7i$1tMPO6-laC zm5<=0Ia}mg+?e?dP?ojzx}xaT?YW@Qn2r~2Z>w=Vd*-$maeyTS2%_5P969fUi@1xVCQ?;>v3Qx2Voy?GbbRlp0$rxg=n!lOtoIUXzvY=#`d5SqBu6$ z)3bm44^v4q>-CZwvMF z)TNJgMF82=W9k*CdMZJ4q2eB`fE{2tNoefwZcNVG-3)+S+4A#x_zd6<<+iZw5=XAj zl!cA6lJMtNC_7zXOWz(unX)oqJ(}h$=S#r?y8_CvPFgj~l=dDL6s^UDCm5QhPt`c6 zx;%Bunw*jYQE2XDUfd*?PQbhSs>ThFQ+H!MP#u~!O8nj%C+OU81Y~y1Ysx%{7Spbp zXB-alTHc*T+5VZ96Bh`spr<8o^rFH z-_Y|kqu=m7;U{N~ix(k(nky)iJl+>sn<4agkNef`;E^jcsfXVj7^IHm7L2Je@)%UWZrH614p=#`sH zBr)Dw=pKHlU-b_P4Qd{ft5fY5tDo^rH5iVM_t%)zvO0p)9pc)%^AfPuw23TlUJlnB zEAY!Z+?~~4&CRi2?$Io1u z33*9`ZI6cIW11_ZJ8a)onrg;$8?V1qZ3|u0Yj~U7)T-J_2h3M$aNd*~0`Dq`)X;Bi zedbO(+Z!7kaNRW8&qR_#+Lb;xi8a<)y?ebTDNJ zl#00A)Hz9WCO$A2PVFc=7ugM%G%t%5w7rL}Z&cjJtl20Z;JZz zMfdg~h=}>7cnrh;uFy$Yu#2Ag(#)q>wqwzDfSVykU?PA-o-12ZAzv~_bw_SEe^hlG zx=dlq>(H;`=4F3%|5Wp3fL>(GeY=@X`21%MtI~vE(LT!b_4wf<0Kv3m&MnQ8W zjr?Jf!V7)sBDZjEdJb#4P5qy*aZ4zWi2gc_qNGlSB29V`1ye7k@Q%h6wky4bfreNU z&t|;2pc&-F=TTm2eb*AmY24hrnY7qtQ`tc8LNP}qK3TU}puQ`ZTKIQ$mAYp)Pt)%w z-|nwkmq*x|6oX|L>c8Xce=#O0oR1WwMb*iya7 zU@bE?$4_YDK9_4Zt~RV|U%?M8m(6N4x-ANPD)Kx|%L|a44iv01yv=A>D1AFPQJG_% zyHPo03sq}o(b9EYvRAsrNJp&_Iml*CFa75<7nvVeq3g#|ZnA%+UG50%Y3{uq0R`wT ztO{28ua)Rjl6jBi(Huqdx_(>mTDCmaNq8MAJtChVHCltjDQgORnpttqnh<=BXkAda z?}vHwwtjj3Wjn2f<$?KnF%@EpxAv{TZR0kW+rd%c@DtGiWJdZl{@F7mUf)v=z5WR* zvr=Tzl5ygdl^rZX@$dP^a$q}Gi?PBXQh?2&{)o+DMqj6C-M_BBiWYyb2cC%y9ze-L zF4(-PD&Oko(!>&yR8cd0vlQ0CldUt_&RBl4?GX#H$lIG>gy|h*pbvk|&0_?I2Zs@) z5_(OwHad|@4qWgtMeTX3qm8TqE+Np9TIbpyw$4TN*^0hhUu?L(&0mC8KTtYT@2dM_?M~5> zlXefGHAL2|KA-ntym`)J`$?o%iqPd~7T=cwy;c*C+V?wj61ts1p55v7+&Mx)vH)Y8 zsJej%vd8n1$c@2w!53X}A&!?D{Pikwgkeub=P|ZVHXvpIlFvFMph|CTH&J|!^{vM7 z)=;-H=jf56cObiXtf?73aQNsM@vp~5tWNox)j8#y>sb@vcC93~d!o2`tAj=N8D+$> zpr?l1^?9D>GG4U`mvzJW`k{@uc=o2p=WUkQZlYu2yGH@D36S^6J-uuyF}z0lqOWSd zrDi~6)YYb&6z%EudCKqFB>GI~`1T+*pm)S(+?24&S(Oy-g)XFI6E+Nv?*9Pze4Ct49cXQ}G+bEtrX)4jH3w&MaG-x~(1yr=! ztgUSasc!J)+-%?3SN2$^P1YY{5Dy4e^NYNh*?c~~AN<*PI`-PnJTJb6FSBo4um3WO z>`{>V93M55#L`+45cULIHmn$oTmxvW272E=JT{@L9Ih|tYchLZmH(aRwmLQ*p3g3- zq8-P%g1?f4oR+)^052|IAq~7gNrJBWQ!@X|T%ekkRb|BDSC=W}yvMel%fI83U-xuD z${c40U4=fz1N0yK&Y={4s^{#4g`mDC+6e4icWsG&zdtd!#JzV(ix>1>ROj(`lzK6` z&xZVSHDZ0c%s$R+cV@#JnN9&CdiqoOU|;tmUv}rjrJ+A5C3KG z!zQVB?6YyB?Xvg9y~Kolg?EBL_PX%0Z4b+o$NK>`8ck4J`>)#D(FxiC%SbYUp4O+Z zhpwY&`ZZSCC=t)+Hp~scNS^O_5lX>Ox4y7*hd<4hq8Irn2;J1Lsnx%&23!Nwqkm%s zZL~FNdf+^U34U+l4iH&nzkPh2sEjEgZ`1F*ND7~d%jJm@rD?~fE$RFHUVohE+uP?j zz`EKc^Ad^sTmq?mAKG4D9ctK@_R#zjNvjkyk)ci8G(EQB=bpxnX!pm_f2K-dW1Y+@S5zHxaZC~!MDvrS9$+5 zW`8`}KPY1CD{vtn&(*8z+hMR>53#68Pz5j$tQ;gNxchjGwjG$yobW_%@G@WfpgPkX zJ?_BE2Tb1U%iW85d$qhIyR3T7B@^`@QMn|ABq%;FboeZL0q-?`4avUS0A2=ohzD~k zd3l^W%zI9{H=5X~c=mg;IM|-N4-yX^+PkO5@T;(lr2@(4rEku&wBh?1139_Qyp3~P zXM)Ac&Q&r(?}_+(o*rMf8*&9WyS>)KeE0KvY|IyYNWXCB}d zyjOzL<}uwVnM+-JruVncoht*6XxRZ$wt>sSPeTXQQ>vjIGBwFn=WFYMu8MZXh91G4 zl5cYi&qK%O-fDneynudMr*_xn`;~ZHf0LV?Jl{e5&}~NEGklDnv#hs8eugF656$O3 z2_iz3va?mD3#I#B#?+&Aw7Y^#tfHb6%YH|jrLSS#Q!A!-v9;bt0!lae6XhOYbIE-f zTF@&)dywf=WT1`Z?as@|Jw`n1#%1M0^7GdLRa8M{nT?VOEVu3N{E`q4TIHyFav{3) zn+8%1VT@(H$-wKN*8;_%_*fCLB}M_S2K6bn7|PreTEJV9{cAx6WKf#cb)HcmFjl>c z<)iPH*6Tw7{Rv8f%sIS%CB6#{@u2gseh8J!J7c2FrZ<25Aq6Gy_c1vqq7256aba~U zA25O|&c*&0wKKTs|?O7nK{Y%s7nF9ofCOem#p3d zL>rB_Y4$52ns`cG{FW-Y5|XoP`|~>sf`K%9&k+1BoVDv)gsDT-9-`O1U%b?nAaWzB zD4;KA{5`R#0NMMMz@=>@iXY5`K_a1KG1&q)h!X$pvF+eb!hBq0_PuZ8kgIO&IaP!Gs*Pub_@0(JzBMhqLTJtD2C@$qXiuhpO&NI4Rzu`#(y4 zJsl;M46f4*OmAcJzxo$~*m(^uw6mUWeVsBj{rp!ie0QP25K$L)E)g%rsYuakxRRU6SF-Yxe`1gGWj3_yF z-^f0Qxn=@rCD6+Zu#6B{9JqL0=9eKf0cbNGxh{hN#@(q149Jc?aAfinFn|_TTqy3I zR2R*pUKZz`2YL=0O#qMAIMZ2nKuWDF zeRD|3*ju#CQQ;mEmR>N04n_&$?e&+fam$5*ttD{TZ=}NN>0D$t<^TOELB_@S1a) z9hdt9+h#>>`k5JBg+1dZIZZ5qH!ca@m(g?YvBj&kbbo~ZochEN_9qzR* zkOu86U$))ff&_&ZMbCT(%gc-}s#!)5bZ?``GaCS-Cg(&8XRGwi$Bi{npMAWZ*q-K0 zI|dNE{28BgjZ3&#TOHb40|dyJsuOp0Dj)g2?mGhKo z=J@+~*4CXy--hdd7xX*!K3h?bVKtYE*hyIgR$nsL!qogI%SIx z(OS?c&Xg7~$g^(+qnLlARSQwO2Kaf+eL;1K zHwY+5^t{T8b%z}vpgDSoTX7%tyw>2_c_=J6nEpz4m9Dse_Rg(IY|g%} zX++6MSkCNmp*e$@=dbd(IXT6s4pM&ho-+8gn*Fp-))M{5_7DXF!EoghJo8%Zf4HKZ zkQ)kIhN1E?d@hRw+b47rq7nMJHm(t|mOSn|l*AC!UyBp>CscRZ0(R$*2VIR?HOv38 z+^Eni(7svE9_H164Q&7fq_;f@8Ubfth97DLG2-4^|1|n;bkGh4bsvs$vHCu_QrVzA zDN>456g$48zmF!YxAz1J0F#mbFaPK0gVL^=@W!|7(C0U`Eo>|M`ZX}ST2}UNzzv}&kWjd(cVP;IA4pJj z_jB_4R<_~#`*Uf)nsD0SvhTKyu?Be@eb4(&Bh0p9$qC-O^Ha{@6Wd{(Qx5Tz4`2n~;a1BfvO%JD7tS%oyz z6u`W-Yh>oWrro8#rTvhsY4l28&i>1SL&nGUW_8u*TNOz=$U7#?IfmO)a@p5CyS1S+ zpbF>_7urjdo+5iU;5~&gy5KDDer*p3a?*{x$43`D5}k4u1$@qaUEwY0c)Rw_bgXQx z_=!R4e@b3+KWp!HpDDcU_Rhz%%fEf;m*FT&iyc*ZvZ8Aw#}t0QjIM1slYMZ|rPzGs z#yB*yAxKB|`s{Lvmk|9ts1f*k*kUK}N=98_r+Wrvsfl|+=48FGNw=4>#FSoG6> zOn_8P6YbNV~6R#S(-6M5-GLBYVZRV%9_v%wZ(Y5PZ-*Co&{taP%zvw{IM$kbYMy%{0@2m^S zL}#Ty4mXv`Ytgmno^?Fd3-rJ~4odae&!>K$`-FsrT%g6vW6jW@k8qH0+$+A7l&Pl) z|Juqs5Y&Sv+PbgVmq6cKYJU z1Y{=tE9;a06MJ=`xO?Wvk+CRNPn+<1s_cCKX*uFY&cR@{7FO@wh1TlWnQ?(evifgV zQJ8v9*2$sDPsX-q-M7*Xo%I)K@J1lsQ=>%Rx^9Z%LcxL^Yo`sZie)0$gZHV&Eh%W& zt#pbS*}&L6v`H!D!+JGcc|(u<>MijBC!9=TbVLw_(kMBKe#*u{#g6d&QIMc2-N9OgGj#vsCnT}>KRZCyL_WtMD+W9H$nBmMb%<IKM`UkPGQKe4>3d%F;;TxIshDgjfPKzXO_~??}?L6=-6R|IZ_KX@Xr-`N%FV^feQw3aos+h?cwrB)^8 zu+$X}e-SINEy?Cpd^Zm_VBM28nu*j?*iQ$*9&q=6pRD_RRj;1mC_luB*wc{kBWZeN z0TDTylL>k#IFyc$fbR$zY6lkpx{#CxS^AJCZ3o8-oZPm-qAQReL?HRbB}ADyId)Oz zqkP`bJD2<>%Oqq-53Ilt&-I&>K7^NuBp!vb#+5y{s{he^;YLM3?9AIKwKg4yUSLMc z9kCuQeR`-1i)!t5{f$%G^HHVzUQL?)(-$brNRF8DUTCzZQtETzewl*fS<@6@fb5!y zU9LDTsl-qxX?@Wht&}8pySEl`BEq2=Ikq0yBjAz9>%MI=GOrZlmK%Gt(N_ZM##p_J zYU0ogZ#k3e85K<&&T+hv_^>_4+Vsz-0`-(mks>19YwqRHOY}>x#bY@Fi&a_yxpw-l zYZ=+aKSLpAhv%Zim0K!w4{32zP6RKDs-qwQY^~&B;OEDd}%^ z9G|Xo=QAV`B2AXjNnMZ?Pn61y!@p_ri47X`8K2(POP{zKDI1h&Q?7n?fZ)2@ac9?= zG?PW5^M>PsCMkC(EhPNQ3#?n|zbO7Dkg3CO`=)6p6eXcFpu%@GY<=6S5H(3Du^&Z3 zxtm82vg)WGph2L4PUHy=O9>sVi*s5r-;~wh=BW60K)mpTW)I2zC&-$%`N$G;O@On3 zU7!08LPJu>dNf~aMFdkF(F%*H&p{lQ?u;D`s>H^~OEq$RcP?a(`dwRKWz#*8ELZv} zg3(^Ket6ma_DR4p4_nO2trDr#S^0OTcWuBl>YBE3*H6!p6BoZO2_ISYD)MAlOojs% zA^T`>1+{g2^+Lg2fE~fwsEfaB6JbjKi3X3DF8kM1LX4WF=#F<|buK66IYK%v-whV& zzIiQDWY{W$@_n^i|GM}@{zA0mr8}AO(_6&&Px$vwVGg4=2qQoK6qxNyAsp)KC+m&tpw^e`WfYqtW4J)l+KO@s4F8fq z@p5G#3G3GrJkKAQ)`v7y*JyB($j+$Qc_Il*gXuyy=L@dEGB>O{lXoH^^$ar+fwlPE zxpAkq(E=C1hZFyeZ71kkEumJbovhRDUd9`lP(R~_PC=K7obOv4IvTiio-qrGO0l{T zIc3t4Y0jS|NgP=Wa@Q?G;YVF;ap>hSci^Qw$5$$Tb>oN1*}V&%C;gD+USZ4t62!gr zF(|wJ4gc;UcV=Jxy~+3j8Bby6Xrb-RE_-#Et2+s9o4enF7VU8C>n6%xFn*CbvKQzz z@tbodvco4K3kD24<}Y(8S9hOm#<!?~tJ4Xu5`uL?|UWia?0jz(ZgNEW+CsYUw8qwy{z2XjS1dLsE9a4;>EKuGEn zVY*~|BhooDw))^kV1Mri53Pneq^Obj@XZL{Y{iQaoV6ftK6-(Iis1yr+i=f%x_|XiAuO?TIjJf2k_c@y9{D1SR|z zjD3D{&!@&|F>>#au*e88(>QAt0+f($yR4hhIyJSV>m{GEdv#g-1<1UuRh}VAZ%*x(?n3*R#V^86b;dy9z>>}8B}KaG_uVtwu4!kF>)k_rkU27 z3I6$?9PB{X0=N^82VhRaNLzSzMG2(f(DW$7ipl3=OtO_Hn3Ei_Ybr zbabR3XPmCyXEuNEM;aCgP59s!NMlrLvX*Srme-&RKT zp=0U8BIv=IHs~_)^J7+ZtDKa%eY95W+6)I5XwM<8bd%p=HmL})s{XJPtilW-x62W9 zH}e9%VV$>H><%bwp;eXFnPUlR>ez%P&5$Uh^yFB1g8}nZ9%bLfwf^Eqe&&f^U#%oW zoeHt4_=3y{)rN8vHM$`;Zq(ut>2;3!<%cpmcUR8?dPBL$1en}KB&?eKF|J<7nEuNK z)=HrOfWkNcRIJ!{XC71@&qa!qU=6<`J_3L)q*g!Qg#@>irF2h5LJeFfy-ili)B=mN zAfpp#!;t0MYVzf;G1FhYD(^El6JMQp8)J&Nqho zB)VGfZ{;+;YuMQi0{T9jcGgmw)@bxKiA>WU2PHB#e$rANao5AnXcK(aA$pCm zIi}@WVkK1lEI``zmv6ZXJWGOBe!uRf30l9Lwv6(L=;hw*yRcT0 ztwALI%QzzAAVjMH{yWGC?ZMD)-kEuXxc>HCk!1*eo35OowDHSTl%x%&lP(+ z=Q;HNwyl6kw@WMoVx z#!8S}NPHg!!-2(C7$ksnTxP^mKo<6F#p&ouioisE^;l7)rc$;9=Uf0SVdynjS8?uu zJJ`XAk0ymAzo3TFq*>s*F8w!lJ(%FP!;}#2N{InSdhTjTMCg4Pbr3$*tUYXT zIt@`=TN0E+QM9ZzeDrUPEdEX8-eW~I6-usn81`Wxoy+J6Y-v3Kry;Z(mx=ZyvBBG@ z4iM4=cDRZE{-5TUtXeIpoacShKqaGEUxQ%Sutq*c0=?-hH%S#M{^KJ?yKrz$Gd`m^ z+I5Z*sb-V`tv|&lJ5mlWw&A@RWVne2d-eq=B3a9-OZyz7)P~km0d&{v*{CxhCSA3YES-+fqYZdL5IE~;C<(M|HD8xl^;@%}ecDN2a3i+8eGvs$F%^cN5 zPjM?dUtmdo$?5m^TN~-O79|`1ru}c@JOpOw2zq!$bKmrcD2q8vPNh=Tju~!2Un0G5 zXt9*7Fn8lrd~OzruW;BcXY?wt3C=~3E|#~`rl%316(zq8PGlelKr0N`PwvYNs|r2S zQi@{bgwi?g!|&PxH&3nbKkmQk<}$?i_BA;;J?uCa?DFl<&U>2;tEN!TV5yW$W7PaR zLNtj)Xh_Wcja<$p0?UM^V0T(b`B8Dgca}KhG50*|MM^0&F}L*F=AONsTRl?~)9!Mg zK2ZqO4($MzH9^%^jwp9nTDs%=2=jL-beUn*VN65>8THHFUv*_NuH7X>hNv`8yGr3* zR<7Cbf$?0n?+iF7S&Ioy1y??g%7sjy7C5SzR;Trswvy?_4?fuuqq0SY8ekisA>c}9 zdLaLCml2YK%GV(D;nfReULQrNG)L0!tYIiXLmQ!HNNJ_h2h%u4nae*d?SM7bfk;Nh zLg)uYSX3XezmynX>t%rL*H76QSV;(8CnYA!`#lf^7rj#<)Vak({DBvxH+Zx1C9508 z21@Z*CV1(BJkJ{yrAAu~uFCvN9!DYT;-^%7_^dhM&OoDlxaJHdly|mIoKR7>bn)m= zdn0cKq>+OYwSylS8<~h55yq2{=3Kd-NIvk`>H{`u)90OxDLdgU2~9@mGP*RKfl6s& zm23)-P+16lelREQpEE6A|6(D{go|}yZ3=-l@foKg@s#A;ur>OhMS5CH7wBmpZWGQq zLojh5?PVlp5Xk@9xn{`>>rgRUr!}m~q}ZFg>Dk)#JKLssrfGn=Xi#-$URoY<1P@Bg z4SRu&4@q)dLVAp5OS41>_Bh=twWi09EW)zz6h(ZD=4NNG!`wxr6#c=Yb0}L3!j%|S z#dKEF0$dnKar>W^{l{UDe?!6H!%f5rKy@ssdd`v=Cb{Xc*qh^7tp!LAs@ zlZ&Rk+H-E-R#oPh+8>J;Y+$8iqrQQmEj;4soh80`^BA4NV~ z-M}a<|MOLRzQfI))Pe2?+z@>K!W%tHxB5T;Txf1_epyjGP~&T#Qq`!PDA>y(ZTN z6%>SZv-sH}WB#Jg_)cfLZ%#O>+UZ@I*~d?m6~sA@tHv-+F@*tff1bj^B*kGw|3~Hc zqRTEM&X>xt{^y1TktfNgU{MaVFXh1XsM;{B<+fYd`(DkORKPN3bQWOzNaotu7AILn8^TxDNC@U&bCGf${TZO@U?J&or$Vhs!JZDi{Dl%dZvhBVbzS_Kcw{U~&6aMQO~pCQEyTmZjr=7fN|dwI z-!d^ikua$9=r{#rn;o#7CVFeg6{&<{$zeUN9Ty^D_i#WzGCHM+m{AFO%t+)Y*ZKy8 z$H0&CRB)ZZ&RTo4v&Rpu$&M zEB|5q63>_j>rAzhs7Qhvm2FbbKz9;=RGs6c)V@{a)Qu+SwOC7G`*j|7 z4X#LrhymN4Ru8m@AplFsMAs|I3KM?>ED+|t7xdiXw~;GQNx&oWHJ@nz0yimA*Fi3V zy%<>`TNx*y;N=H%F3byu(vfGN{llzLW^(4>7=R}iNkqH@j!4|O59&F|H35x2a$(t|aOPQn3CJrYr-T(Y)5ycJto?X|FmJUZMVJ}C9x~`(c|Pg;UvRWX#3&~BsYZO5O9XW-J@N?-}&2T|vVN#^tPwo&>7B6$XvAIHZ$?f)Qin{fme@kW%&xW1@?&`P8*P;WmJCs&R& zgr1U@jv9nvNig6@Xtv`BWz$E(1C5kAh++4iM-8TfUy<1A_QSWsn4khFE1bWvp@voS zI04d)V_=Qb2iPn=Sc8iQs;1WK$4%KYtLx@GR;RhCtQ`r0C*ytLQeq-IINM?e=wETE z(7nDnW;;phWtq7|8otSmD8;uH0t#d|``imUqmY(mCrbMKnQ?gM>b97Xi;Y~ zW&Zd$a|hWSssJ^&dK{=g6p4=jMvbWt zotbPUL_dbpsg*ELAgX)m2*2VNQ?#_k@{gTBWtU5gZP$Qytddb$njI5(&u~?=w^7_H?IP=Mi&yL1;5f~JlXhr z_Ysdw;EwD=Re^A^p|lwqktP3q(Y z_C426QB1f;w5@Q2Bc-=PiPDUkrL&{N9ok@CqOw8jOl%v^q{Kw&WNpANtKI$IyB^rK#tf9${|Vi`@#1ULJWV%uB&b04 zmj55*8jd(ioXIy(E^8Y?E zcah&D*MJ(5WlWQDH;(+L84Ro9w?T@8@ZH?>#c+kb;r~NS~+n9JQ32_ ze@?;boKoiYw*)&>vK1|G*H(R`w+TAwOhZNLJTbwhlH>q!J7SV7!s?SvE-se{TXcY3 z@bLn^Udu~dF#ug{SWo|myD<3!jFawO`d!y1vKKORbh}Dg{e&Ajf9SpwH%G*#yOv$? zCld9}vwe3_IQKn9%phy%Hwx0fa2zW6ZyJ1oD6oHU%kXTO zCO+Ndj-N2|l5`_V1A<2t`ln}OrmSnDLrkv z`4Di2MFf+8)f8py;3}PHs58>Xn91txhQ8pcq`iiu=I!ny?|KBg4~~2aX23Pj&$Avb z2v-lS`itJa62X*-Y9S;*C<(QJ7jsXD(m8Tb_OU3DCF-JNJNUZ{&qo|d z-*dP?5)bq^h5ZpsUf*ndhYLuP!55+gcZe>_Wdpod0Ky@W04H!O5ncSY5@P>+gaRt^Q|R(AKN58=pfKC99Aqfafx1Wl6^5V?i=GA&70%E6|=So0_tl0=7P%q9i#ud zzu^t}zy9rn*r6Np`z`!*9q7Sbi@V`EQ|wR}$Yw2{V&oUnRVd+Os;K;BDHIy_a{ce( zRSN83MB*%h&nu+AkExeD;2BB)ly|-z(4$WFuf1i`b{)oNJd9=MydSE(JoFzMq}_boIUauoo9{&ontsz&xUD4cxoiKoH;XeE4}t7gYs^A zjA*=ggbV0K=%I*B{^H*(dUUPKQwHI`%s!o7)&aQ`dq-!!hJ|7ZNnTC+4GbW?lQuBv zM+WZ)TW?GKYM?8v^=7&uUa4z1)&XnV;$C}_{j+V-*Udj7Kqwe#M1f~RNn3u(&jwFhIn7t0KH6trSrd$qVJ4=5#_p$xNcH3L+OzR{e`Ob={s| z%g4suCr5Jx2-&1>755KBL~nxb(cuIc*JU(#8hxHs>J*NUB&XwS=L{LvrOhsGgzy$< zaAF&29ua5Lb-fc-Jx8kE5n7uMEGI}X<|#N|{y)k&uK38=?vJj{cMg4-nnY^>%%CRw zNVLuk^=$DuV&0D>aZWyzHo$nH$vCeqPTok;IVf8yf5uI++$56KBS8%Z%L>u*OQteM z?u~Uj00+LyI@N3MDsgF2t3lcaL_wWR+b$osRKUA)w>oN{+O~->&xJZN-zu*4p7gJy z`4DkERpUQOKqLRJZqmpqV*0+ou3zin3yRz;7u|bOry|`^r^n*sM53D;- zLK{9L7)^&RZD(iwmEFcH3BCM*Z5|G7J&L%HLbAow{|uyK=SBr*lftS&K!`yXupO#! zysriv{m|x2_7BWZA5$0?J}}$_BBY9mIsWMz%k92kyMqkRhqH1w%sXRUf6${e=U;kH zhkzKLb9u};WMzi`q?OCZc~PsYC=Vf56N^XK=(=T!?nPvkD-W$EYHDw%_uWdjx;>Bn zOq0o)E^&ghG$%N>cI?a^5Z=*>mtQtOw(I{Ph4KYAj{3iKiQE~?5zbu<9~rG4N%C^G zS|m&_^?Tc}<`=CUL1>UJCs0eWSXkBwyZmq&QTK*(rE+vLpY}Ir2S$#ppTu`%e?)ML z<9MH?B#-ZZUU6<-@&8VeL4_7eMINNLZT!>F!z0`Sf~8VNmmVs12#z|`h^M6H`u*uY zUe~Z@52Hm^kOf0ud?o0;z)hXqlz*ZZi3_gb)neOZ)ovgL7WuGo6_QP^es$A%zfcbI zBsmg|i!MoscUvgq_4O(FH-YePKUjnxf|hqaCPIx3E&o5BHOK7gb;P6~@WG6h*|sC> z)M!A@CJN0n94CP7>J>!Xeb#y;nPj)^ghn+%VjR|Jb8X>kcDYy`!6(8l5+DuLb@;*i4QrjcBoVb!XKc&YE(+@^aqE?YVE{;SV{KK}s)dhoIV&JVA z&$Hn{9sk817K{A<@QG`&+8eUI2tujtL)D}%K`(D?4x863P;6Z$y6FrTn}O*~Jo$Q|OfgdiMuX{1wYT-B#2>@GjPs zicqB*y-gGH6cQ@CKfml~p#?2EJ-8H*q{rjltTj{hwIw)vVc|dwO;~Se8r^zE)I|_( z{#?*QX_e(|2&5tNYg6kCv#sxBwrF%E9|=z@cil7Ec>t<2ER`O?AKbQ>&%gKoVn#wG z{88^6gWGLA#nFj#NYInE-$*at&!XD$I8ie^3weCOf|H7F-`6>`K`zR8nE?Hg)Yh z>L-*fT-fsfZM%Ns_WLgDIRd(LFBEfK7?D~g<@sRrKik(kMk%zMa>K&L_#`U{Q{NlNg@H16f^?+umlVuJ(4ALKm|KS`R z2~9V^YBl6*t;OHsAOe1lh8WMgERqa(!`M;S`l@!Do=%}s5@-Kl4r3N zoUA*6a9o?lQ?z~2R=>WlQ_AgYv_-BZIAfQBqh2esKc6>U2JAobJK27%{PxFDmL%7n zSkftB;>)Fa;av=_yRts>C}|}Gm*Ne+!u=N~`mPyZgR})gt|iJnQELiVHy|ujBKJo4 zm*`5LhS3YL|8c6@K789xs&VHCIm@}EztHToEo=eZ=@c1KiMpAE${yKh$&)LvTPJDJ|f^01UGfx@;NF4 zvf4G!d}KMz(Ei*f3KOILAs@k;hmc%bYz}~k5ZP=?2=W6j>h8bsKz@HZb_*TwcT0F5J?|4$18Xy?mYh>NHP$Gj=sr6a9A={dJ~6;&ROFE!)UuKXY>`#)*(><>x4S z7*>MN-fFvTG;H4=>FRRQh5{v8LyOb+G?*q1ou<*|bmDFsJLYS-c2P&<-At-Z@>w-v z#$LvMpMD4zjjXJk{v!Fd@qg8YZv1HtYk)xElSl!@HwL!2GKk)XY>Cz)4nm=xej1LJ z8t)Mzg_;!c!V$Ek&D_XBG{shwDX>DYjPSq8l-WKKeBy!0j_cRr`}r*ExHL*IT@#~2 zOpp=er3+aN&TD+69i$sqV42Qh#uZW-fWjR;AYvx#vSa$^t4;$UNVtRjOh{vbwVSvb z<)nohwU=4W1E$-00bDzQ@!20`XrP~mNj5$$7cP6`f^EX6ye2y03WC$&37)(J{!?gh zSJTY@Xv|LFxBRi)rpZb`RdTpN@Qb}8vA;q3J%TsE`Ow6s$o$*48((Hq85)DkOUV3B=6@|i|4+u~{=Zwd zTmHQNA-I+q#GLRJ@0cTse3-w3>tAq(0C#th*j~j%sY`?98taDwlLMdSQ^_d7;a7o7 zq}(fF794-n$jo-KNLWt?%a_lkJwLiVNeK?m1iE3p*1@&X;olCbl@w(4`q?VbMybk zuYF6OEa9>G1~p6cBZ*cZG z5=9=3*91#E;(X)Vb0k<3v}`1G+}86v9p5da-s@z=>OH%vCn(smr-()~40!0jnNJh> zqpTAixz!|@V45&X*nVl6sf^2lhtyz*tkbMgq3sZ+g~47H(>Tl|9$khwAy9KCmGU3v z5G8ps;5%aNKgbZO|IZLp`eaJ&kEo|yu0#SEC-t$a$eIAzswUYpvgT-vNxX|b;_nv| zPo4AE|5v8-8i-8j)aX!k-L45uqcI0HYN>udPfdTpH9^LMU!JX5&k=8fe_Zf7ssC^7 zwf4gM9kJ^qm2zC@|4)ej2RZ*AhAa@vU{-R83*5q)jNb)&-iQ<<{9q4=nDw;R;4<#I znxgfOoLgvBlUx_6>W2p`Sg zC1=gmJgfd3lEHY+5x6j6_ z4P9-5RWX1c`5fK&yr63szw9X}HN|Nu_d>D^fvxeiTA97iOy5Zdzem@Tw3?kcfy4VpID> zTXQx?MG{mI!uWzc^92;RqU5JC#GI>;7)VE^b}GM&3`?HFfJg#s?tq_K3FpX|B+xBq(%OBI4|A9+X>P0Ir}v8P+9_%RoL=fay*0XL0G;caF^bz8V2= zUO1e)!g!Mf!g9WRhM0I=-cuE$vj!~g$8R=4`hOK4mg`7zfrOqENM~2J)I@S9NR>-h z(v{4~AUw=hsuqF%`l6~!ivY&s*>(2Y-4>}tiLAZC&4%^>wN3(t`pB8766po; zRB!!nW)HLAG)nRe@MhBJps$gwV8$BhS^^yX-p0~e%W0-DLuxrFDdS?H={4`SU!~fH zptUi;%42BU0t0nyLVJJwln>cdt`$82q!(L!$6JxkcIOUugWP}zEdZ>`=WW3sWi=iA zn`7Xs5+D-&tXOE7BKSJ07p^u6$`*$;wEO;frLzP($-XV?Yc&VdMJ=%8X9d+x~ zj(DmBCfj3eA5ynr6~>9pROR{#=&Ddht&8Rwo73m{j81$8>TfUioYe^#dTT}f1lvn- zJchTGJuVG=!L>ltJ?q#Jif11rw@A9ag<~WMsAcQ-cwB)VGaVv({XU^39z zGq~W>*OIcOY7*kpvs@CjErv7&zb`4Cp_0+;AXTVr=>Cg<5@-YyW(=HYL^VIhPfzsH z!fIP3!BZ1gUxZq>iqX{IEbmSL{U85gEkv^5c#T?stF z!LXd`{!{yyr-)hB2Rg)q z2q$O<38*;eQUyX&(U1#(!7wYMa0x9VqSpa9-!oq_=|^r11!WBIuXG(n5)4Be8VxoM z*h*Vp!%#!p3e6-Hj|ay@N7H+FiE(d-C)%T1!mWFtxaa-!(TEftEQ>6hA`@u$o?kx- zG`vf!Ehou%Ao0pd*+oq=PQ1B>f(_4Ei7AM%g5~ay^Oi@=AmFyUzHDt`0EV4v_}Lte z1LkFd`j-^a9N}AP(7dFA}I;-vtqp0?T3xtL$J3qE~qdVa+5Y z|8e$;D8(&uzeBdx=0a>QqDX?2p1KEepP`{eqp!G%K>YTNBskK+_jtIeg+DQ~$!#-_Px zD{Rqu_i)V&mW$3vgSelbykwgfK5uX9=Fw_zf$`~|9Sh~BY?{9SCN-6jomVt=1p zF!`^*iQ)P@T34MZ0EXnQ+V-e{Q}5gLb%(+6R#^qYMCIDp!zgAA^T zgcdre*gsHO7-t4KQvX*evoXwBQc2Hc21lG`PwDOr1Dd(DX&)5i#koDvmEkcl*F*<> zAYjrVs^w1d#-rs3XPYz9wGlbn0h{gcJ)lv3LXi&MH@Ih0&?SYBm`s7YxdT87g( z4K`QX1<{c?#Un%WVGEgVf`2Rsv0DLJ4AcdMU^5`mG)?p(F%_LJf`j~=O%KnU!bU$; z^&Hrvw6Jp4^|$Y77o=|*l|<^`of_cS8gLUDP#*<(RoI8Xr6=l}dc)l$wyo2-dA>GU zpY;@eP7u0!Fb8coJ3lHSSzguJA3s)CG=|k0@Th9=X4quVHQ_3iLT7SkTPfiz$>EB2 z$oy1py@=Y_S9}wTn^GEX8||a5@xg?#2>WMrI*!`1K)$0LGF4Mdl{=ZY4@D=ua$I$H z6ivOA^1$H7u^Iv?VslBsbq+4Dj;fm z*Mk&U7fUN5%TRd3`L-35d%PuxP$LRTN?%_hS190{j`&7=4dFns|`tnm9Q91N`d}J7w^?zst6?5ilb9wR?fNejczQ3Nv0C$MAohn1FL``+|NrFd8{sJ{l(R@%xk=dUap&#Ca1#ELCz37 zs$n&#RvR`EETVA5a1`14AeYqIOji>@Gk5G|ZmES?K(#T3FfqUO6p1NHk_Q@48ZTNM z(ZTKjbbpd6fmSvg(tKALvi)A24*}i8u9J>b?kb8ny>2TXvZTAj+)dF5cZ0dnA`e-p z*;pjN$w0MJfrW}aPh>0g=lk)^V#9LB-$b5B zr~2gvS{EV^NlwWuO-#)P#Q~grYrXcR&j3|(aE^8z1IRW$U-qx?UvwOU|>k5(PN$Mi_{x`*eUBqA#$qS+9;4+Y4Y?V#7e z`en*NQZeZMZ{SW^gw+1ID!NI;%>vH#{4TLUqw5p?SUr{|tpQ17)hNZ_T$8w8s|PQc zv|MX_P`p&ebrY#pnNiw#eMA+)PCa`J@| zNIa}yt=tvRLVrGxK?N#kt2)18A;*I=sRqxRN{(CJewSTvVFH_;_q$&9s%Rq_bxu&f zv~r%`J9f6MUF`Xyg4(M$yu`4w6tOT?B@qC1Zzrx#a0 zn!>-GI7loQMKg3zA?WF9A@wbV#VcS4!_bO}kaax>UPiH83S)3Kuz3ywYo65%{~|y~ zjYcUwqVl0*mTl=8@*k=sF=!1_`6ehr_S&GSVW>7$?EIxeB!`wr3`8xF@kf^qR~Zs; z5;rH2$)+pXu%%Ug8_hl5Q+)FyLzFBL%;xFp6lrV{DLk(XSAF4CrRP<}lhF!G-0$-H z>>YJQ`p}taUg&g*mCv>s*TqU$N~!9nVwhMrk0%5RMC?_B%54^zoum-`35%IZYef_y z&Hqg#z##cgJx#8QDtV^-OnI=7JbW61Fs@hb)RxEvCogXOro{F3mIqQ;1w{O@>0&zJ z1*_yQM@LPt7>|e~iZ>6pl6~r8!*z@2&^mhm0n(PYIv=V)$5%obVeFC|x*F#r6`Wq` z$-m?|l0RXSXdw60lSwH3h0N0*4eVA#aKZ+hGrOYL+gbZC)g+K)loMXn`6i< zwG885$CRu?$gCBE!0OOPINZzvv8^SxX2EjPS_I<7>=#_60Mr;R{6jAtE$@oi2(fM;^H3EY%NsK1g>Z-CBzMnVL7zCT;Ha=50oW+ zWDpW*@(ikgD|jbV(Y!?ou*gv{Ud>D!b&?35IeeHAxC?tQAg)Mg-ss?1Ai7c`$+4WP zy|*XHG#`zsCa{B2g{XF@GEn96Q_i8}QZy@;gN}-xyrhQj9?OZCOXpy1BHvEKN_m@K zK@GpmK6jaS>N4={=P!T1cQy)d(@`Y|agU2ISjuPRMi+aBSf zdN@8~;4sLVOUH0=z_=CQ0?GC?ZNd4YOYsbr3iiZ24 zXiQX{JacV%$K;|4+XBTVLkKk+2O%%~Fo?)!?FE_({xCmvO6jupp&wb+BZtm@jbEG# zxXSw(Hh5kdhx`QN*ix8G)hPSzNEXM>F+1S1RSAXNS}j2TKhMzJy(9-$GD8n}N7VJS zEtt>%@40l4D*^)Q356i0TTY2-J-N2%Qx!W*Fz0t3ntS8)YtO2xgqcJIz*1$ znIyn!9v7EIAy?+#0wI$L|0JMt$xX_6Vv+B89Rs)|JXIa}8}pDl`~)U>j{@i?E2I$o z>2jRnlLLWJv@EaZ6jh2)rMpDaK=>+1Z0Ad4nxS}n#aIQZVwORh7tEW0J{p$x`cp^U z1rg~$7Y%@jSEgJ}Rq_)QH?=^+2E%u!>s2=x-s^J+ykw&N(Zk=jgo(oOSVw0o9 zz(2DKdpCi5=~Ebl3s;u7YJQzGr6pR?r7xhnw7EA3wGlK>W%tvo2$p}#E_yRMKcNAE zE@hIujz9OC9St0K?;BzvP{k5P4&i4hMZt?brZB{*{%5^Gz=W4ouaASzvpRrgA9H$B zr4Modh^yLFGI=tCQyVtERd9-KXtTprZ(d;9FzeAo)BzO`HFatc$n^eV8@#jwh3c&=*=9 zu*;t7E*rR76O!8v#|R_O%(fr9uI`gWOsiiTvd=D+;-UzDDx9_ZZ0ZQC@_GtF)X(_6 z`jD)08;C~h1&+X#_VYu4)5F1^18Mo6FWCXJ z6XBGRnWj-1O6J1>KE+jWJFi9f3EhMrrmyG1lf$l_= zab|4wccg`m9}A;F_wt`j3@}kK=2e~^TdauB`2>Uf^`|cGtVl3oJ5I!;S5Pm8hd+hXX z$Y&1$3CG8Clu4bVXMd_W^pt}d2%*2U_wq&X+oxyJZVX1Va7#Dr z+*xJag)zXt>foxd3x-2{XN>QOj3OtTcW(OC6^`(L457S5&cu`60;;34QSRue^#h!NEhK%HR>nXOY)!cVb_{IAK*x4cvdh@eD%wd zyi27j@A;i@h6LdtOt$TSJ$VYlA0ZGDSa$Mp*|0W|0oGNJp5+0f+{W%K7z|C1Jsl2|0@WllxyUrW1dXz{2l{vT-b zriOZdJ8xJaC*VMP6Ge1q%0>V5n&LAWZk}jeuoI~M>63y*sQF!sFb?_)(dupY)K3o# ziS;Ntgr~9~6+;P4ep66$KY_>aRlhwhY1>@*)RZ2xzRTmID>e>&ZwPcHEAB+Kf-q7p zl9zglhFB;s?tQ|SS|5aPig`f-9fVYegV*0fz;mLU`}${|_bxHDfrSKz#0sAGrhr*| zV1|hXt}c!_Pa%XGI8A^z{(u~E*0khF7xDR=W-85*E5v%3#49ewLqcGfVBZl!T+U!% zgo_;peBeN9LIgJ0tVQ$vk3m{mA4u}I>so2&%$DAXEonf=T+jTuW_kj+C009OD6udf z>2(`OyXcYmiKB{TY6z=(OTs(Dg9;|)^{S>sMUcQEcm1GRh`VN*|2)lNEER3`O|l74TbSJS1M&+65P z_Sr*a56qH3SCB#3F77prcnaf~1GqZ1`pqI#MV z%a^XvA1HNvevYSSl<~4lc4%$TFgUSvoHlMZ-BL3TF`0Vl35?Yy<6RFw$KSqS8ru%% zeP|2Pruz%GEfuzAffS)IUX~&&n;TIJFLD_cA0jx4JL*h@0VO?!e3JUK2zts=KVeZ# zyewfQ4?~ecUn-K+1!j=XO8mp+QOXwj;N9~PUOJA(>)}a;@rPp0A?!n}BkO-0I4Vy+ zPFbvT4hJvt(Zgs7HzTxpZinK23$`Y8N3 zpjgF0HSyr}>_I$3qCRP@idKmRQqnQz89Zpl`PNoqLzBRQNQpDJh!I*lwr_THrVy_L zaXe9L?eN=$o4EMpaq2Hj2OMoB)o03=J|_n!4UyZ=5GDc$chB9iO+0vb{e7BV(^wyD zDpxC=lPH?!nXkrz54p~{PYPLNXmw2nl1;P+}Z@w`u|#231fi zh8WGR1qR6qx8p%p&}1V!LyaRbFhvvKbR>BCf6pO6cz7VukUf%ymm!3{yl-g-#tzfjEi{n9nsw{Qe%NgEx{VS-0*15QDfQB=hcaq( zTX#WlgMsraU%A0Rq|&yXyvo#JyDgeN(M+Oa|0AU`>0nb_mv-WN^85qziCk2Upr0D; z%9J?;JsB*ggz|LIKXPAI6(nmnDW!i;S$1|o6ptv)WRd(9S4QI>$;n$3k5ko?yq@nz zm$gHx_UICa0UfJzHBWa^lE|t?ZZAh#YR@*}B*oDmL&uhC&vIf|=1l=60#GyqA#+l9 zrMu_N$aHVtHI#5cm|8*2Fj_TX*HIm|{ZyiUbk>s8!D>O>`6P|kfPd_aecAIk(Y~pL z++>JhqBwOtV?AYLJ5k(_#?1OfheiWN30;hctcq9NhEo6&O{{*T0+RB09Cm?jMj$@o z%PTYkq>vKZoL_ZA5`I9%)-7obv+E%cyXBu;jes`r4Ao|Y<{l2A*IXaq1ngX(UwGSt{!qmIi1WmMN%|*6SoJYpSI->6TN>kQz1CdSU#T*AS}ZYd*~r zm{&3 zT@uhD4OwE3L=QHotj|b#lMUG6us zhE;1JfY0d4HwqZ!;>Jsibov*D0`DXAtJ{r0%4GAIzXcGIy)QU`c(@XEJ{L9mC3&+S zHK|jkUo)y7Y@8>J5KeasMM|Q))~p0@ChmSR-WjhUi?lhqB*zY*JIQp)Wb+#9-3}P3 z++}YBQZh020rBD=Av7FRt}8bq8kl$!p#Z{hl`$Ui#o?g4a}6EinMi2ILZ4WhKEgIJ zcJ4^-Sz>sCno9sabN&b*9yPx+NhN-A^s>VNEqUg(Vw3=?pghdYfT1M+NB65}YYo7y zX9!tYsQ!`{_|@5#8B+?5u8v|}RDBw`O_Cgd1p1}G92aZM1bM5bm!AwBC`Cwb) zo?dr)LEZXsQP#6|akaP2HXT3k#9fy%kd<|~6}!#&& z2A(cYr$$#8n|dP55&X`(Yy=xMF^@@tnPoCz(1J z#p1jt=8Gfn-_2ALDUq%IjhB_Eiq&hU%Ty!-D%4*hCK?ivvOxO`G_bGL1P2Zx6mK~3i zP**$5T_x}6)K56y9D7650{G3r1v_0c#h<9s+EZc$oV3x6(^iyu`eRY-LR2cX3m!!4 z|J}HkBT^y@)n1=j9aANpMLKKFnxfPD%3vGK&}*utC`q2TTR@ryA&ZH@nz)fNqE3GD z6s1&7q97}P0QX1C-eI#~k(bHVL@dgnAHRmA1MZ2b3UUpTE3i}PblbAn)NtE)pNl*g zKY`iO*`?r7B0H;AIl7LISrTi@G}0UsT?IYkK${Ua)$*NNK@3# zmG|ctdn~5dBGaNO1DLLfG?*qa%GJz_uraaAF0O=hp7o!hQ< zxs9i_j$4e*2L3dIiQJ8Sfz(sl8Gq2O5|sY2Q*qci#~LC-A#AlhsWl^`DfdC|nYUKo zzg1lHs9*=^;UGQj>#mBG`aRf}KSWqX45L~V)Wf01-sgfCISqnLo{Un1Nb(Bh4_5rH z!EUeSO>HQ-R*m2q)y?8%$DE}bxmvJ4!KvE}%DryeYkvm$pDqLWXHNQ7s{Y=X26P~WEr?x)b^xS zUU;RmFzp>X^4S%z3|evT{@(Xr*ckAlYK0v$k+8xV8naIO|uRxR&;}eC1=_ zNVl$1n`F}7EXCIjt<@dLe0|f-_=LyM(guFAiJ$Q=z70&AxidHpzIVF!|CyUD0RI#1 z_YL`kSsrmc!Fv*JXd0gah9!HOK*|G>&nG5$8OSLVceV*C>BJd{%s1<9HgwAA4mAq_ z`(fRGrx+xnyG&h+c$GiY+~uTi@N=g-6PmFIlN{l%9Dx@-gd=lS&>AJY@q_HRh$pWTbUlbOS<&UHiXTV8PP z8@XG3HNRQV*N%_+uc{lip58@kFVDl^roZ^+%4=&{HNjJZ!Y7)SKH(;tku&+ud<0KC zSm7J5PcqALExdrEDzXE*#Osr7-Zx<6cn6 z5^YZZBxI%CPH6m0s6PF4T4lUhn*H%W_t&!7X2LwtY{TNScdf)*f;oY@OU%;J*Gy_* zycdc$$G@Vp%OnE=Jhp{5KgO2pIenOEhK1pnCsXbppaFUC)fYOuK9;?#v#xw!EXSQP z`vc;Mb+o@k6IE8uW~#t%JO80^Tk)osM!h8V)Y~~eRkEC$?k?xq`>{%%*PGU5;UO=AWBimbZgXd=NZ4yos1-#;l6iAUAel+iq(-bk9F*i?-ueY>AfC zwOWl*j{OSvaNKssHg_wi_vc{LBRqP`=-UxyOAwcCc*sOdvO@{4#q|;Eta$eYmBZ~G zm-lBz6g!XKcuKk?H%hh0tW&&}r9@FM7<;3b@ajRI&Um6O)pK@)}Bc zjfl9qnKCC1K%=61ufP+*>7*CVSq4v+ooB0;ztZ(~g)7P3-$O=j;_V1%1>o8L2EY}6 z+qJdy)6L{pw#cGqU&Byf^7nY(f7H;n<9^arOu+IJ+yEd|{k~}%QVdvq1-#NTwoJfF zwMC<+iv&UZE-V?BE4}J1=%7?i-jdzStbCu!u9O#u(ev&>Q-eOh+bsvsi9vvy>; z)5MG^K5dIE+V^F?=~xfQu2@DF%igg``T}b{H4A-u_89E+X5#%{1npqZ+lOT5w||S(HUCYkw?6iA-0vlCR;KKbKXp-n zM=0R?iDb4M06G|3sh-DX=4j~Li>MG~M(~bFBS&wqM3S2&Ke@7HIq@I3e=dMibf{#O zV>fG4*`n(8FLyNcxP0rOEUtG&UOW-Rl2lj(?U+}o(vlh5>FI16_&VAUK#Z7wQT(iL z=e9lb;LjV%9}>Y&%!r~?m04-;Yx0%M;p;btrvG{1eIhP#!o%Me+AFdnKL{4(a@*(@ z(zA>4W7qRpfPcT;(w!Dy=xJr@>Sn{m7l8U2`Za@I9RqtS;{h5NzDNnJ+1eD8!UR0Qb9}V7+R1t9^>y<7DqhJmr&^E zXtQn%tC8}G949i;LCW5EzR4okl$f=A4lie}WcJyliPQpG_r+>E`_qtUoAaZjKd&@5#~>Ha}UBPXJOQF@&1t(jw^pUMo4(TI;EcO?#R zUQNi%dYfdj;Bh)eUr<1Wj1N~*8kK)JQFRFyABf~EQ(<4QY&q>lHf7s*+8qdCxn3v$ z(#Rk&3=vuLrw%APs*%R?xR!DKG>c2BX$~z1cnLJ;w6ni^e}E{KJRXQMz<;Uhm9lAk59DjS4j$?!!c75vZK#^p3psTkn5)mZ)|6VcK70c z=4Nfe!>^~NB&_$b#n(;G=+3$QA%5vZau`Pyxr`WKHmbr(W_hGtnF`&{r`Hh63TJHR zQgJI-(_@dwSIFwE;_J$7{f3W>GP>^(J%m3VP`K6$ z2r<;pmL}n7Wzy#_e8t-;4RyQkgg<3dRBNFAC6d-bl|rGbp?;@WR% zF!3cG%=;ohTfS&Z+#9rE*q1|GMD1*@gZKqK|JvFmKC$1UihTZGA82FtlsuV}c|qab zC?g#7o_IYL+1U&I3r^vvI_O}iq|Jm7oq8P0J9D4-4lV6K|Br4d@hC-$i#OxYu3y+v zVDPR>AuM=>b-99ik!it%ve5lIl`{&J$mu8DMU#a{%W+g`)Be3NkEUBWBI#n{3Vm7H zfmZdDOd3bMl#n54Fh0At%vLG{Y80-%WjjyY$&`+5VtG`-$VjtA+|Rk=T7()wyz@NG z0ex6h<%HjlfiV^t>`kSYw0^1o~S~i|J3NswS(iY&SRa@uAYEJ+z2F_tY#_yf~qqqnI=QYHO#9;;|PsAl2KTf z{HQO;cnh1F7ZQB9nJI!H4Igc7D^c<>}8YOqtj}P$Ejkt@p8cc;GCe^|mg~ea7`Vohp8!F=XaV zX>Kq5wj+6@m~t@yQwPtighBtf+bWASMyOYgk$` zGPSM!pDeG%&CS2dR-QU1d}~oeCWIUQ{xt65Bh2QNk^K-bXdNLFNlaM|Ke_Mk6vgV9 zj)eqm$urAJFyZQjY*;QvgJ6}=&q}d@maZ+ZWZcs5+9qCy{vrVhTI}yp(4y{_PFF7p zP|>ZwA;s$Y*Lx2FWZBA%I%rtCDvqxNhVP|DNL5S{=0;=yH)pTa*+^^Pn#RWAF0eXl z#yNr(eR8WUEBKgyaGXbmV=ukI5o-ibgWFCH?N*`Ewm-fm*y3w@LLv=G!jo*x7SG7+ zObwHp;LNNOE?Dk5+L6%~?)dkQ&m3bN^Ur(Q8^p`UVC@ZjLhv0l-{$0_B$Km!wnnkm zrY>wcLC5WR)OG;*;Az@XXHLCifm#fAdu+X-Lh!#&DX+n|MPaj{`!>czHHo;xjWjnu zkngSRw$;&?o)mBUKZn}WoE@`&ps^a`hY|oo`ffZ&x75+FxJ^*@(UJN@QBC}`Dg-QR zdl+p0eP&CQieC0CFx~+t5tS~`H|K|e3WHJ8q8*Qiy`Y1K@ z>KOm~n++q1;LqBl$Wy-sWZ)o9$FPtxQX+c3>yM%c!JYqLTB=(4 zv8vrOb!k6P_O}u#X|F=0yi9jUWrHkT1g)eZG+44WL+S^2le&9vei&1Sj` z-PQrevURNsyj&jr2cTPR?`~Sj1Ma~c5`K!T$#bfTsC(*nxN7_6-?cr0jfNWu2WTCt@d3SW9Y8Sn zcgAbLoz4hR<~qC4Wnn;|R)y~8A#4^FoOBm5s6yBW&A}~#6bqhJuA@5I6B+L$$R*!M zuRE&LksKyo*FK#tGfsMxXmpnTu%3J&?BwiXc!pT+vb@DyxmyYnND_nFAjRFjlLOMP zc~NuEHaK@*;0@2%Uv{h;cIzrQ$y;1pNWXFF1xYbtE{qiF>sCro$2i|-(=z|^D%yLY z4?K|;b{t^eP%2N3Qi-nuRi(}7pK#8+XE3wlt-sGbpU=HpA3lxQ44Aw`aQ#kZG4oVT zN%mf-7Au7Xq6-v25#%(mbiS3|&4-!wzKbw)NRPUXXCXy_mOlcf)_xTB$)12@0uPWV zz?{MfGXBfbjRLJf70%gvto4)MKT>U&&SDlGv=*z;9MR7@8i?8%#)H%FsxuPz{MOq8M_{p6+85f?~%JhO|I`!AOGchA}H4I~2HdcbZ4%@w>|y2&(uM zwSh*g~i9oS_g#Eb`Wil^(QvgOg98|x~{Z4(8UXrkPd*3}n3sqM~I zfvqkn*;Rbs61Qq|{&i@)@nLzpa-wZrLMbNoV!=Y=)OFz@h%Mr)I?DAR`IV5%2bzM*tjR{daq@@NFn#U z1POd99-)@sRdf1O^)^T8Cg|HOj|Fy24z}lxgf*+pS~vF7+K_ah{x)KE6So(Zt?}zE z@Xi3oTwm@QISz=6KRATX98hS~Y0cmRlRAT#I7_18yOBXVY&_wS9M@;Nwb$Dhr9Z*u0SGvh9H+5#b z>5_@?B#rF2!8rl~gR+~YfP&JyyDd`VHd+%4v@G(o7@gdP$r};^Xu~WQmywYN|67(n zTAk=0(8T>o_$IO$SV9%EYjzVY6~>DO$E$|kt@A~7{{}GN2JzR*(d62Gy~&l?n?21{ zJGTm`JL~Dra@?}r$4Q@i$~eHM#XE$gewut>gpaB;xkrK4h3wWAS0OB_&n}8P8+Zl< z_Acu?dL$nY{JK>5Nq^I@FjS;ueB#OlAx&Ch%GnbgGn1~JR;o^;Fr&_4&QEW02#)&z zE`6z93M{F0GWUC}zOcJh)+^&%g?M2k{1aB43hkuqnO^KiWp^ovY!7IiIA6;-CXwc! z>krIhIVS624J+8k{ntwepjE803^;k|_6^NrByD6W1#H@cQ4dzg(iG8CFW%<`UXOZZ zE|MA5?VQs&{sU379r~1{jQ49u30x*sR)&aM=qC5NlElWp_G4LCVUGKFD;}w<{rGPSpQVq%gPWIY|a#E`3KGb@=vw9Pc(FL1kQ{PPGM)vtPY1?;2s9E z_q@O?v`Pk&RAme81(>Gy@!vTpnQb)?T^zzQzF1A(ml}Y@Qc5EecbIb;w)7`ble7hz z3kt$ycDQVk8x=JohCu2ay?Cx)>wMF) z3Hj~lTowEwMXIS8QY&dyr0wF;mX*AP@s{@>N`f0+<*b|M4egtH%eU2lg1aqKlgVVJ zJmMR1sl}`o4eiTh#%`>%H!(;maD|cc9pfgRo>8nK`CBL9j81LBNjSr;0TjXQq|9yg ziZ{WfFA`kY>&Uf-g)8Q!tol7FeGr8ewG1mK-#gFP5jC}kGyKOpMeLC&6 z8$y{5x*j<)<4VdJzuy5z0R6^Jb+6bsqoRKKEiYn5RRwbGBB)tr=&K}~qdho$ygB^h z7jgg5Eqzqxocw=S`tCrgzyJS~krAToJrk}gvPVV9ChyE^gm6jro{^QAJ+H(i;YycW zdvETwZ}xWM8X?!_`||ny{_)3MUa#{y=Xsvb$9NvtU|Wonsjl6CszimLhiSh75|{@b zDfvV1bHK-j(Dq|;$jWS=U{-eZyT6gWeexuZiUo&k5AxRRFJ{JsyAm_zXQTq6y$0Qc z?&qxqN;mj?ZR5E8H-kaJ93q?pMsY4mlJT0d^w)&QxOsV76>{f!1X=bok#eLEO#B~x zH#bXmRy~vIdxlh|j8Ffz{gi&OREE^Oo5`H@%9a+$9UnU@UXk~~&`KHL8YRosAC`Ki zUjXD&GA1Ul?9a%}`}d z$W7bq=_xa#cv43TJTYoWbky>j({!JnSbE(`o%c}=E&-u!E`-6&GItlgqjGznXnh&I zX&!3#7ouc2J?Ql7>?zXtV0JIeTq#X1u>n4*MZWfVxvzwzkGUiVwKd#A+UdleGV-A}I{%PCj}5;Rc4iTSKKT z|A1|(TH&qyLZ+!agSdtHzKGvxWGX1|xyYNreU{9we!ACRqS_4nCv0hS^rjYt*iBK! zn*OnnojSXyioTN?%lWKjIByen%d~_YN*n=s&z4EJeeRrltjot=uP8J5~zs4KHy>> z@}Z+Eo!vP*{LaU2#ihQ{Fl-xE9mAbSY~3kR^EIzYxF+kEZuQGu*S_!gS*y{FbKso592MfXmH3A^1RAhuDiT>7{(A`@g_nGkh2yL%Sp( zQ2%VvPWFnA%1>0Ro)XEY98q_1^}~(noavmf=hU{_Aagw$Ub)-Ji}{?{7D%($B(C{6 zBIn??^H!v?xZ=Qk@8De^0@euK$A>6x4`a&S1nvHYH5tG_N9Pvo6PEL`t*jQ*Y@^}I z%syRL%h3eY0E>tE$CClqLBl!6;Rp6{jg7I3h-B?uSsgJAJg*fWHw~uPk%Vt!TgTt(@9Hv!E z94IyC)zM>A#YaJaoFY2*sz@C@MT(U9C~{e~7JS55()D-wA$lS=h83 zZ-XjU+Y4p`e~0LYBxP|$fjvrlo$!TVn1DTe%kORf*kOwfs`_6*fN$7%YGb$81)^}C zcP(LRUq>b+>-c2(AsO{XTfV>iQiz*sz}F@Pc(p{3T7dgkakF{9U16{H=aCs>kl$y+ zTinYN(tJRPQvAZe^|0~xgApw=(GciP!M2D+kmkOzec;M%*UDf}%}ZZ@0Z{p%ZYI=T zf1XNGrLX4wG4eqVp;1gR=SPb?d|);8zd#~M0G%cPCrN$DU|qC$TP}G|yaq92Af5Al z@^jW@u;K;{ zja{$`Y~An0ZXcE6`a&_A4NtdlljE;Tomb|iRd10Kk0s_b3$hmh`+HATOP9v382ilMwIghd z+hwoW!>2-8rHOWMiMO#6Pk|yldnk;=sHa@s{OY%4Mse9BXKl$XTI~kJ5I`-YF*p<` z18`^bM$aqPhQ1{u9@pFUPPLqf1>P3C#l$;YW~Y2J5*zk}N=FTVO#W$=&P6{W9TiZ+yj!J@ zd&Kmh&XDb6(yE@+F1=l?V0A|KYy9qWG_+OEaae>&*UeT0EbJ3$3(B$^kdJk*>GR`g z1OTeRJoG;OWD8Hc*{$r^Tcv4bi?>PI)*4IJsH-fbmg$A*S(^E-gOrIbIq-U*#wsM^ ze>e4xo7o1~T3eN$J$23IeU7F^sR3~>#f&_6;U;LFh6U+UFiaz!r>%V8@lwJ$eyZy= zb?(S5#mHK^kL}7gj?T$adVZ`gxQLBt$(x_Vw;$>)$(4-!jl`dZxz4GejOjEwjOx;Do=w2|>1By85ZU|(J%R~_s0*konx+@BB?yo)>c+Uh` zs&Ju4s6@nyzJeNK+Eya)UG0Y^OLEUp^TZ$xJ6FmU!QFwt&c90GV_M1+wpxV}Y5;8Z z(whcGxatOCQTndmYd`y|$(@5`NEKcdETdw!AGXUygpMeGc@q57b&ea@&pNNg zsGah%ZsxoWCL&@s)XzavvX{D>g)U1k-w^FkNy*j`atZT#JhOEy_nT} zgtCg&;Qgjpa;(uu%IM}@M#+?20W!O36S=Ff95{(Cwkc&5ihNc}pFIFOgfBQUY+Y%Qw&m z){crABI5=WA)svq3fG6M$m#<>XC^9CW;pFb-`oYos#S%*Fz-%?owP&-roZZoN*w3cO-4+32?8pA4Xko>+ zMT?2OK^NX_R1>iJ<*SX`ChGnQ_7RPJgJqZIvQlD6`Y>aJ<~(k~-^SU|u$hjdF>!$w z?c=NoF4iJ^TRU73_lKj3U5HqZ_M5rxkMcBL_(x#nBtlEMCY>jn>@qr+Um7Rk3R7tLuuxnp8Q>EcHmO$JsTqyG9e6KtytXGr|09i8FM-kFJ`rlL>-tJ7!#j?8X0QohN)W zFE7t!%yMVaddU)MjPnos<8Ri0iN!AmcaQ!+du6(^W3MOVbYlNSJeryjkr)dCwuErk zE@KUc9=|keZfp}7Dq?}wJnD9azf$+qgMp?!o~3EgdyiQV;(bcv;!!~#W1~lZAOKqZ zaNIkeQ5QS)6@Po_lfU@Vr&Eqh(*q7p<+Qvba)gt?xp3Ug*>;~;M8!FQE}q%!61aji zBYkheH{g3%FZ1j7b7K$WNdP=ZER<7`TLyQ+fL2N}{yBjhsf%PF1jS`H;9W=bQ zucUlF#DQ=5ZpbnzDw2$Fpiy7n7?su*)!(ztb%lmJc7#Balol`|{;F*gQ+ZQM4vW*Aq;FN? zDtB0If^P+MXAbdxDhmk5tUZy7be>~6pl=H#CI%>=dVYs!ZdAmyuFSn74a%a8cbuQu zJf=`{B5Zt3Zm@J>#Ann7Zh@R(Gk*|NdV#dlKwdeE4f|a7avG{5v9y=7v zGWEZFMXO-GYAphmDcn-AF|Pqtp1%`W!#(f|w+ngqZEgTVYx7HO`TL=YL@I9Jm*n$$ z$2Fg!$YgtAOzrbx>bk)lE<&z&xT2m?a`+*gH6|+AHb08pad(TxiR;^kjQLv4zCdf* z#ZCUDPyh!Z4SIQQ6W0dyb~^M7tNSsRZ}mQRziiTlKO3E{626=NOeeGiU)INZyvcuC zgYx~s4p2Z zuAO6txl>z2Tg{5@PuH{5PiQ@`8lc_543({VDg_0MXH?QJWTD|1;w94$JILTV1u0>-VBm=l0C9`-30Y;QD>y z6i=nFmu~=_fQ%hceKa?%0lw+48SQPI!lqcW*of0@9ReFfqj!q$t7h^EF?kVw*#m6l z%ye288r=r14*3?8%-AgE;hY!~82ty>@7#t-8x7*3 zEV+b@_o1lFojx%NkNVyb!++TD{*`212MoI-SMj8QUhlV9AXKWa(Jt)jY_v-YjUuh4 zXZP~CtEv~1#RT2ao#4q#J4#7<8!G5c2-++-2frvtdgtrdEIa)DpjUVW4~J0Gvd^QT z+ME!Im*(iK(zvBK#*srgUtU{zEbOj%vPb-+s1TsF(1lwlG*7Wfj@j8&rtUXQE+%V8DQ+m1#a8s`&-wADNAp35tL2jw0c(e$VEHXf|8(6r-$ zd}%7>W;$#3ZAuG8I(#_&f9Ur~BISp370uywQz<>T$6|knex`y_^ItGb%g>0`(A6}P zi{2>U0&nMSCymw^E7#j?app3ly>j$U6yRO8;wrK=b5*6%}%t^u!-KY26tvEp6}bRAmjHo9~G5)$&YwZcv3-W zNm3aa&)Il&lLWu!$QM23R7b&b0o+3rTA3#CSVa~f(F%zAqKlEj^b?^Blm2?DZeEFZ zXzw7qZq!I+OB5*f=GrlU+*27Q1+pZGgmn$Lvfr%nt2oevXuA`UbXXR)ZF5|*XcLiy zj|Db&31nIp{T!LPpf8^6A;&6(O>t!2z#gLbdUhEgOfSPL^NNEtD^9Yke}$}My3T=X z-r41=i>_KX1t!l<<>Wy8L_neO;npa5UE@$*K ziIr<|qk@42A0{xFSOo)mM2PX zW3M!)-54P4o(vx4Zwhv@rJ*%i&Am3>t5ld&Gs$gKwSwZeHK;@Wc2+WOq`2a7KjvN# zwKbVPQm`6Z|G=f@L@0b@w=7=s=K4smRIo+ncIxJH`-S7ROglf!J>N+ifE=wmOJl{{$d>{ z9x&yukBLgPojr!LvO}XmNTZ z)#m!%Guq@wn`Q_Sm*0AKao#u|Su0IGfkGn`yN3bkNqmWg)!((-=c1xX#mJdf*5k2o zy!8w#xPhQtV-J!wKfAS838rwB{eB_vHHg!m$#rP?#Mu-Ui7>70To~0fX&^+!5_+Vm zEoSZ51>bOT_2x#})Y%L=@znE0?Ftd0;lb-03^ptk;eLj_n#Y;K8TJyMuaa%K=cZ&l;CIlL6;Y= zcIO5HKB$t4WgU<82xNBXi819^?Kj;2U#yFgC;)UhEwllb^m$p_+7%^&<~8egGtv7G z@0BBf#W=26FL(S7kb3NpQ!xd&R=Nj`1N*5oXVo1A^IUpP8*gH5qE81y**m?EvAg+kqwU0B|ubYv&UR&qj(MIB&M_CdbY{7V)d4Wup#1=rc z!v66+YG8Aik36H&@epp$#%|x}r2B;o*lq03M&IKkc*3He|M!1l=ZGu0c$jywyN+c*A2auxpeX&p_uM1rI=!53Y~U^kB;Q! zb1trczvrjvu0ugGLgY>dZ$6MQ&qj$kRFOxzkP_(}nEA;yH7J%*-c}Ds3QwoV0`ZL8 z7f6^{*7s>8WCOSyJQorxEw~xmwok%;ALvx!maKKl$;7mE>_gffC;_Sc>OhhHxoIU9 zC@^4mv_d^DG`9RIeH??UYCHZzRc((~}%G z$HG6$o4YrTFj%aR_J>ELM@u9dCl+|v4|}bH#|3>0g@{AY55L|TE5Ro{v<78}*d^0r z?jh@oXMnhNMt#4!a_Ud+648b;YElf|Y+<4&J|{fM?V2TLKc%C1E~z zEn_w^DULfsn8h08jMs~VRvvbjN@ZPG?mOB`Yh*qwTUqk{T)483BOtqY6WAD7$jyk?RV5T2Ju0z^CZo^pB;Ws$fP~kvU7O!3~hbk?Wity7VLG;4qD2~t+Q~-osb?uU(Cj7gXBM!mZEB)@Nc5WNm)r$f%3}Wta;#xg_9yUUQ zS`>K3na_e%`s}K7z@DO$SK5-s`ZwhxAl{KTnNskH3_KN z68OmvWK?X(Jm?~SsOajz^REHvhqr~3i~?6w6uO1tW|2FzM-$*;Aa)@LSBUc%;4tlr3l@6i&^}PLe>h5ox&x=9Z7{zfz zQ`dlf{|+c2$sJfI3!0^1`NwY@pVu(K$K#x3R1X)YKfIKjwoYlReuC(#H)_{9&`mce zE6#KJ&HbIMHY!AuGU3gDawjU}$>=R8cn53UD3bvb`HII%-9=ae2u0tOzJpHcfA1bj zZmE!>B1{d_Vil8a;OZejb~9M_HOQl&?!)k)J4fqw4o)bc-Z4Ii{6p3+>(l^4-~2^} z?>%-S%~cL95_}AwNKmUPfFt1v6`GZPn}$fKwa#t?QTBG1gd0w7nnN&)^@h3K2R6tY z&1st#;Xfyf^Uf@L>W?|3yB$Q2n}(^JGV!DC(LL_TRI2R&P)LS|`7c6RV6P=Xh|Bh` zr{Y1F+sq?pIIdn%*xG@Ha2V|RUUxMiA6}JxVdEW66Mrz^)H-a3e-q~?=-AJcVt-ZQ z)h_X_IVTX(1 z@|F7uvNUd@z9uz)3?LW{V~QuW>EoLZeSTFM9}j$NGcuc!eZT0ui+SseiSQk~Hw;c9 zEt#z`wvyUv{L$Ap6lrj}|x%%H6{aW!hWo&?zk ziig9xRvpu=(DaZ?y$@`p87V$hnR&xNY@W{t=LNAa0g+u+OT4=^!K)98u`*PcmWsU?PN#pFUkuocH zBYxPxA06uKObC2O8X%SR6n$a$1D7MwTjzOF(#lH(ldqx)2pdV0Fbd1}Q+AkKBlkWT z3`Hlr$E*!E7hrzpm>t)qeT3rfjYbh!P}4jGF@9l+ETcyu~Goi_? zXVpICac-~AFtKlNUe0trx|xj_U5y3XokGwOPBIfhYmChXpZN+oB)1!v2yF3g ze9xY86(ghigQEm*=w6dZBA$1|?G*ZWTMhJiK9%85KRIwFNoH5*b@ufwLNfBX&2vkM zRA|s%<3C5e;)?K=Dk2CN!d`$%=XbJ#qU^}H|L#X|gTXUCIF^3UQWc%wRo3%znp42< z^cbkg`aQi~Y^yR5A1#G5IWIy(41hJ5o$~xFv}gokwExdB89_<<4&;}vU|#CEC%FS| zt#Oh4lOVSq=cQrr1@-~ZTHk-6!ED1tic{d{w5F1ZPo1pi6*%i_TZGKCt#jM8HD6B^ zDa}lD^*BK3Nc}sx^XC9lWg|lWD8d%Jl zwzN`x4=FU6l1MC#T0BgZrvPLwJ+57qJ?s)gFehGlAW2tPsNJVBZTIvxH<9;24Ch9V z-n^@bBFJ!NJm`T;Ul=Tsllt2n=IICF?97c(1(-n#X9C3h9B6K5JMKqTew<>DfL)y; z{ta$#k*NRt^%bD)CuXP6_i*)M>)=}hOVv%e3L)7?%(?5{*RDFkyDW#|?F!VJuUX#N zLfCzMn($gyKbPnB5bO|;+=VNXchC!KQo=r6X(VHDS@>hZ^!J}+NWoHN7jL|6foM?M z4e9Lsx?8Dww0|Z@ru2>RbJG`J!~^PlExh&=GuY@6l@u8;#zT?eP-u+Pn~k&Io&HlO z{RT^x?$b&}Wf?9Op9DFT9$jt55B5mwFPCphP1`IeWNP+Ud}Xk_tT^!T$=5S@Q& zE1P71nj=ksZGYwN4HHvWMB`|{^!%zpgz&CeZEy%hufJ=ba?*c)?VxSY9kEQ!hLFW1 zfH9N9xpOtj6`$k-I~GKmktMC8l9E)0(JbcXJ(V%ow0Q11s0osgk`&%nC-d{(VkV~0 zHbK1J4w}f-I#DO5{(Qbc(V6p~NU4mciQ;zB|s6u+KLfst%9jxS-pvH6F$o>7fK zK|&2eyR)xiH1kJI?fnWZ`^4P!Bt8Tq4r7i*Zxi=4iqyOeU#}m@gkIDuIkFXS>FL`TAFu@LaWh0jNdax2$> zR>_~Pzs6XD`I8Thbt@9@_F-s22vnMP_@|KcexIZLGRl=rD8*5 zMvP3qLZOa>eG#qspk%!ohxeBrlg_dPe}gz5I?|eBI{>PkG9dO z=nR|FM7a;;DJVXeR>40jPyVFZN2iw(EJ>YRYfGY>JPwD}-Dplh63 z^`A#ckY?00cQF|jVKWf$b6@hWXVcx?Ih(RK9zE2JAzg^f<~FC%EdAyM-^a^B*;+N*096)~p6TD8Zka_+Aq7M{ zZfm=bPim1b)xOxqMXkI=EpmpRf%Em6f<;&B-)4@6n;j1WNsU)mdqV|~(mZ6?;bX9P zzKIR2*eYW9<41B(^OM9Yxy`KT#B?eK=y|kX72<8Na@1kNN&qUwWw~1WOv}9OY}k+3 z!`6=*tE#6^-YYqYN0xY*a-oBo1bUauy*UpEbx5j)dBuQ9jvVmDzwc9l6)Qi+-7-F& zl0B~?3u(4wby2q&Otby?!IG3??2i%1@ALwIf842Asm4$dhesy+r3m;vLb zZg2jM{%m|iu!7cbxso?3RAP4BgZu0f1JMUb~`!FK%j%q)^yzCkZwX?5GE>CmfzQk73c36(8l~Q#spN_)ScmjrAYg2?{=zdT9e~GpMJr-~_e-G(!$&Znv%L*L&U4kr3mV*&;f8 zvb1(4`qyVuzY0}AsCm)zgcCHW`wK{Z|M|3foJ0#@+6z{wu7myT#*2UsyOhex>MX70;qE`l3mNZTNXDZIT@(1`Ue2dtlE5Q#FKrznrtX6 zPk``_(h=atB`%t81(4We4@48{LL!yUqmOZnH{%FYnsbf^m=MjH5*_7euW|OT1df>+JKMLu zPzRbNkd_|eiyH&xDWqsb%e`ExqP*;10wmY71J){BV3lCL?u@o9iM3{x{Z76*C$uV9 z^rS=r@tSoVT|K6K#U*>}%ltuBd~I=6J7u(KZ9xH$=Q;sTZTi5VDoQ>&>n5r0b3~e* zvdd9;F;Wn{n7>=p*xJ8YR5nQyy?O_q&->~OkUI0>-k$9 z6LvJy>2KrpoD*;)1zf|*$auXb-V@hp<2ACJ@uYXfy#KjTh`$WjuHm5Si%SE_t&fhU z6t?}hW$iNV=h!nB)c-q<+6}i!G@r(BFbCL_7-kFbZ;tM8$`p~goXD2Qb_YVhrxhJ0 z^V0ylv}Sts)E_v4-iujb4@XeNI3Awi^ph=nG*0T&Y#$&jHh(*kjEXPN4$_2M#Q;yp z=WA-c@Sxr%NU$_>W@YDS*2MYJFMc%x*42r;dsE?lZOS9>NGmi2U;w^;p09ZS^9Y!| z*zsd8nEr7otEDlAgP+ebkUtOw-#^aQY6ouG_515O8AI7rn_p?Ybx(n(d9zIcY+{TT zqwn5w^p&P@#auzqqjh@o9?CqT6|lwXw}5(=tE<0SHC)hrjSkl3Po|cI%4_gRa!%6rA$hs2bo3Hpe4 zFV^HBIaK1ZPVJjtxGh&r;PMq-R2%!DXTZAhXZEW{v=ZBrTeoaK9%z=vd3mcov`NvY z*Epg({8tpDG83*E*H~rjk^`Pg9Xp?#VCg~=w5FxYLmcxe^>fGHi(<;0wHFo=#{?`{O(Jbr@1I#HANDAgk+;E~vI3X6<7St(Oaso{;)N zfG!-b*Ci0WU)pmx>AChENq)x<+~UD@xkv*N3&P#5330&~P;)qO*4R1RuUrz*S9-6A zSGyv&;N6i~=R-Sq_0)(c9kALy2hWn-Ta}49Ubw1wuj=oPrK#Vf={|I#<>2ocYi9?sj=O!{0s`b@+GA ziPj)Pv7xsT#qX|6K>y_u8l~pn#gB`n6`l#p3eYds`*!a#0jkTqb^ndIv3KdGHCzlF zHRY#Wc}3N5k*R}9pe&l&#LmJBXlfK8K%7rMY^8fGY!pl4%Y4f{bs+CT7a*}AN19B? zIg$fAA+IMzbn`KYl$2a3=6%qPY9Al6SZ}P3!7Nuv^AiCS$-Z+^@EPgXN;XyjzNr055n8V1#o1qHvdCERz**}%8_D- z>#xi0t=kCgbyo5t4LF9qY6XgGql{B8q8$>MA(8C^jfqO^;5PGW!Y}3jzvZ$65`&C% z9}U0BgC3oGEC>rqeGu+jG)P@Z!{Jg597T!MjaZirTas(KferR37&+=cJc;;I_Hr9G zvm(2GQZs#iaJNtFVj4a6wRdT=^uepm(3gkLbQ_m6#%b5w|HGz)Td89OKjO>6MqYDb zX|wN~4edF_=Hm#yh5_ov&X*_ORWXkk4$ETZE+r#&DG|EE zpbyEzvxJSD!d-0TKdl-NAJ}|87a6{1#SA&?G1V0V=MA0S54dKyA=>8(wf2wCa1qIt zr}GysqEUW(kme6omzo-L@1GSu*6E@5z6Yuh(!Fbb#SOvSXMx?kYYY2ycrw@L6n%Y3 z?|m)GrLJFK;eg5p0SskjG{`N;&;eyA+MA1)y=%UFYFRKM{iTEg z`>P$^RC(~xDIM3f-)#H+;$xlSPB7+ZVx4naEsvXDqX+5&L`<)CFPegps<#!4kg@xV z9AnJ+ysB7HKuUv~Hg~9A*yml!hFc7tI?vMX0M-3;KJX(26C3$7n!y{n1Dd?CZf_qt z2C24ZDjI`xb8Z)vC|Wnlz3^g};Bq(5Eu?V;Zp)IvyxwAYKfd@0)0!tCopuIX<7gfX zSl1X|t72QOCj=sFOcPPpuh+$YFU@VPO#$}}z)?Ug(1p!>oIXl#tC{^&Hd`0%J| zh?91(Dcq{BeJtWdKK1lXi23Tbkm~1hPdzuxW>i%74@nN_J|oM9zxB+EL3|hy?8F@w z@3|CpIoW|W6CH|bLpyP><(v zMm?)@4^n#6OujCiG{un=8zR!!tp|f6iB82y1q7*lzQseo0ZzDg_8d}kp$yB!9DMJh z#b=5twz($__H=uHh!0H&kV7SRBM9R0{eCZKMbs~QIUiw9j`C?AbAFAX%C(WYACL+N ztK^JP-o7fm@u@pknLs{aX!<)`6r9uM@%Q)|U`zy3yFmYBoA$?=P(Q9yJ{v@!zij{a zmrCy=r;9GcnQDn)yLw8aN??Y3VxOIE3ZviS+^8?wnTNA zRrL6kM!-#l?YQgiL4RrSl7YN7BH)_fqOP0aV%&pz!M}NVdOlKq{=AAth+IxlLyCA& ziZm~#H936%g}PxCGNAgbQ3gwylM5K3*FFQBF|Xe(+^Em*L(!5}j>s5Ao4DqdmKHZH z)cbt*;dk<>a!H4a$zFlshh^1^l6&QR=}ft!xs8tAPgZVco6;n+o!FLKHfBlOJ1ew0Yl?;IHsKN^(|T zas8R+>o)>^It_q%4EEniq#swxNGlY^FKP57h;vLq#Q_FDKe0WY(rx;s{B@oO^E7v~-KTT~JYx-}nK?SG^G z>hlmVO!s9?j;+kddEL#ltZAmIKXvHv>~_luV+zM@9O?&0W}4P^DFUEzeEviqQ@Ccc zmPRRaPkxl79h(tAlmpdAS3DHP#nA1*wDGM8NhZKjZ3@W4ECeO5s4e=f{#LJG?om|{ zm(*Q-+1Enl@;C%jnA;3)1F8V~P$mbOt!X~M6p4M|QSV>`s?md9>Q2v_)mDX#R{MBc zdOBWv)yet|b~${lsGx(eNtv*LExooMEkKVB*r*ENo%a&}6Y0>q(09s3mXs5h-$7;! z2X0|y$ub1(L;m|wMPTjoz|919O1V<<0>X@haGG0qCiKmWNS@-!r#${3<+pDK@2{M% z@)_Cto&T7eA=4}rAFKU!Ju4YVbsMHTOumZ`c|B!cgvb^96pm{wdajK>%MO$spt@r_ z>Qz|$ZE@2=v0|dFHFG;M09fghjGBtC`xY3p6}fm@$GUIEVOC3nfSGqU{*KYRd332S3(d1ddjm<4k z%)r*?n6gQ%NQ8*P%iAJnuxJbiE0=I?)h%hBSID>rNgW5Q0JI!?&X z&~31|PxL=t1+)_-n!(Q5K-Z}TzMid{dzga#d+XnN^)gJ-$EGT?hrnegQ9&_4|A)X3 zPU}IX&m#Yt|7NcLH$wfIH=te!CvNe&nlm3Y`0J%)O|Y56&dux_n6ay5*$5P-Z0x~$ zTN0ab$;jhpG|{RK)VNL zrMq)$0NyWSDchOZB&u$)HKtf(@+0UKxz7R>RIj~KDt&gUXGD!NCr&(zGGIGI zws^yTo0JR3*8*rm657UlX_3at;ZK*iizRlpn5)`74j$YjWYLXK`b6Yyy{W`bVa0qs!3Xffm+=B1; zl4f&;75Z}tt|CdE2s5Yx1iNcyHVd;~0H&ZU22q;e?gbpn0*v-n>9`mTVdKs)wdrro!z3qM5 zEk)n^A$PVA@mkGYCP+NJqb9iY(r?=-Whs1~@Bj_tq`K&k6@oZyp*d5PW9<8_2O z&{0&tN^%vcOJUC%#KO6Z7{@@ZIk#_U8vNeUCFDU2yms>9RoVR1>rgRKU-AWHli6K7 z#4TIZib15dsphh@?>37<1N?9$+j%~JSV0ra2E_%D*5dYfqCA=b(L6A`!o6*aQuYCV zbwnl40EqJ0J;2Gb{b6Z>-{bMKr+@^Q%eQE*Q`uMZ=HmjcB&RkRdX~lHgOqQEd5mZb z3Th*d6AX}Bk(trUm=Y!SplJ&Pe0PVb^T?6Z$PGSt>|vf$_hlAFe%Oo{vqld;Kc{ar zb!tEmA!^|Bry1yFdC*ATyJ%$0wyDmY{~-) zyN9J|M@waPw@Um%t-S9Kb{lgkt((GfkNO?c$=;MhMNWdkGmLA&I|rMYn9KJw=9Yx? zaPMgOgr?0z7yrLbiM|IdaaFY;T^Tfu*gbnrbmb?ru?UnUvyWUpJc#NvYDzZ zP1wvXP=JO{K$tMtj_tJ_kZ)buA8;pW|4e8O)^#9o``yxwKnr(Gg_C%`$26o2jTvg9 z2QXlt^)>tDJApk9(>hGa=0*+u>(Xr?k3yJ645^1;4<-Gcr%Z7^H8=fBht8|Jt{n{T zv9%=x0K*m$caqONjk$(&G(`g`QU7b9L;`3NzeOz2Q(E#fG@FY2#|a}dClYpAlJ089 z#zt&*jCDoXNBN-;L+#QrG*>q_N5ecyXn&}v*>wb8UNdlV*u2x^b+T0!Xx+e>a zl3s0Rxz*-+IFLko@IHn#25=1@HW`zOugggdIoZ^B`|o&quXMik)&tb-w;gDJxWT5>{hNg}hZ-(HhHh_sY#DiN6sPH!j>)_7ELT zDxNgs0|nkOsaAdfNTH6@sl844&5f(5WQle-VV35h)~ZS z(slkO)IGa_VqMjjH%X_^IgjAn{K@lk8COyn(hPu-9h)rMGcS1jZdu-|?|m%stJ+S0 z4`Z}CO22f+oM>Q{DMMV9B>Wv`+TYlLPqU-1mft?!ZQIyVDs^t#)P@#$TOu$nf8qKg-w5e^gmsnB+dktM{sZ{!u?ttE3T;e0T=31)fY_N zMmJZwQw0V@2a^S%JagrQZ?^sHGYWg+yC~^LcUH2T3R8DwZ1~oG9=Z&}-RfD0VBy&7WJD}*t%>)=@t z&d+j#)uYES)B|dH@!UF5<5K!F+rpP3&x~`Xf+gyop*7Hu2nVA+8pgier0QKkN&6^A z2)5WM@c~!$yEmauA<@HIJekPhC^iqbwckt!d>cU(gaQ!dSuC7D#N1$a= zK+z=TUEx0|ef&<+-MSjVk&zpoAG4qo3T0*EtxSu0EE1F zj!k=2&mc@Q|7CqRfnKMRmCc52^bZF=5+e;b#6lP_E_sdmPCH9Eb0YAs$cvO*Qy&cm zZ$e5sV%P%zc{v{|&}XO1kaOfHi5khM@5(;7=hEEAk@Itf)H=DRIR~7VmjSk`Q^595 zXuu;TZ}K4!3(|^3rH?Bqa=fj7i^9z#viV)jHTBQk206(d^vr65^D~0;lw$s(US7Vb zDEb!mq%Uvo7tlZgUY@;$Zbi?=25M$+-3<*(A2cvWA>|Bh$Xx2d%StRtW95;&tlI`uN6tdp+2=gUtTcQv9VFSL zxhND=+bA?^Ie)L(cGGP1%~wtS-G|8w0@IwrlE}g1M$_uDRddyS`R8Ys&palRTiT8r9D%r3Dfv5{-%a(SsB|OI}t+I&`InoHFhpRqD9%#>rK#IYvi>gT2vN>3!gqz za2FGhSO4?h`KkSaZOd+#k7P(Qrz$}UujSVg*e&u=!lu?jaLkMClK?aeyICei&%6$4 z{Q16+7>8)4$@wk&IM{LCcGJ@fB=f7MfHm}pME;CtJIgRQ!THg%gskQh^m2Zg3N=88 zz`TMCMGwKgS=fCK_E}z_xtcD=?!>;rkn-;JN2gRsBw|DMn~efFaXbh3-3m)^wQfL# zza2?xqA6d>ez;3RXyhY}6r`2k$2acE4qr6+Tt;k(?`W23=2<;rT+hk&PWlB24z)5S z^UGi4UvdS~G2hmNS0jCWHnE3pPThn-EOGj}nt#n?E*{K@9Z2P-i?5@7uN0bu=|UJD`%+j z87FJE76I^q?HidbQj6DLoX`BU&IY(D>B5xezL|j zb6oHD{1{X2M}4@tocmFTs9OnBr~=hJw#iC)PHU^KJGUk zhBl)YN!k-zYk>P5MjW*WNNsl?Ctf`BND||NT?IT_GlhCT@+PPDHcb9JAG&}3?-@cs zVC$3`Ig9#i>Bo2Yo2u**;M>CvJ514E{x0tXJnS3nx-kM2h{{kvIy$@dS8CB`j;E~X z+%u-$&+S!sx4|_wt0~l9fc-vf15A>vgD6V}e*g`k8`JLKeO7kcCv;FIR2gEQ;{aw8 zBsAT$Pby*^>~b%P>L#oK&701k>BmKIHX`{?{f*S~ikDL;?W`FusuOmTSnTsB>3`I& z|Ndb!ceC$=e+eM4oo1a|f2jjDc9}Zj;3xOM`u8S*FK(j?R$E*3$h^^#LYA*Rwl_0k z*)nVe9u%7fb3JMDcC`ttG7G2eQAbj{U(E`?eEA-9dHGjF-Ite9@7YVfJys&HhfXA# zn%MnzuT#m!MvcO&0IS_~AqfG|{NmxnjoXik?qD$~9S_enI!G5_odNSS=-e;VZLKrd zm;e8kSGxxKY(C^Xue7P}9v9gfe&1t1KAHMAhd9=kV3q;`!^W{vfwa-`VMw_asYcLpVa)rZc8Z+dmySsZA!Eq7Hb5C~h= z>3jLF#;*mYW*$+jo0}l~>A<{LfSH)VCk|u@W>;7OQ@@*MQhlT*f$KwO&(rA@U2o+T=K zn(zjHHmi_A3jN@WSRl=1!`$jOfZczg#dr_)Ph$JcBs8S+qEp!^Lt1|ON(hO zdcCv5=!_S*nOhiLu?QGrxA*;wl4^_s{AMpK6tTAU{^)=6y^iIS-Hz9^^dL)URfYT? z+joiP@&4aF{Nb64&1a~+6#_t*H0ez75819O_5ik$FBI$8080_};~*S5m@WZ;Fnn8! z&xV~0@!-ZuVKRRsgR#`lGPXkI=}z;4DwO2$MRAo_ZCqrp@;!oN-~$M~&&59|N85!U zi4EXf@7EZac=*#>^>$}Nny_1@*q*&!vq!Cu()E4h2fqo+JXKO)x7rF5SgivpDpMCO z773vKU2uenqr|NIFPtq?89SO*U8P)Qbk&=-zEHrnH6@YoJ&SbsM`b{tx89GaB>j_C zvbf@W+}(CAF^qz5JZ#NA|G~eg(U(Qwj3Q!K1kpEQN0T8MrJFxah3E?sJRi^VLl5s! zOv>XcDIeO-^T_y>f-R@#d!MX+qRz1Lc)s+8Cf@Mecph#HFFm!HO(mQ6ze2}Pn>hy> z0K;tNTEETo*Pf?C0K2`FjcwG#*uMk`6j~uEYCi<$k1?H&_AMF-Xw5{tU5?)CEU;hOqL|ZCdcZJu8>E7AGquV zl6Dakk*Hkq`R>NM{ty*zliO;DX^=ywm_6a1XFcipi}Rrqa4#qMMbf8cIf&nrpAAYr z?`D7My&G7$n|l0oxlPt;==xu)BMG%f1AlmDscifi~C^%pfrw!QSmJa`QztGMlKqzN?eR=lo6{TdFkykyW zf8N#hNa;CX{5e3d;|tg2kstshyKLK|WuSra&G%Tba8LY+e-LUaV#i0)O8Ri8VE94; zu{Y*s=lZ*-cUAi&gh+mt>ohSg(JIC5)GEE(K)I07xz?yt*8elGa*cw$4IA|P$EsLT z#-8T|(MWx}^borc8YR`gvCuK}Us56WEF4cKmfTOr8KPd2uER9X$ zbdo^E@qK{f_%%Fnr*Nbk4EWbQ0N|@hjw855zw&C-(&YGGm$##a78}OItd6XO{Q?V$ zqY6Oa7tSyg0q|EJ;4TGw_oebtdasYGY`E+x(HGZ?x_hEvanynb6m&c8!mC-Cr(oAI zal)gtfA!Ckt;huE$WAB9k$i_q%c@&k#lJ-Jl*rP%X%~QIrpoc9ljjM{R58>PH4iVPp|X) zypr3$!ZO|~rar!1B7W;^Eh4lAl$)-E-;RC(lCVlIW74W!qgBYiq`gxfc}>bphBv{0 zr98x&emJ=w5rmDLR3Sh3->Q`eD)#{_8oM0xa)vQRDyo($7~BAc-j*Y7C#{b8Q!ReW z<`6)~wpu9QcB|mH9}EeC8$S-Tfi^v9c~^BU{$6XU&5!RUsDd*^rQ)GaNuD+AOBtW% zpO0KsDg(V0DyBboE&?u9ZwS0;S7lsD*2?Xm+~I^BM1w&+@=2HP%}5Yn1bZ9c=-`!j znkj1(w)XK~^P=rnLXYo@B9hl%L$oW=!VijfMk0KU^@s1tJVib}DLL%>rM2sXdcV9< zDsFAxNolFo0X&{!nSf104gjBiK=01OpRX2WZbNTE&f=u~H;Jmh>;P;f@Ce-h+pjG^ z|ENTkV?caWTH@!z&Jk?{FojDms?cP_22>)1QsqazFa6x6pUN!6i zod6#3AGiOoCkE1;2WmO2bOC14PIe*%deyqJtm)U3P3 zDd+>ve&r{>EwjsbyZ$=1qdzE!193%B;QY;tp5mj!dsp9{5);ge%T^8Dm&{a^#~R3u zwhDtEttkmRtC!%f0Ck55&NX-cbOlE{32cd@Yz05Ig~!l|vR%iN{6}+vG2|=b*Du9% zWptvKp>Oqy(PcE0GJ0d(;s*{--&?ncs@{j;Bfg}XTEidzo|vIzep8egARx+NwjpoR z4vr7-|6rnCrX$<?0E6IS6dB&ydV*J#~r@f;T5kJ6L3uZJ`b-~IKC+~|2b`P<BmF z?Em9w7*iG1T0`#~qPq9PHg&mmrxpF7Wtz#G2L5F$QSFz!^FZQ+{eNh^7inkbZ*^a% z8*?}4F1qs=Q^VW6R|sMAs-C&D$P@7L8A{v0S$Vrc3WrgNd3$m1?qZ=F&q;c4ft=bw zZbaWe8=-Qs<GS_QkO7flRXO@TOjq2Gin& zR{VAL*z~Qb9cITZ0uik&of3)OQFiOgOsszeO;sOU_+}OHSHdKw>)%u`vK><0u#C_7 zH+7mFJRaZFTi|;dcG9RU`^l!n z1*w$u>0_R@*~1&&UWTeU!NA|ne&9e%;3ln-s(v9c3J;C5Q&cGwkI zeHoqvPBHQdY3@XbQ9ua39e{M|J@{*A&Zj^Kyok6QCqTmbzGke3){dovX?o)zY*s=uUK@{*Kzcm&unp{hF>R*VSF5x!WJj--l(k9UA+f}mPgzw^`9?stO?~Z;;Jg3QM~(`pZJ@cK!Do*dY;i7r8?Mcij^bw} zKV0j*3(UN2Ge;_rajjsOvcSH_dz#pSl&7f7IzUj}uW(;Qw+fCD{%=vf`}xAyUx|1t zna0pKVu$?wY8ee1L=hf_utE5A%Y6P5SShvt)HE;nEf3v|w3&pjN;-bB(ldAC_l31S zYl*2Z{$oy)Oz8ky@xev2<-`5G=Qn0=^mmP)9(5E$4ym66B^N4&q z1n>fB2r;c+EeICT5fHi^7yRBhqB6mh5Z4iq1})f$dq$5_oh)X1&U4M~g6UrPhdUv1 zYw0joht-KL2iu6foIL;BtOfgO?_An-^aCThDIuhDpebdg95YW;mT#m(4=dF<^4sr3 zX?zu8WV}k1rhFLFQs+m6SdQTZ$G5`ZWE> z@13?lYy}ewgXe48h+6j-m4)xZI_*}(gSTuLo35DKp3I z=C-fMD(GWDeSb_!Yh3aa51A?&V0M5lg*?)0>WXb0Qx^<~Y}Xteoc~@Odsr)?Q4~F* zBHRm}S6M>`J0D$P(mDz-m?M39YRHE;vchhD8!O1cTFbPqBx%HsjWMf?xQye5S8o^f zDhq5Ob-K|Hz6@sq4LnltE1dKmFC#BGTfW=hxvA&3y z*Fme=>RFHodW>5KIaS`Dc;ECJA{3ox_R8dDbn()@JJT9ntR-qEw`Rxaso61ub~}E= zgh@_=TSc&tY$zX-$s_~l^)D5RUx$&w%6Cp^y0tKkQl{srTYsOr9St<>he(>sB99X$XgD*`2aY3P4BzDXoJ>6%A{7_%yNfDLNV&ed~0MeV3s}*G@V+^c1 z0v6>}fxC?u7O27Duv6*k1j0c{Ha&$x04~zP94Tj+$Jj>$Bre}74Y3n|Pb$Xp)i3LN zf*XFnzU4xh_~3^PR2s|=>iF>Nl^tJ@? z8*1+0S2Bgs8=heluvy;5{qIF1n?~hzj~k#lC=2%)-pB^qrdv%-5BO_P3P3C=*zuIK zzP|eW#ebcy++)yR+s7f)Sg|y&T_15C3XFi2KJ=3|z}H zTl0Zv{3~)th4c?x2Kd&TX+)xxHk!sG`amkyY5JM07~%C4ZYfB)9NdWSQizQk?vvDf zQ^zB*+WQ%vP|uo3eVOqsxL1WBiLn{D)4w*;x!B^9KYzT?zgBBA@H%jGZ5d7Jr(7yX z9kr=yDCPKPu`PE!(2ctACmx9(?&OHxm7biTtVr5-H06djueP@(kCmUS zD1WGZQ=ZG&la(cbEF0TM-6WqAe5#_4uKW-@?w&k^tSVTmYN!yQ+*DChG1!#Z(cn~x zZ1C?k49`v)lV8BLWB}i8H>Q$af3V}u7Lx9%cFIYa|5#cY8-aooJEm$C(w$t}eel(e zvc5a18V03x@`5WXg?&5@;!ekxx4&q~Z1I~OQ`i-f%l~W_M>b^CdjPx6S>lW>_F0%! zW)k+WG(fmQ9F;f%(x1RSyj;O2qrHabphK5t0Z_UeZ|H%5zaq6~^ zH@W$?<`qzc*VLlh1HPW)PRvp{P0eBO8!!E&Gn{@;(8nl)G)GW{nM2VpM)} zd`JMPEb|6}w_W?!VsD@@Kl&=Hi>7RaR?E_b$t^ynr5Lm@uh>5w;N zm-l0M8zUa*70IOUGnZ*%9RYDQWQ)Z*W;%?!qVg@ z!93MQgaf3D%wiK{9+_5Ee4vyNaBnt!Y>MYb!eJN??lreF&YQaX`SBbe~+`a$yQ1EL60<@+-B~~%xpNV_f3Iib35nF3~1hr zZ5*7anX_*(+J3A{G{31*dJ|Rh(R-_IP$C3u9A_E_>BtA&0AIR$qdt=Mg939rl?u^m zHBe%OVamT5YO3a-AKCAa zA;pX$jRb|||!iuF&i0u-m zkcvdXE+lL^b$WLNCj~sOY&4FAi|x~f4M!dArBCm2A?IlxGKTr*oc0wG4+H{{GZ+=o z!d-a#CVc;S4_u3T^1!2Z=A0+dIAVy0p787giQ3~WG<*RlK8WcxbG(Le{OBg{b#?`H z)U|qJ)HPi}FSM(!azJ+F7Mnm@P-b>W>4^3G#&hN{aCG^7!o#C4t+U3z8USC% zK!s%aYdp&g$#hu?h$B2^55xRp=3OVkWW*V#KWA>rJ6OG!*GlPRCXk*tDt`Wxaqw%b z>$Cydc)$|zeAQH;JLg@j$SdWxbR=|sLxwp__^e*yLVDX#XF<$i?N0?qJcYEtZ&8m6WL8?5->A~MG623eXJJ|nZUV&XK{?M(>o0ZMT^SN-CObbRI;iJG@KSvZYNBJg*mWVYF2-iW->crLXk=ile~I>(1##$ zmCPg%jgIs$7V+L_wy=pVwXm)Fw!4|_qVML+xj9PVv@{dbh_lPihk8PGKcS>@cE6*O z4gZ{8?>SU+PH+wi-Ec{}GO9=rzqp^JWv%WveFscnLmAM;@@3AVLu^nw4)Qdk@il*K zBZwUnEu7E@FQ4L3v{tEP7-*6C-- zj^t?d-B31Sm50CWQ?h<2udj-V#1yFNe%%y;xW9vX^Wu%0b2tKV(;OW(X|-H4hrm5J zK@Dy{LaL@&8U>l- zd*1|xr%p-kuy~U#840-WvBq&$OzQ_}cAl0=j~!yRox5%b0@);@jo^GQKcUdXy5+UK zdtOq6JhGhiJ|b;`!dCVo<(`c9HnQ6F=$Z4Oo6_*l`Gj!Q${1$t%GR~9HbnKWP7y=R zwIp*F)5m*3stJ@0rB6>{?h?2YXeK_(&gl6W6RzoOWQGp_d-RmzJ?g+e^0`98G#Gb~ z4FVnUqvm|r(w&J&v-~)QkW9@+>l6LH5(+++1x3!caIvN+s%|%U!elX| zdu-e68Q>AAIRETLDMA&LV#*1rLR~0*vR0Au+wjrgjRP!iJ5lRE;fAdWOC1afYIGL6 zhR<^fG$;PNV*o2p*tz}vgSbtN0G2whzMK+L33CddrP0fNE| z9>r__Y(NcZ?ce5BgRnn$_QQ`;)JxGUpeqBtb7{4cc1m+Ra9tb5>fh|Zk!&GmvED6! zNt6!U0INNw)OBI@dl2m8>eiM^qPYwAn0}mmB#Inb2=y#Vv38BxM)C3>u7CA#)4u2o zm2X#vsYJ|kpO-13!ea}P##YNA)m3fE?=!Bi8Gx#$$7nob{8@Xy+ZDBUN%<*UxqAhH zAHTH)@DsUXOq~^j+~wor2i$Hq!oYjC>B{uO!pE{-;YvRq&`Mm2rdAI3?6fo|2Dosi z@IB@;5_6R@jWd~>JrUUYTlXK?f{Z?#{#oF#-u$OOibF-hJE!%CX4I4qOJ%#r)D(Vo znkfdcbB#WyZ2#w{_AA0+@kwJA@lJ9X9&bNd0C%Fo@I~IP0UJ5*r~?g#Hcqm5tZks7 zv+9;brLD*6aSFJ>`C`X192z10J>z0ce+H7rCX&FHI!hD7fW<2V&kG@TTur-lOF(m2niHBg zEG`{cN&y>Q#|d$K|BzHBP!6`F5sjY<~BzpXpgYW33NU4G{&*Fb}=nL-;GdrTA1Y(Dh$9;Yo zO+{w{vMNZ_CEpmP@`dgzFBTNzB{jm2-O-A*%I&ioRzCfexV;D-9dmseS+NM|>r%UD zOK~ajt!^mtFO6+|@5HP913$Hbkbvt#osOYIAX|J{B31DFofP*)2|tbY##jk|AE8_q zrSr5jU=Rt3ahZUw=Nv}*7bL_<&qFMjsi}~ANBGm2Ox})vApvGbN4gQut@Fc1MlboK zP7Z&bg#jVFoDIlK&^*k}!S!8~UdCKT9soh0#We?{^vI7CzT$%hkZeIh+GJoSveu>3)Nrr1Eg<`ZE1TEp zuNa^#CJvurGTsY!cH^z+J4$POS)3g(BONV7FqkZ*=}F`?dQ6=~(TSvZh{yy=l)z=i zQNu2E#>~S#({yC|AK=Jg3$V-3E}LBsBG~X;UFjrYm0jfhmE8M~9U2Awb=JVgl0!Dd zc9#kZg!}3eEGFuhF+-D|z1r%SjbBW!9jUna;(RwkaTn44xj5giRXBJN)o?Dldac`W z(Yo_b?IG=N^QVkk2>RG&e%3|iW^J#BPigQS2z?j6$(3^};_)2=W4{+pUiDQSNbj=w z8B055St!g&ZQsE?+*!^!ENB)HJmBF{%r&F(yM09%roD91cWJgr!8ZC?cieQrO?fbb z)OAYfNQN$ZiT4;-1ycB!fC?r1*hBnCEOYW%Tqf)-hq9UzPKqNWlZ2LuEagX1V>H*a8*H2aP**I>B!_5jJ9C}xf#Ks59XaK zPu-ZM0n6%e{t!mz}$zcL>hydYq#Lv(sgc@o58FNW~s8ifTcJ*=-Cl}hsD5+&zp+h#ea6gBbDrGt{LmZ4F3zs`%ROxYlH+t7 z5p~t=)7nEbzU4hxL=;z?xeMf4A*>xo>e*pBP1-Pk4PfkiBQ(1=nGNeb=?c}MdW4v; z8&re>n=bI+Bn`#AJN;*qr;**xy_MMhLg_>Xq69jGx%w6FvadgD1J`3X`63(K2BwGf z2{E&k9Q$&ea}`;4V#jJs zAdLF+r+L9-qt#5!bp!8K-Pi#;D5k-`c>QaTMP7qQ$CD7Q$REi-z_Fh;Q8onoB)u6H zj@{p(#eZa~A-*CmV9vSk3Z5#8&Tw5 z)k4U5DFk9#4A;{Tcbl>j)x3MBf9%-ff$-xT6xI9LQL+|PvCfA7qe#tnIbw6h%{!`t z-SAr8mE)i2PK4XLmt(-o`SZZ;S0a*@SMUJi@mLo8fO8Dw^x%-sC7vO{az+KHglgXM zMNY3gH17Q@@6=_-=OFm=smla=C5Iw*%ZB@URiiisztPKvu z`}73Y7x17-m^FXxG?_LAzo}u&ZIPPa#{z(rQX@8lKWj?23*%Nj5?l`!mNRmgh#c$; z2~dqo%-ECi2f6t%=t^P5ZG@xZ^#BxEKjo_uuU8(b*H2t(a9}K7fO#9y#Stxz!DXKj zNd$SKib=eN zgo8^4A1xpUL&g~AUfDe+>NZHM13^#W)yk8ZJ_goSTF_WpdOLWG6?b#}dKefuYooSn z251qRAu%{k1;^kbh`{MzhpfKI9#b-fP`)*>v$*QH`}|?iUEBZH6En@phE6kG$!{BB zcId{}JCPEtWM@`>%IBbs)b#5qxPX3Tw4J_S#} znqO@5t#1SrBoF-neT{|D5GCT1tJKpPg{o?|wv8^aJ}^ezYkq~AZZFc5+tqa4dP?%7 zdg@EgZdDs8b+0U#mxL|NA=9`8M&d?Niq|q^ccZc(Ps=J4GRmNU@QW@zjIJR@KvR3d zInA|iNlNJ|q{+;{Klnefu()4Buc+&sMZFLEKUr+5ixumTMR(ME8L_4eLMG)=XX8fe^AO279 zuI0a#``zj;b71$ky@VXtO`f&tE*+-qq$U zc0MExnPI*+>x$%tcgUhhYC$q{qDrnek+VQ|{P`ax@6j z0?-ORZ$z7isY{kxtBPe=Q&QVcQ;i(R4vi=C9a7BVbrHs(sU)~a!^fm=Tna`<0ZFaP zeQ~1K?L3QwBL`X1=W~X`K0>v<+v;2vk;HzzjO90Gr8Vv`s^A3|i*^Fjp!O?zXB^Vb zIeJ^As)5fBS@hd}F(_f=m_6bmcg?=2?6aH?#xVJp4?1)@NJ{n}zz;dT3mU+97$B3g z!yYn?Xx$8^f@m3Ug?guFMEG9$BKuO}|&X+&$dvw1I`@H@lW5KiB z=i7=eyMgS`Bv)0F&a;#QDn(f}-n{Bxjm#0q;f}-gDmTz!#n_89ESfm&7apYp<{r-C zM`0{I5wKmuEOepfGvClUU=g#Qz4#@3O+fUHH56rB}V} zs7a>Tw$G6CJTqrI=S#%pPP$#gS04_e*eUGjcJ~OPCQW*!Li>Us{eGsfW}m;nOy5#` zQpnS7oaL5#9J!O3+3PhEc0xM>6$aM_@IZ-=Dsa!&&9_!E`SZKJgiBQMl*K2Mj#vTt z-&6ib$08!^P)A_v=N&!)zHxpkSkUh~fI;HKbMhF^i+pd91<L-Zu{%8X%Zy#J)n8 z<;2b>w;jY4#y-m##6&TfCWP!oeTC&)++49+MtlmlD5oxZKF8Ksg}F1JDp0U?!*kDM zEXmIPRiU4E77q58ewq|Y?0)O*97_`o%?u;Bwb6~xvh$k~?o~_t_P(6JrNC}<>3SkR zqFy+Jv^Sap1=(JGr=`l*Keo6h2-FS(Hn4OnSo)^oe?V)n{7etwWDR8dLJVh4XR1!? z3R#alIBt`@e-L&(J$~fXZpSSb9BZZl5VMbw*a)vS`A5yt{39*yB{yjukWQ{MX{%AY z(obv#H06-2rHq^NcbNpIh9!W)1(7yDI2TIG_A8fJ;&x!af7}44$TGRJ`)F3s51HmN zU?0b$t!Kayj?kl}T_`CyKES=EGFQ(pJ8%t2W=DdNJFOOxP3_=2_a}aOjwmu!*?Zm7s7jsb-8ha%W?HQx)8HQ`!5~Nn+=znk)Mqisk4OEFfck9fcK|)AM^De z3dO5?e5G7Q*gm08@W-DXmh;lCs$1jSL4N4ZSl}aL=&-k6vp~RHwJw5 zjIT!}(YEC1i5oBfZU$U~kVoP{i>W|4Hqh~sr2}$7$880}uE*TqN%s>de1IQoT zjz7lhjiiMo_dnu)0m6+g;_m!?EqEoDP5J{9Y9{#LQM{8yxTz=B$v^o)tdDzpKkY4?2Bc2Y4Ivo17&g-rL}r z@LHC9hgjGeY^&DU zNlWle$$0e&RgoW5@apI3oFM-EyHDKrI#l2SyM(UkCB$2?fz|+I)d!S%Mf|Wo3Wz-? zC{4RuNHA8MuBXGfLf2*E$&%Uf71cpb4#P`1l?%>6((Oc>g<@-bJXar_p_FX}wk5sJ zf(2m-)wn=FgwcT56VIBmQDb`WX|@M}Du} zO0X}um`Ls$ZQ8=+KKOlQ;%CQN{@X-|aE*R4bLR~kxvTMR&FQr(xu3vQ%O$@tw?UY| zbmmu(05hRm0_AK#{R_ga1189dfUs8!if<6FJ{NT)W0!IIbC7J`dJjE#^Q|J`y))_Y z`xVBXNdgf(;7_CGZgn)x*rBzwqNE3XxzH4T(}fSo0C&;B9`cd=CCqt3QrIG1{zmg`wA`)@1t*yU=4pvI=RdZ_uvgkY`=MR*i8Gc|UI1e;5InyY_;B8R9k>L_$BeJ2mCVAa8^eW#7e_?BtNo*x=roL10iIY&B_TOAeeADUZa z2PJh*@&QDWNLI?0+pHnv;6eT};^Pv6iMBLk@?aonEE$mkG|h+;{p;d{c%6-|$@YE;(;alRkFnHD-UpFYIxBLK$*K~))eQp5 zL_pJmu)Ignn>cO$6MQh9|KFRS7iV3TJ2Q$*PY}P(sC91?=#VT67^hC(_PaYUg>xP+>>>)@{{k#neioYehHD#Jmpm z$ftNZRcAG(T_-}kob=fUm22`GWg3q1ePp2eKD8cCK)pE0RzU?tgkii1ixM=23bUOoJtYCEzd<Oj}tG`jM4R(2jx zy;h(Q;8)&L4ub! zg<@Hc9DCU!-s+$n!C=T7X#jug=uW>azrgwH#(b_F1R_5bnJV_)uZCd77w9h}{ZQ_m zdkJmRmDy@>LS>H5`jDeKTWnlZvTtcm0G}d{Cs4eLzpx+l_IWFL)5Gtr)o+h5%|&tA z*=3`eYmiR6f_d>X6cr?5w0?_tMOArlvOASqbk`P1>oueC4O7_td^h`UPy~^B@USE{ zUi@h!pyXjqKU6Jg?YEjeEp1$B!G8QY9Azen4|7?zf1v&gFNLAAwJp?IIvk38jNahs z>2uwwE;3-Za?hM^2Gm5LNA09j)q@Xg8jXg$q~n)|J5Nh9oq-^~HF&U5fNmevUwQ(v z-H%pCNFgx-vJqG4GE`Mrxa0Oe|8?>BjcZ!B%p5$)0W$&NUOx4i+}F-JuPFEVnEWz6 zSXl7`!B*1*PD_V<*}{>4i0`QIF)>yrkcIqV#G8{FYurHSvavZj^3W2*%KNc`fV^Uk z9Jtv$Jdwrkki@63B~8G`_u35k*)?4^a@gg3XMC|e>3K@FJz<*9w3u9{v13dc#7!+e~X`U($ydQ&FoVx6O?ARC5^sgG!k_T0Yo50 zvqjHgvnTw136rcd{i5$uxjH@ipxMW zPz%>f9J3b)EZ}~d*&^!hOGc$%$Q><+=Swn6Op3H5 z3%#V{vfIwvO)xd-FQxj0y9`uuLU_lHCH_m`c5vr9TDuc=F*D#Lwp9P}& zyfGivVPXHLmElY_#|_W43RLLh8-}}tOW1=zf7zj0^`tP)@*+^^s)8G@bPDq0r0Z3z z6?`J{8X%%tHfd~hs#K5I(yd5u@Fo~kET6n}KXSFBCZ^!4J5xtIR&2Y$IrDE>CGK=s zl$2-8rqHq6s1Fvk<84ehiKB!ALaLFZAl>o`f(z1420{WQ$X7gFPxr3eXW7)nTx4oc zPX0)rH_Vm2jA#IXBVM##Sa?~c`P1!)9s4Q?OTt>rqb+u?lnXHp(?iAEkZXN!%De~K zkT7mp;fl2?PkbAv9Ecan9=6hOD^UlNBS;+iPyj6`bAcgxnNM48z-f(>wk$jlP>{0|UxeL)R zRJV}FAIt%{s^#kFHK*~)B7*sCo#Ud24;DP4eV!SgXbFwCd#c=q2a7ROy(#CwJSb!P z6SE6KfCPwlk87xfaSf7ErPFj^ORzW4Je&Qz$Jj*>H5Q4C@`s10dJvV zYfL4+>0W^VK+&`JZw*wpYTbKX;!-yLAE0mc>*Cb#moXUFK@q;#sIE=AcdYAoEi9Y4 z(^Xss_C!;4AnabvNK!E^lbr8XRhK$Ex$KsGb{@IU{GxnYgMcKw%wBsie~+sg%J;*e zS^IBi=oIHYncc+6VScd8BQKwslwSnFJl5z3FasTRo5iqA_v`V!`hb6~1yH^$j6J^| zSZ+)DcB~-_2LV|s{gfMQ2c58vrwzO2l4AptLn=C>+yAlA_l(zkc0Sh1ZPzvZmOb=~ zC(hrS8DMVjr@)srdb-U(s|rc-Xx%K78kuh^O8?@J<_~)ZZ~om^C588 zoWKr@4y=v>_XdQ&gVij%)qk~fiX;{)+*JnX5RBdghqm*|3ZP}nWV)Z+AEX=jP(8%I zCwF0vi$0)?O_dGT`aZlu>K6$xi>k;v!{sOF6GqY|XTgfLufBVkY=F&6X4GUU;8bEd z)!fx)B94Hl){R%lfhRv$tYsU_=)`T(WE#h%@%s%A`!$$Y_4n?uxmYu#R7T%KXkF?= zG)d1%O{8A|;4H9{jwOlXufAuI^RED!RwCUAzBAr>!6LBtok}k}5cuFG{gmux!4k^K zbx`QvrUNrV&?G$|7pr~I7=_?4)6Jn;P`fBT0I)Ygu6t7+!j;IsCnKzRa=}}&Db-}I zZ-4d$DpBXw=5mmZpIoDt?U!g|ftg-St!bf|ckoYni6t}cn%|op$?wci7Q5kG@*tdI zLw0+S&BEey?d2k>Wl#LX*wk4!NgP%HOw22%ZPX+VzRhz)8#`^39x9L$uftz=c)MM< zkj%zAZ!W%f;@e9PO?p~xH2lEGL80-Z5)i2my?1s;O*_tcf=<^P-MCw{^n(2gl1R=W zR}>q83IC#JN^-IPCV5rDnT>Ks<~S}a*hK2ccjU-N4Td3Tp)W^DlqW%l3>=So=TDDE zN}aj3dIk48>MUo#fSBAE1vX9i46fRW zFl$y30%}WU1(%Sp(}YY?O|S@fOtL8w^&&(`k+xmN=#LwRytu00IcPAcGKKYGX zr2boJs8nZk!&QA8A`t%z*VNv^4i@I#7Tort?DBPLf{s7AlxC{fevj>R9=DnG^(h)f z15Mg3eoZ&53W62!dHoN7MNhnv=+yc71Q4`~ZQh$7s#NzLlo8T&le8I;c&;2K ze!r7gWyXmG(cGT^lqfa4H;IB)ZN+1(mlE8>yx-~oDa%9rJv2X#Ux6TPqh~21$AUif zOLGU{S+iq2vrYaubEnSUt<7(3qU##%MoMa$2`L+aR|z?0J^qCAhT7s=qtTC7Klye1 zI@ZN`zjh#Mjq?%1*QvIem9&4%T+2m!f<)v3w;okF2i@^w_WD5jDV1YHphun8&=y}7 z998pFIg5IqW|%wk&jcBehFPfzUB8`600D*tDVM*F>2x(@NK@!nsk@_%`v3e7-UZ-) ztPC39(D3kJJKr5zm6$yFaK-+eG>3mf%;2oPV!RZ#)V>=GK=`cD!A{4HgWUhY(v^UT z{mTgYl!)jSPRIlQ^K!$v6`P8i0f#1jrIxBsIFew7E`JmesrC4S02t@&%cyt;=KLe` z2XNgrnD!ljgnvn7~ZPd^7Ok55$`R$hs-m8vVtT9%PyZ=%22BakWJ{RD+F)rfZ{Z<78 z>+j3?UtX0JV(L@wX!rcDyDuE3rMSnX!0g@QdJy9j({3ET1xI${fkL$HGC#{b znOA@meBCWssgP?5fRvwIr?ySBQdakI!`<1&_9n`^qd7sL!<{Vvvaoy?nDF?4-B<|? z1Q>Jg5jaDB8~Bt38E)ic=#po=HTaD%W4fcEomMrygtKTO+82P%(4F*QmVYiDY1l?m z-)M*b5sGwmOJch6f&02z09wk;dBDzLAbncxRM#2FgsV`)t98Hm=RXI%FFa<&7q3pn zl}Ca^5P0PQ-Yn}Z>nCx$%wbK6??Z@?P8gu#H{ieU6==f#{k`+p6T;+jeZ~}^`U#Z< zzVDd;rHAR8BQTZoZBNvs3T=3BL69B03L84e&SJoSbPWvE7Emz?k7F>3y`Q2rV<|j0 zZ_y)&zU@>cEn8y|e4J`L@+S^_&#q=zdOH zc*Ak6fz}I|M2qd;VI~B1xc9E3o_n=NTibO1L}hWpoRYc3{kU=cIQ!|VE~YOY9GX@H z`Sz8pUoLZlP*h%cYKZR6&q)B?!HujIY~%))SoqeGe|*;fN)-=M@T_N2}u%^KEPxmq6725njd2Tj&I~P#Ej?5Y%^Q= zZBUepjtkf;V)XAD8F85k)+#5LNi!Emmm~dG{OIs}Kw>wu1Wamt*E-W;fhEG@>cL!T z+W`k@SmsVh`Fgd%vBvsP(aek1IIzXpn&MsmCp#wXW2YGpXM^}mXh@B9QzcberwdxB5De+sJK z;|3I5q}d}Vz*$vhKE(k-!pCFU5lNyaS$0Dbfr&R=A(1F}U|0q-9A2otiblA9zTT3T zu@wKsm3s63u>Q~0O2q5W*Y)v>3-$k~_Qo2$=)JOMG;(z&Gy>HClhM6+L@URp7hn-u zuJ^6jzm4#z3IL8LGhg>#WM6|Fyw#Q!|-e4mr#=Evb2!lB5^zJt>GfuEu$ zsOKE$I2Z#fx0g4+xC&gfedk|{B26+B;NrpmQ<6oxN@C3kX;6u68X0{| zu4@y1dSMVnPb?%(zJu{cQ<@0J zXW^cdFfG~+jJDLxGGi>49sum^*Wp}(LcNUQ70E=?R?s;QZAlFq!(; z=p=-nE_B%MT(zxrUZX?mDTsU6V-)x$i?&cj7lEGnTwB@s;RYHE13$!aDMR%IZW;Kr z@nAHIP<>W4$(Hb@9H+=xvpa_VlA^s8T`^B$PL~!95YC+CXaFof*1(Id?#$wF-}-iD(Wq#%+mGM_=l_8KrWBz!3bIG7 zjFDs_Qt%Pf+nmE~6`hpMIU&zxm{j|_SxQug_rMV;P)@ppX2HUi&ND?q0!wP?l%tCS2;b-E_tdy`|rWju&0StFBd z%DQ`LWs7qhn(1t#>gk)j`bD>L9aq@-1CI1OKx0J(x{M`!;<#xO_E7y4^({(dSyxX% zCgD^0H8cEXCZTDvE(5#EcWX@Bqrw7RS+h!!S#b+#;ozQb>!2()gj^Wsrz53FHXW%b zojCPxuss_~pApV2P&xHH{BoyA!66`{W1e?#c*b~0_se(Ue`dmxz46^ZcR)A()!7#g z+gl!ASZ7ela`U5lE5V%gUYqo_iE{JC{|c|u7c@?$%B5L(EM<)Fc>fh<2*p3K zI}FzjNbqV_UlZ#<-Nr<|u2I=T2#Qtwsp4{2CNOOAH2|Fe*)T#HM7-+3C&${t!nAqn5GNjA z#^_ttx>|B|=daHx0U|X_iCU4<#whcQ6w5`*3eQL!gi8fkMV+eA3`GjWo2~QwW9E`k zDu~|!2=HfPr2(JoR!{mL&;Ma;y^sJzjA=X5tYF|6Rx}k<9MFawDiM!~XUm<|p+`~E z*z?QoA%!WozrM+_QSfSWu<~;hT`&H?Y}a2F)#2n7uYd>8_`&U>r~15H<$)00L2hjB zg^TL#chU1oHt*O3%B|RmfFX}d5#l|+O<$;fuXfF_CrRCDnIJ@G5jFhcVOBi5tjtZ{ z%eM#Io8g@of&A#xC+>+;PnDy4lM+>bWMYQ|>JxT=U3;~`ZYur{kEiqo_&zE}#iw(P zN;+UBW5XjhW+4fLFe zb*}$r37Xm#wtTeJMDcm4^7DgSXw(cseB;oQgV&x6o}u&y!H%sjHsjq4+dnqR zOQTxkRLE;B+QxpBrMj6b@WlOk!xE0Yx;X#V@ga`qPG?m#7bY2`0Ii+7`&sjqP z>rxi0cR2a28%O^ZR1Qp#P0(+Wf~n(rx zzDDbHN#>7|1eIO2@pX65B4sDy`(4#rqrX`IJ_YciO}qYkA;KkZxkM5qyB9yyGHO-; zEHkpiuZA>feIVB5%1~vSc+;{VULe;NW*cW}nF^??)3L5=i{GnSJ4u2(f8RE!OI@!! zOIj`PP?dc@Txh_(f4QDqi)o)qZT zhwa~vO_X~Bh$8nuuT5GDTz#r2x`6``?7&XGB8AwWrM!s}tZJO74($JJ)yR4CLh zq;+|}nl?G5k0>wn)MfO#VhS`*3hk$KF3>|BJEKjj1c0Z ztr>_fMHKG&_WL!VI4Ua}@11;KJ^oyMB5IpH-tC}Rlh$m38;?JU`u%xO8;JiIX#Enb zV(Pdta`~FZXL1`7r0*X$hd3I%i^<~EPrVBoLO=e{Say>G8UpY>Uvb^J$1}lZv_CW~ z+5m$GU2tSlA>TYnkG~<|#nxQhif{z-?B?6@V@Ml;x()W717TjYZ3bH|XYe%5)C|Fc z31zD5w*f2YL|z7p7i^+*K%nSIu~6Rn@n~?4X3(T9?-NcgxY_6%o-l3g&{z*9`zOl(l1)Wo42Sm#(X4Y!B;L|VPx1&oY0TnfnL;@>6&wyhl9YUtR<h~zs^yGRSx3JtH1MFnSr&mHz6?ZP&(j8U=S(-7Pe3O_t0eOoda zoJOj;!YuXI4_ZGu_@a*rgA%wL@VxqMX9m|zQ)LW&J!_&f`z7)sC|8I%AwBye~m|UZek~GhU^yL;i<+zeorlBPD)+83#jxXX)2k7p|l*>z1|B z0sxz1I0@&-S>PJI)+FsOCxvZB;H@CXXgUU2hf1!CVDf~Mq5%0i?7lx{ z6zH@5`Sm`@?;5%7iyeFhWV=-b5lbg4oZp22q&rrF|J~CZCB7(H9+RC4Z(!Q&&od{ zlMsX8ZK1u-F<-21BTcr$?H?+GHtxlx;@DQD0NI$)?s}~7HY*v+-aZev&UH)rbM!Q*aw>XI zudX>3FTeq~k`gBL0K;4@W2=VB>4^#t2UIBW&Y#Ay$q{xc@_Wnk{t?`o>1*RLNX7l; zZ&k|&TfjOlV{A7KK0aa863wwpJUu_Bxc|wS`YsF%%q08sFE|bkEP{TVJa= z1W(E*j+Twe12UCxQo6))V1lE|fv2eE;`A{-L#(@uOQu!Tw5Ud6ECErSoEo27NM~O_ zm%s;jMQ!mp0Z>}6iCs!G)9iz1R(P!ZSV!n2bMWdJhf%HWO9A*ftoKQsR|rQ1Bc$kd ztlS3~1>bVWEm2_%%a?}tvAyvppUPAK*5biJKzdy8Z$K-!$s+IL-TylgY-76P8aFj^ zLUdML_f_<~<9zh6O@cio^t;y*+=FSyr;VVexNmW^S8RWUJ<$=nH~rwW>H)H;x50xi zL@H^hM*{GFi|Aifq<*sczQ+Jhkso47%f2^DJ|N~Xh?vO~vHYou94a49YQRqQ)eIW{ z19a`FBnzujET3?5Z4LuKCYsUfon>By#Ku&uiS1^JpNpI*7=Ws(u1{JU(p~KCfsOXv zREpn!>V+dYh=|iIXF9k;0PN4B9@@1H$^TQ@&Bl-a4V19byJ%?DpDaTq1;Zy} z?GyolQyENkSHy#@r0FowC?2t#=Jr8!A`9ymGPln5*Q6}SJC)7>yqMtpuK)42uJKjj z93*pt+|u_DIW9!h>QOAn{SFSGot#0M;Q+cWRj7ZU@2IA9JHM(qT1#bux+2+#A4o}9 zJ)qw1=mxhYWFUEaK5`^4)f^S??9BQPw7r1{=p|Kq3Vh)>C<<-aIk8eE) z2WOj8wsR_9C-@l!2x~*gdL?Xy;t3}fX@}}o6)6fs&Uhzv>g`kwvXTl}S~}V&<2V0o zQYf!m`YQ-9Ev04QDpyhITyQ}lCZBGexRyMv>#{eN5OfcZl=Cb6IR8`RNtkljCRGX{ zrf~^?0z@H6dK)X*p}fUicM$1Sc7T~zdNUw4bKEFDZ6&2Ac@C(gte;az)`I9e{GFXVZhGRv?;N0#Kg6)qO4d-$0T0}E++7nFub3z$gGa0$ z$LxT$eL;`F7{%YI3Nr*uEUO-;;|3ubWLYQur;V_AH^bP^KYh*Xh3tLTT1E%0;=?_E zdThiK;GS^-X3pTroM*S0X8P}BK>D&|>b)S(2}V{e0avXHyJH*-^Y3ufowHV!Z-dus zNXa+u!XP(4BL}bBZ?_J-fSqWMYHLZ=vr@3H1hAIkmf@9So_vZa!_$Xdyt?Lt77rx? zK67-3AIw}g4uF$;ioj=#ks@sk*#Aw3QV&hi6UL%MZl3beuA%PHdEpycp-+DPR*@p! zJ%4Ye>vvKIqsPVdGsImvEfex0sRD zv}@Zg|F`E4vI<+2m(};`z4+P~4S2Q#}S%kcwo@tlkrU0Gz98~b9=weAt zKzC8ql!{#NKB`fYx<6EF#Oqh&#czUSO!uy#dS^Ys<|3U>0? zz+=*jN-tx@EpCJ-1noWaezKOX^nyP_V&xzvkfP+oQ3VrwF|D>Tl_@&WXsUj9Bd1%Y zDS$OOV$}&{;*->KTK#H{?XphLVMNM*mfSZFWh)@N@CO;Uz5s7;Y1K>iWiIWuOXNl{ z0IE;Z48%CeS@b=50wHU7tlBV}D_@Tosn+N8#GErGxPRn%Tv7Ojdk~fiu9>f(4Ab6^HJh|aB@YYLJ zcCKFCw}VQgl;;;o30q-BIU+`v1%H$vJE;gT$NMoYEZ`?Qq6Wc${`qqQHy|vdgZzJm zLg=hJ(xgbz4#9qSt`R$&+JZ7A!4V%rkq^}a#zazkJo1>Ot8N8fgABx*!G<2M$4?F& zxg*yo@B4)LSvBUdBd#$~4Vvrwxn#_K>E-$=pVHm)G$8dJbh&?7$aK4>MaU>wlCeZ^ zgr?TztUTCXB@R!XF!yVTYC^1aH;aopr8H?G0;zSITBsAZlyUrQBm@zAS3w&RJiyBQ z@HX-sk>a1UUc;NKKRr77hjEvJLjO5qVGH>4Yhn}vWJ5tmTjsaTzN~RQ_*yP<7LWi{ z3jR2}MHD-@B`UTaFRDP=qv4KCk^W;fiq|-83ua&lUpp1TSm)~=(Zv*29>{izq%E&) zuqHi@;Q4m&d8Aa{OE#~jP{=}4hYEtA8J zEAN0#g)`gZ9)bLUsrP{Mw0R3iyaOssRxQ9_MlG{A453zE`2$j%*-k^ST#h-qcXJYfC%bn677D#p@$ObqeMtO@5kGjRnncgdaCdT@;V=Hu@W(?Nm z1#?XJ;|m9V3j+d0mAMr;g?+cI+MkSxQ!@Hp_H!Wt8cQVyJ3!6MH8RP*s|CClaMgw2 z8V_r+t!=p6I8d^i_azG6`t{r2KBBSW3mIUfz-|j>U?NVuHGc8 z+~Z$)V(TXoGKMQ6O|(mwe5c-|u*QrRnJbzX$bHBb?6LW-{Yc%y2c4ph@3lH4{?n0> zJa15uq3tU!DhdX!FsQ%QE?&-Zhj<~RdR%z`N}rw17aoy6KDSTjn(k&D)s*WfXTE!i zWGbtA02$593^2W;!#IE3a+8c^6PW3pDRf+2Mr7Ae7yzchtDF$9#PbL6)(??e zE2rf|#L#vtPVMvk&!y?c+k*6F)6lZR!Dnpl%P)QI#Wv!@@g*K?UIOtZshYV1wg1@K zW65J<>RZfwu@t$k)-7tjSWOD=k3v0D_?`pjnUX>k4-jX5aCN<)*-c_%?Gcduo9 z>x+si1s9lj^1n1|vpgb*f}e}zQLY&e82o3Tc{W|Av-jRvfhno%Y9_8QWc%KW!G3=( z6{(s+8_RLVpRB){E7Zs>QP2T{U_ijQHNNBN-N?!W9XdMeE|b@Y5k^~L-PO@6LFxN~ z!f`DH0lK3b2z}(XIy{i$RyXx!i~(b@-RQ|$Qvt%3_%iKG-T3+l*u$rwoBkmf4Zt~epga~^68ahfl&jh_rNJ82w=DM%ZwbC&p3#D)aO(^ z2d9}F(lMetcAE^)UI>($_=%JbMlAE7snsW0uje!m_KHfh7%#?X_&D_*r0arBRQy8o|I z@v8(|g}DBRr9BA6F7(D}0tNiW>TsEJqjt5EAjnTCqszNMYOMA*-FiLNv?E2WkYvj| zNLo_YDlYR6PqIz7yR3M?eM=VB@UEUgs~}9?>~9k=qrOJD@r3wM`k1HJum{_4UZCM% zUmnlEt~R#AF~_#lI@g+caPCzuBa{LNNHpd(F||}@fcD}mGtM52f_$u$Ee-B91o@Wq6 zUy}3>TL8qg3EtEm%0raP)E9dp*C3)knSNG?Sf|U%OLdGt7qZz!0-mh)m8K8-pSxp+ zULA$7_TQwu!C>Zqh#gq$L+4nDj{3b*zA(dzRap}6b+^!Ys$gUhWnR4bkd#>OB*yU!s2J2kXUU# z^c|YZ>70+H<4*iQ*$)&hqTpkgW_E7sUWqycu)}HD$-Z>0*z_9RlB~Sf?Msy(% z?D4AIR79Qwz#nB0%OREr;=h*PD$1h{5`=y4E}Td_`b5V6kY@DQ9Jw~gF9qMS&93|j zYEs#&O`FXMmQ?`4BRg?}B}R7|nTVk+5B9Wuus^NlQjY~CnIMe`f2ve4sj3)fRm@y1 z*spApV)I;DzdYRghEjR33gATb2MEMu3_v@?On)!2x0SpFc?UBkQbvG|Cjf3ep0l7T z&2#CZKvbqktkAQ0>)KoV@Iw>8i`c>@9vg2IK9=m(dn@Ndw@aH-+zBr6!OQnR5<=7; zRQO~n3!qQEa^V()A^ZyMb~56yLb_65#&_gs;p!GhQ-n>7r}t#B>fW7uI}>P;4%Xv_ zKQb@>zL>*GYvi9R(gc{|4BD#f$4UpZeDf4((IJin7Oe~*xdKfOesom`gizsX?f#$RfhAXkzRh_tjrl^mMmz!P9>jjr~GS(h=9w znGe*NIRfCf5}^I88*A+k466WbP0`M@Sy#=A_3Ohhn*Aho7e^9k@;k;K<+~xI&;=T8ATccwd&(d1 zWG&#P>;63z9Vw{dYGGg0lk#7<;|F2x%vcDU)ws|;!~AApfu8ysslC6L6_7qTfc16f z$2R8eZ1CbLd?J!S&tww5>As1ff$;UK?}-3jzj0erpGcMuS1l?g>;ay2`!)zD9Xtc; zk%~G_`)TH;B=&3xbVL-lr78(EZru7N%8FRy%dwuc|#|?+vur z!Jk&mCQG1+>!O8|7tqyo!|Nm1hNR7Vao|*Ft%}Ez=S~#uf6-%waU6ixOppi}1cEU1 z8>zG}`+R@5{9RPp(4g$PZy1-q>Gn38iQTFKspUf?+XBXqYBAVJW(nYH#5XOj$?9c} zl|T$pR*~~B6R-0$xeHQy_3I(OB5zlpY_AH7ap})dAwa$6Z@ylRY`wz`2t@JYv)hkN z_@1CV3deH2t{$g|0MfJ8)J6h@ffWeS(!#566#OD#;>85h@^t@IUS6Cr8bA#4n()0l z3AK!ajkw`wm#*O~Hq9jUGO4@b(7Hpxb@t_)F7ApG(KG7B??4`n^#UJ6SEItn@3HV_ zl-9>g0G%4*$Hx!l*HP9B&{%`$S!)ui6KmX`?C4O-b(jb=ET5mCz#e{}gI7~r>Gn=` zx07#nrX|)5G01Px5JL~;fosVc6W13?%-9luwOa@VjM;bu99?U;Kg~J*7M}s65J0aF zznl@GpFqF!fMz)bnC%lmzn(6pAf*s1>x$VTl*H>Zh~Ug zr^(P5N0hA;Z)^aNQ0Cm<4Umsqk0-t7uqEFU{%A^8(RqLPl$Ukqv2ZW^d`Rq?ieIg8 zL|ND#0e-RWqt(Evt(DIL-lP)61v&#-^_b_$Jw07(L>|O$m?oD6O4~oXQJZrMDS^WC;VB}Kd{~>8dK%XKeAm^&s zp2@1$OjvNy`$+Js;R1eGSzYog2u0Rq1vTgBG)`LLd3*5j8G4{FFgb9r_$1u+MtIl! zSVd#vy)c8;j4O-XCu0?dmGMaCLlYLqRs>l?sr9B7cPu4PRxSld!88KJfuz~>`2P&! z`p_+GQ=Hy`UPaM!kAw|QDFk03V^A+zz{P3X>@#Jdr)yO zwDn7{n|}-jl`IJ`_O$&Z2RB5M0n*)0aHM%I@&a@ zI}!xgIj4gCq=_X%ExCuv6CIY+yogoeE5{k-Ar%uMTLD=5kW5Uk zjionzReo99Q+K!PWoH;W1Cn(dW8z78Nk;celBXRJJKb8@VsZi2(k$lsAae~0tv$GH z&XUfH4^3Z_d{&LaeY%UEnFwI>0Io^=_q$b!AVGWdf6;akXAzGxhy_OdK}m-Ttki(x z_EgEYg`+2rBfeuVE#QUki&&FZornB?5NJ1L`6f=yj>l!h9-;rCo?b_8z)I_s;DGC? z$hWw!Cw;SGBG1bRa_l^6p$V;>8QHL*{)Nq*6arWE73zde35+PO@#{i{LW+q&dxa z6>KLd&SWW?{WJB=&qn(=Tx1_htM~0ELEx27WliPx8m1KDQ;iR%HN@qi zaiyO@oALXNTMENf=^UE`DY5ZybN`Lgt$UfvO;`=1w}ewnHn@94GitiAbS=*vNDPWG zwD`XkrNX)&ym>2R`^D7u)9Vq+j=R2g+CY0U5#c^&H<0%~G3T$4h(!jN`%x6!+1LWu3n+0bI8RI*>aYKuyCx zYF39UetorZrVyOBR1w34#o}wUs~_hXcehlBAOh#0eO21k-&(+A$+mk>F9F=kw*Y{< z#8(ZRu89bHibp>H7$}s13K0du?q5{ZeoAqB@*n*b&(%INI3?HXBZ+636?{9L2o3ax<|`r%C72B+s&R z&qeTMQfS22zazAvYt4%mp6ojKE^2iAZfDsEl-B$NA+x>Tz2`a0e~Xzn7PZ!V&1e9f z(>>IMm`%q<1EHrEuJKpjmu%bL{k6q>03KlE=4{vVf|BAv|JU6Er9J|hNVR0I-@D0= zS}tDck17Iq8xtF#oK?<9#Jjc|yhg5_*2!r{g04KGbu5|Gxx|AisS%f7!2hLY#dBbJ`Tp7S63n+Bsn?Lr->y9$o9#h=gI|{lu z*jpvkr`V?Hy1IeE5eUY?nBTTFGGSRY>K>3j!pjmS`2SF3(8^Me;L7omF;|a?;uv=O z29?L4Yuw(3Fe2tIvWRk^3A8M&m`@eF0yrEBy%xhZ&*gUin7@yL6uPom#NoT-^)!!e zC>9d6Y} zy1~?f$gp96-!tH3=Mm`_Y&Xwz7?AceM>*8=`BvHXb-#ByzU-TO}Q(%r^CvihHkLXQEE?e}5e27nm}sQz1%QSJWM z^2M@u{kDOZ0^UoV%i7!R6<;Z#*)mRyZ1?~b#J&BX#Wa84Bng(F#a-7z#YAtVGB?_6 zKA^_IULeyX5|{`W6=2ll9z%qJ*Xk-p_T>i|9$`lhN;*Lb#d#A1w)tb{v8zsEct92D z%9%Z*x$?rzGQp1vNTkmtJkpJOI(~dwq<(vwN8RGXeywjoDvujJC;{8>Mijt}u#ySt zPkeJF^HdXARx^+vrRp=(%lr!IW}l(-qA)-!F2bS$`ZmO-f1Rztc~K+^t@W%Xgxx8B zpq8GW?c-VV&Z&o6!$ys7EvqcT=NpvA(FDlXDl71cp}~_>zeB!o^_~&p;~oL<<40G$ z@yR(;Id1vSaN{=l;AIo}JU7V=%_?j9LAJNQ>SM<%z|$ll0KmbU`OgU8KlouQ98%u~ zvKXY}JCuU8_Y>ip?b&%Pv*P0F+g#&9OD1JX>kI7zZ9wl0h z!izY<(}1+*Az8Q1X&`Qipu=Yi2~ev5CQBb%N^q^m9KC7dATp!@3C&H2J z7Y*o8f4_{b6s+U8U-eXXvhr<^d2%(DZTa)+=-U9FOK2-??0f!j_V-H;?<9dRc@z{Y z%tL4=s=*VRybVA`N5f-v|OzR*=7i4SU{yf%SwQ z&Oax2^!&mK)JJkJV$aOBI*QJVh-s5!7yGlX#xB4rBuX~sS2KiQR3B{-hC+yCIGc8h z@CYzY7u&~7KdS^1sZQ(dy7x&3&Ilx{mG-+~L_@1%%r8~PzM20FFIgO;ewmmgt8JR7 zmSr`-J%o-PBAn)p1sLPWGSbapp6DLFAB!6o7noU}pHnaI;wx^+*((;jqPdj=$)}g$ zM2G2IosW3H!2V1%$>Q#FC1LVgOpg*G zrKF;cX+nTut&Im^HPeW?RMh%DCZQ+FzmYJidaETWDIT?OITi^D<evj zx{u_8bnTHi4AAO1dnCmZlEk)pGv^qrV&i- zgGJKYebu0~WsfnwXm{lq;pvInw}}a>vSru2H7gL^8qdd5Q%#@V@$KAcCVWfrw{K`Z z5$2DC_)-6W&Z9@->Zs4cbeRI;aSzDk?l8r-GR`iV8Vg;$-tZ{ZP_s$8+=9z&+&7f_ z&y9nRX|@=UaL#+t9}Y2A%5>}fp^q}C5$vHA_0N4|m+Zq%XOi*a&rCehM&LSzlJ4TM zQXNGS9U5&0Lh_k3BdYH6{a*8n#$CPZ?k{`SWu=Ek1($@vS~`DuYo_K9Z@n-+t8aQ zQMF`Ytw($WM7hlsxA)u2PQVkUXIi%!S5p^{4a&``Y+V;fePJ+h{&^>vj$yXgn1x$O zX*dt`@#vV?0z@v0WXD7ZGBfu@IkORbG{DLUwFavCBV$eRi?9*{lt769<)p$xHUGxd zDf*xl7~Ig0P06D;j&Vn+VYqkX2Qray+jSA0pi*=8u_d3y7&Yb*wtu``0oit142)npWjGlt=panSi_B1AJ_*S7lqV<{60^FaNhk$P!q4 zs#CX-=s3gAwxkr$mpoH+&SNAbsOr-oe&F&h-E4h)4;MRxWoYcwe6g=GR=?Wryz?I) zCXi>WLIF-OAX{GGdvRU=c0-G)(Ps&Het2ZM{4>Yh#}_kRCR?F~5)ZvKCL>SjpOZIl z7v?NSnjzl=%PGx4)dOq-V7eHKnW?z{_BzI+~)iJGKsur##DDTGz_-Yo<49m zb3?agQH%%l>od`MQ1!a$>m6>HcZEmVpU%>6t9}tUnaLS5%4gXH@9+B4F73^ap$3;} z0@Pc)PXk)C?6~)*=q2|Y^Z3!3T9L%7K6J0pAELZEHFtc1Cu<6??s>-M4+ z@#Q8{f&*zJwrVQC4j{MMdY z=hY||!C_V7*PxVnU3H3yd;PD}wOj?Q4>!{D&mZxCx12UpP)UI+p2CN1t6?=E+lv0J z8@7^ljcH7cR`WFDcS#j!6j%@@6&t<^Gab>od&a z*0RcLz()Jv$pjU0f0RD>yi_Y(LCo*zvsD3;UvW#_)w7Lnqf!$Z=cKpnPro_y4?a2b zKn8&Cx&9kfwfxO6GgBf)hM3`!9N^Zsdy=}QL_>Na3D`)~MQ_}@UBE>{nM`(ZM4j3e zy8xE#xvu}~I0-E24-T|QCnje@PRN)D-IIAYhaSW)>0ihzHHO4B=&3yoO)r+Vxu$Ls z3JvBVlfQy!aJ%c{jKRDt?W~?d77a0{^%H+Io;<8d-EXY&v^~q4^9li zg^oRnfqggKFld}{zq5%>u);`Z*Z{*cYe7iDrXSs1bR{K$(e=I9@i@*_Dc{%^wOgkS zI-1tHLy9Ix-z^S`x>qw^NIqk(X#P>+qgQ?8u^zZ=$A!3jnJd!c4r%CrP}l1%P32)% zgb*0BGmav^Qix=`U*GWoZ|l~Yt$y6grE=M@S6D)rb%Hx`Z_PK zYJ(X`ZpB#p5?(D3L;>B z;l`6;Jc?-A##@Vr$*#}NwHq7`bloXET&i!}EImC_#l;J*$`KlpDB}ZlXXxuX61EQ)~XUbQ@UT8evdFJgv7J{2qhd& zb`>l;Kbw-yP&NbG)>pfD_0*Yxsr}O?x>~)&TQ-gpWAyiGs%E2~;Tqy;n~d6ta#Kd`-<#E(zMAIOWxNU2VO}*3yCM>J6o$8}TBl>fG`e zeCvKc++u9JB*F7`G_97R4d(o6L-ix`;~$Q4gVky=@k3$^aD|~D%}1xq%+U`U#fjy{+2TQ9qTh+hUK)@Z5q00)@bPCFey;> zIH$MTsnA9XI+3APBkUWZhF*z zQ1%D&^7q10@pC9oxKLvIF)J$dNrbybm~01w)*c~4fJ{Vg!sFltxk|T4#nRiu-FtMm zm#v+wlsiOHd*67Wagi|uYeY5Tz_9R3TQq`bsr~`JdeD5xZ>}W9Z7xc^di?RZ>e-%p zhOcQxQuW*#=e-;!XOR)Ms}H2*eMfY4r8`c99xR+L`7xtX;xeD}C(o~&>x}+QlM3@2 z`SMIpt=M=!;t$xPSQK)f|Gn8jhG?KP@`GwrZt|)B)Vpxls=dGN=5bY>z{wjSn~ES8 zH*rj(Z{R9T7H28@P}N)zDQC1)B+nKp(tSEfYopKkgH{aDUzs*+>e3N4>%nESpTc5I zaw)9KL8vvZ-mOm2I|=qA)?MG9Z1=wXOb;CR?bE%3b{PYxr2_LXaV9+GTO+ORV!UX{ z$#YO|Y>obBW(cgLh_naZ?~!xKYKVWZkaiZ+FTpx!WCjjvs2nV%lJ4mwPrI{_7qviE5f`6I7$x6A|M1yawr#L-Z zw6NdeLi(ofYT2%EeL{?P!7KfA<<Qiyk;HB-nsl?1DuWCN{9%;_}{Bbn*~`2=Fq{+g>J}H zFZ?sDdhp51uc-Rxym32jU3^UBRt4#$#h1qlO_wPQQr!jb(vs;zvW8QEYE)t~nTvHB|Sf77T9XYAxo6gF+ zc&m-)z#h(RSkic=W0kDAoX2+@@$%6M4S}yqJ%#0w?9rx1V9FB}?L|Spz*)%Hr~BKU zg~UF>VsQ{~U~hY?Cf4O_boynpY&KO~gKMKYh2?Zwmd^X{?6E;;Sae>5wEAWZ zJ@7xu@-TibwE2*Z?9lZ^ktuG;!kNk8D9_!a8Tjm1@9&W~cU!_~S>7&zqE&3zRG8>l zuv1HvoW9i>wf*bY(8`+NMXOJV-_(h5Oqgx`^T6$&b*#WM1NQG4KKeoJCH4hswhlNY zaI%3ZOA~CTe>-Ag!WPYt%2eq*(~r=Wiaj~Yx?Ubf6dp->zpUi&K}VGNcO-KyZGg8UuYb_}rWrvLzGmvozOIlttIq#$k2S0Av}8hqc5s^7<3r*xZ2HeOW7tuZ zL=21zlRZNhGo|8kjiKzz+5BsZDr5-4=N&jwrx;Kn}gZ) zD7%*Tqf%A;?JoQD@J}le-SAe2l{20cn24khNM36%&kTivn^EDs!ZC!Z7#54Fbe&he zt32}pLV_sU*88hQe3stF#*>}X^}c;s4h!g{GikoAbXBPp!fBF#y;oK&;oU2Rdh|`4 zpya7ZLTbCH=r+%EU9f0Y>+q!Hp<9_>t|L<(>tIQF>Mr(sv>AAo-2zegUsL#s;G zUZ|{R*)F=J;+o9C-IurbYIKQ^yuf`EQ8Ni+YL@dUNg@tnnmd;9oK-EPitZ$sdgD}u*YhcjbyAW>{<&aD$5HPw{c?)&@Ap-&`C=V_@U#zb>9|Yqu+uz zOb$83f5Lu|oT_api)5KKG`5*Ve@QHEmC^VUeE(}g<(R~llZfk;S<}QqOPv{5-hi}C zYQ4wt4CBpl{xZC|$xYd%IGF;k`jJqM1peS@DkXTV9dwHlq%YJQ>UQ9pfM1 zJ9Esu-A+D1+N z-7TG(kS^EpM&9@Z?^kXW$gwDDYTc09KG~8q57@-LJ1;7qJN|6UYg^`(7->rng_YzT z7b@Rp!dwL&Hhof>z}k&+1}@ehe`G6uggo6fW?%xZ_L0&|Fmqlvwnbo8Xx^0gLuf_x zFnWDq7o-{JIZrT{K|Ypm+THAz3L~cuXux`%ii8Ph6-#E#?s(q@ZjN7<&)E5r$Exjb zW%Iz(<|$O|JmJ(YH6`Me)C#_>A2z-4%YPA6HXx_sYD_+43PL!GO+N`g-k4Hl9=aGF z6zHx`>mLw^^*(DtxgRCM{Ly~G(}uEn=Tt`e71RMi^UiI!CSMSY)D0V$(rDRInpuB) zm@!D)?vJbbW(d(rBHr}P2)Q2>-$i^m21x;^(`5yy+tjG{Kj25MdBHa8>(#)lfD z7ZfD5*0X--x?9T+4?<#}Qbt)Xx`$2Ai%)gwIL_ehYTf?#7?F1F{Xdet4=n09it3@ZGthRbd^dzEpi4tw~XuFmK(N?zzqIZi`ckTN5e1B*D znVB^M=bIi=kp3Y(tIhQ5t2f@1Z&Q^XJU(RGW`n zm;alGCh)v(wnO~hJcu=H^N-2=75J!)k>w9+=P}Rrp!emW(EIbzq~uz)yus=wx7ZqEOG}4kp z6nq*1^kU70umkcOh&$UIYj(h-nVvU^zBU7i+_?!CGhUU{mPzy5@+?HEG*#=6_GE1- z!d+V{^pt@VM*5@gQuuQ4^%cXtt+2Ho`RUMr-24^%$(wv$&y6z?8I?Q>)~2y#$B_`8jcFIcE~+N<_w~){(*r`6 z)6U@42J5k$-#fF0ria^;dP>)(DWBiVM5K?(*7AD_7Se2>2=_ca4|$k;qI8EJjN_#7 z>}vLZj_<5tUSZEZJTD-9CVjuR{sY$Ftgxpelm372QTR(>$Fj4ZaLj$00J@Uu5FOmp z({@Dyy_@=}(tR6t&pTPiy9#w1h%qUcWBr+Mhq@=j9h;<52=CCkBQ9NKE&r2x=;o)q zu{jt^=&zB%bf7o8;eGyw1l}(JRs%rQ!yD+i8JxnnSk9rKU{j>`E5CajkG-DBlWTSV z6B|=W>G}sGeB-P;7d9vwKfB`Nr%fg@*qje6{i%G$OBZ_g@Gj<`Z&>p`e8sEtg`Jx| zLE~q|D%+K!+(%(DZOQjR&ClSn>A_2coRYsl#D?S@1?a9+`Wf9v$QM!T>PTMG_79e8-s_gn1DUX;-gUNh zE5IDGEO8QuaiUP&ia1Gb5r^e@3NHHIKMpqyjUTj6OHSh8fV^YoP}GtFO7)BKm!Bzc zTXq6qIdPlhcigcq<5YH%S_eq?h?HkE;<#7R(kgK9D(~cOIz}p$mAqiP`IJ7*$w`V-iy|c%bGkqslnlLY?}O`oia7YiYwEk?A$wp z7!$j#imPqJadG?%B5WvS)nE=JsGPX63^}vV_o7F)4r!U zu4`DA7BBP|^BKp~mJC|j`~I?N;$I@=^|%hyB+1)&r)mxB8UW7+z|pOUXc#fx)K;v>rztASI_*7$(x{%$#bB3_&I2#oaW`J?wd0w z!xYoJ6N#8BB;}-_|4T(>*DT(aIw`{`xwU~l;pQCr%Uki1fI=?KAvDzG7QpVjlK}bqi*OG6MFP!`p@(v!kDhSGi-=gM$R^PBR z5a)@MoYz5018%i>kTf-bQ36zduq!Rf0;1cD0huvcf&uKG{`f4i^(VombuBIn=EF*r zDQxnrbppyMG>8v$6s!w2^7_M-nGWIaca4-V(|v1#EBW9i6^gjCirbig%3tkQ%9g#oGa9Q4|=q6?q;1C zE%z_Z)C z@lO*ZufqC^+mS7W1xoqwIGY?AMWVRp@PL1JAgonFHa6FQQ2=%3xZ+VAuMv%aVV$fl zlk!riSM36p8XHL`H-PDhkQnoRuJe<^L6k(bIf2ZY&v4><=%7Y_NRP%kd++%8Pa?_f z3Ww`j(y~#U8jKMD;9O0H$Ec|EIG@lhQw6OWRc`-UL8!6cpiIhR*!DWdVE&n8z>igh z^LHhEHw_rg2r(@r{eOh0-(U3GT0}gtKo^_AYaf`>#z+bz|I+}ji+@N?3#n_8Wd(|W z2EZd~7Z-;0$73HVJz6(dbDOA#EKNMwwp|Tyk&6rKUjCu% z(Cp{2t)d)}lrNJ2DTS9gchJiGfXTr>1H;tus{y8;Hdr6hJEivx@PB6geGdhytXJOH z=mGxmF5bF_(!>rT6DHfLrn1dXhCvG|VT#&|F*Qk^{WjkADSB&wf?uZ&!)f?09knRfclKdTiba5UK94PjJ#{VzESCFoaC)%|XmLhx} z5Wz~QAB8Ee?(IzwhpXDwWLqZ+{VZ1ivY|OK*X|{>jZJ zK`1X;nJp8^V;deZ(LnM`leQaU6!bVp$ggWy2ob z|Lk~B$FoBiu@V_EUooA3nfp8$JKcZiAUgDO_RXB|n3!F?Ce%&$S6On~FWEesW7TCg zP0(b3|IK+h9Rp^(0)d`TB5M-$BDCi4xYEbJKQ=m)X6%W-UV5_U3JZ!C2T0w6E>Zi3 zT^TpQFZI@0X8ow*CB>eACX74W=0+wzG$Wdv4ir4=wXB$CN=15Em5Jp-6d_KnRZc<_ zATUHt1z+dPa={}*YH4tEc599CPPlT-3(}^{>nGm-)f|sD$H%Kpy)1&Ij7M*|o-oh0 z&YHU6M|{aeN{8sE7nN3Pc!=f?wL9FytGIYqzdO0#^Gv3+0!lO(9~YiCwtgFJf_#I# z`sic4Fd~>+ss{$eKAy>kkA#j;o>;p&eLvr>%HFnW3f!P~=Qz=ueNkL8TqK5n^?GJ~5wa56 z7nwA9kl>ZP{A}7|=ia8dY%!qUxD5rs)_k3&G+GE;r+@$M4wO&N=0=ESXr@W%bP1ogi%=x= z$y!b8#e2JT>`9Z{1WKiIEmyZI_H+((b^jnl%4KzriA7NuV@fWn3J{48r<@$lWH`O$ zg4d})z~7KEvjqI6#JLn~AoxQ6hG-C7ToH;dx7<}oUlp%~s}R0yKaV{vA%+d*AST5|@l2Q7n_?QYPC?8n=3+s&md9-k64h*O2Ed(u5m^IX|kyOYSQ8wN5M z)~X!1f_y25R68pSDi#Tp;J;)l^uPSY#@2|5==`n!I`D*~y5TMe9l9!VeH_s+V{y3DPp6KmgT&)tIsJ>&{Gc^vmD)8ikc`&Mro^L^SJ z-`G5cQDPz-jSsSB0obJ*3sEJU5hY7D{NNfs94~8|X-XV_7U#cEJcry9OORm-iWUDh z>V2KgPY~vh((>QD5vBci+BB=vdSLnOt~!nvO%k<4g}OI(APYTD{|8()3vpFZ;HFD% zbfB$q=)$B{=J{h(x=2X@j6%a6$h-dVmT$=$v)l12ZSl)J9sE^ny!}K{+;)dh8VDVk zbjE4_*FI$4@QQR!{XBZZ(5Qev#GE&8htW5FqE7lDH}q6~W6$po##}#yw_p8xL;1%Y zNK`rMG+k;o^_&KnMA=0C?W00TNz40}S|O@dtLMyL=ynBfb)PYL)^-%Mo&F1u*Z}*m)<{oXZh7y_Q3ktjluLJfZ>05D-tH`Ijr8Qg(@MJ)UgKy|{9|Ed9WMKQ&STBYYL;A*T~Q=lh;0F6 z061t66{m2|MjsePnxfF^hR40+h14|tV7S|O}_JjV7%%AOPSi#kww zDRlE8cs740DC&1mJGquxowSj`*YL*8=&7aeGt`f<3$NJETOc`D7{?*+)}ee-?bXOt z=el&j?+p5Y(GXhH`90?Z8JU{KWElm_t5qhYboMI=0FEc}J-Zp^kX=2&W;Y@DN;*Er zfl~#cv*Hk0xDfW7i3XKl3JAd~sr>doE4{OreVp+AhR9JqL0?z#q@ED}(eqnW#|&7H zddhMpg*CATpqMb-8Hvb}p1QLu5orUz-O8TH;2i*Ypg=172dsDNC7{(%FE}|%8vX!X zL)M+VKd zUc3$~r9nN)@7D&u&S!BI<(?LtdemUu(jlI7d2gdHyGbC`ymwZf^+oURuT3v_EdOdW z+@e$vxM965wW6GqsShwx8LTqqIS3WNJ&I{3tyuh>+?Uh6xa$V!w1;x`Dz*WW?WZgABHYKEM z#kafn%jh6PFR=7db$nu=bJoLuS1MQXLtv?!qdO0(Pbnnyxn%gA0-8Vw`&1v3$ZLV1 zl!YIEha8;J3(pxHL9T99ta)?eultm}$W@_@LI)VoFQvX)yDxs=IbCa(197%#1A*u` zc{sq=D7Z{6VcyttDsQqmH)(AddWY^SG%iAAuzS3mpy5Hs_$ur&M-K$P64|3;+jV$W zYLl(j>n{1d`MEXP;MI(Fq2Uu^mTs)#Mc5HJ5v^E0)$>6zbuYVrjMj{|3H-oN_~dP@ zM!<9d73X#ppshHqSWa=G`<*VUit?k<>LG~M4Vsy zLu83YF1I2TKCD0Q-fAO8;Xi%EM!g9v&4y^NkL@UNp~?-uwexfINoqazFDa{2VY}$% z1iMU==h`Ngkn(~``!%yVW=hr09<8;s_$VhWB;A?xl0GW5OE7qqJmM=N*7lV*zWmZc zf34T{cfmE&HgGe?IjGc5lT?$!#K~WxWdZuJtTc zCw(o;cZcvaSqRMe)==T%E@4t%(Zp(o@^bD8&IsH`3JbSF*jS(J-R<+)F%J0zsRTXD z7nufZ8&1<*ng)?g@umVSq|_Gh@iRxVp7YUjmNULNRb_8dtj?8++WWjeAyycVt?}-I z6{&tg9G*`8X!SWEfEkfucAF{XLDuh)Asbs zL)r_8*iEYk;7jb-EfO9XuH{6G#vTR&F%33zsipN<~li^ffoZPoCCT+e(a#YDr`w8P>W@cCz2b zc=5ad?z(8$NiZp`VzjFaQ;fADhYI^pxPAM#M}GWb*UVNDCQwJr2_T0H?85J`U_!M( z|15a5Zh=OLXe)DV+g5{-$4&8lQvcR-U>sL_XT3!eBDYey81_~ z`-%(Wzbe9A-0?-VNlfqMdyf4((@+lzLooHI9$=Ao#T!j6Lbli{W{6MBO+t(yQ;zn< zH$^WMew=MQ$)!(S96XdH!t;*`R_18yOZycU!C{*eT?mpBV_B;;y-?`w6s=FHUDF>> zPM#xFuX)KDf3->v9c$%hnaX33pWU3gkq6a z(|u?5tJ53`{2tm{tqT$Du3xpa*mW}LLX<_T#(2HBPF&a))tVwFT}v;PrEc@M&@lKW3C@|yR=wG;z<{3|#oY7!2h6;!y52D>^Z4GIo#H$q zE9ooiZ;3TxmOz3EMV*^*y71R>y}pj&`6?b4<}2nwOStA9)M;FT{WWL z7w_m5-Wm4rE;eMjvM-{qY^vHL5IS^c>mm6i{Az zg@{{f{yJUp8W=hf>Tq_r{j*~RTUST09AQQcG%N&K#)fmHzN#sgs=tA6e`J5oT z`Gw7wk&9=O%3TW@;tOIH&(TaglKw)s#jUrcF4RzOC4Jr-_|Vhw2B;tX zH8K>b+Q>ZNO%PbEv8XP93>+w&n=4)Q(Mr@)`E>;|9GPG)Fi!@HjgrEjH7{K5G@MiX zSfF@h)#p;*yQkxQZ=L#+hwIQ{k%~(N{a{e6(aLYk)VYQjyK3`T0SQ7t%1vjAl|cW% zBuX9iPt-7~3wxcV&ozV9WTHdI&CI156oXb7(6US%2vmRDRq<8rGfJ8blNHuKOF;tA zllganU2V*D6Q*4X^I3*}k>TwL14lgp)A+b6-Hb8e(`w{;@q2;F@7W+&Gi`33YP(`; z&cVi;emxbpM(2C8nw#eD@%72xfwqCO+@4^jiN zKxS1b)bVbD!pB=d-A|OPmo=}d)+n;uR%}`+>&1niv*hu0_(swBR$sJ#eHS%913nlh zY5IaJp*mfov17N4{qS1*RNm0y>Q~2P%22o`eN~1~8fx-`VcAN}7(g^QC7JSDlHC2L zYbz?qCOI?{lHui6f6VVpa~%lq?x|cvl_!jnoHNtrufaaQ{v7Jfaiw!b@`iHMCVO9S zukEw6eGn7t*xQzWk}L2RU<>NWb050PxeFDDLI>z*)%ikK0q27<`)7stLVBEsyg3=wy)De5TxBamcKjc z!DJ3>{fR*7&W85RH0E8y7!Nz-z6@G6VxFIEIa3hrKw;Y9%hWMmmsomMpxOm4z3tZy z?u%deijKP|-u^QzAE6Q>>O6By^q)(9F~=INtx2#I`Q6OC#(1$x%s`naWZQEF7v@*y zd6}CK4TR9D4ExfTgkaJw)CheQ(#nhp{mac9Sa?%x@Y-(aeg z{A}U2qO>Y3x!zc#9`lkpqs@J3bWc=x&7ft;cpJ1SjYxLlL&+HSmKvFnH{KzLkL?w@ zTX%ZL?m+^3?0B=2HK`x%uMDb_^O6Q6ev4fuuKk9#rKREOO|&POfP<9kO%0V>2FdKxt~7z&v=g zOoN0iKHm!AN6V3GD4UypJ15*evCCrpJ4E7E`Dc-tnGJi>+j}i~+itZsZw1sh$$U&+ z1Njn6+Rf@*XxMX?s0}3@_o5d+U}D4F`Q9zhl);_799nG{STI(6xpSv%t&J`0U%})C zR0=Ku^_Bh`B3rDebtlF2D;}xXqutT|e2t7(!f+&T6k4P~8xwGMQ(Wi<_x5>5g7()S z-!!IOa*gJ4aK?%=8cMEY1*?3$#f~`1;tfu=zj;Wo9D9-%`>eHwT_0-eo+rnuc-)({ z5kOX99*lh!T(8ejK`lE8wxsgQMxHY5N=Ax3MpKe!h*=s#3!X=sPiMlgRBz90Usxjk z5pI1%r9m>lp>kV~<^?D$^)|ttW4Mu{;i!A@`qiR)XS$Jl578$SoPy}5*SE2#=#ev5 zoAE)60ZX`EH|@|gwMBLGgDY;o-GQhrVXwl!cbPWQzg|eb)A~`Jawb3n_RlO3|;;+G#wpeQ=f7z-d$I9hblh8!pBcMN?5?suE9n zBTm|fyY2LHeuu+8(6=%>(@dQk88E?Q);?|sXP{iU|4~`lE&7S&358@k8EaJ{`9wpm z6VAGCP?glh`7jOCAo&Kh`CTJbRq~3tH5{}=v}Q8pI#kn}so%Ga$sD?uRc$F(x5_+E z!m#uU9mSoe*sTV@dSd-XIQ(?hvHfGE(8&WLNqI6YtzSO)TU z&ryVg;;hS+TLCF^+KF|sTg^Yr=i}c$b@BJWttIt5z!h3pZ<$#5TiPcPz{hA8ib$(l zKCrvjr0D~_v$6y1#bzkjkj*U${K34NA2`AX{r&RW6(iu?@3Q#8Bg!`td#ZuO-EW-u zqsgmsccvs+ai4#g54@3d(>tl4Z)6{ea7tM%7>?EDCd`AMIc^LG%-xyos$yb!e@c(j z4DMtp2EcY`{HUpzVZ15t)OVir_!X)uHrce;2g`SuW+4%>NV{bOgLmhb*fM&`(u4MJ zFhUls6#)c`e{TbcA6&Fa8uYwjI3$U5=Qx~gq~8<(XM{fF?n&vq$N8F`e%#d9Y9_G0 zRnwPZY}(7=+aedSnAV_J@hKG6ku@jyu20Qws=%TLu5+m22YdYOXyVy*$ll3|1A!y+ z1xWSe1>{rTqM8N9t0P{H)aQAjUZ>HF_;m3yJrM3umJE@`yW8n0`;o8{UuE$I7q(mY_Y=AUwRy5{}R z#zBI#N<%pFefaC$b4pV##iY()4&Rx$Lq1Sw+U2C373*OlBhgnq*ePB6PtNatP~*<- z2Tz_QkXREXy3fq>SF8L?UsS2XNjy%hP$jGI%H>l!ziODvN+glRx_Ss_8nN~56> zjrFRxkM$N*NzoK$2C4WE+>c@5NIn{s+%DDB=8j8am$S$jooz^+Gj-doYvS|2@%(EL zWx#x}jV-@4i~YJFPCf8|Mj>i9ytlokh6m3q@O;4X!2jp*)<3DK_VDN{BX`+ii*BbX z8Jb4tSDfyl?h&n8H?qNmDJ~0MG0j91-+y^bi0vmQ0~&MfhCRONUsPT!roKMAZ#O@Y zr|C^ANan`-jJlPzb=k?}R;N#>G;Ew)DS<}$8H79fcbm(pJb-mA8J8~c#PN^nS#z>c zwiYKQUzI6~LtD*C*p(DU1zg-S7;+CeX%SIi>8UWI{aQf(7Qt0 z9^>)jH>>^`#XYNe$lZWKY;_cBgmPQXrT5(=PFesSh||#yuB@-9qHoBTdm8(jEooQq z7rhh5fkXSj+O0_D`9z~+39ieR4OdlXJfA+)T-kl|`;i=&pU7?}Kf5Tr0&^J-Oq6(& zu=Ltp`QrllPB$7K5khg%jTTNOp^Wi0n3s#ZI-K5C?OIS^x(=z!v3GeMq?ae=a zGv=QYL!AraFT0zlR6VZWt|q48WHm6o*?4EXY#{nuM-R^7Sq-D=h!!$EyfwCbe9F}h z+K4-`PXLyh;IC6b5&=a1%!Xz?i-jPc`@UM6pGJiCbV0|@{F6Gg|B)vHY%$EquWT{w z=be(H#2^eh?aXxy0+ahiEJQYgZWGX`O=@UJN+uu|U=d2#V_a0=X5#H3bqT7+Q;VOG z0mNBfaLzwD$7n-gbgo|sJjT3lct=&7l9in)Hs?tb7u=6u?E$wcT;?d3=RiJIZM%hP zc@^_#q)NYo%&aG}Pk=P|H-{H1fjrHPs7Bn3Q0`m$?S`WmLPa2+^%%4ZC|h8qUqK}P zPNs(gTvQ`4G&rWVQfzMo^SnqU{NO`P-iB3kVDM&}rGw$q3ha-C3+jb>E6;ng4r1-G z!&3w%zrojgM4DgI&UlAy39Z)5^AgwG+AEuPn9wo^p(iActzI3I^tpD$ca!0lr!53o zEolKf3j%X7+FcuAl-^SgL{iPjVII(t-#Ev)T zH|x&h!ARB*yoyAT)-#zYt8H(?w>fuTAiSGw=fC3=M0+%HS0IBb{FEO-YQW1MovJ5Z zn^EVx?To<_z9k1cA{!9W0O6w@Q}%643ljKe5u6BEP2QNFY--M1#k?Ns+VBVJ0IGn|T1cjMp@y~k_15ZcM7pH<@$iMnndb=OBu*waWJ{k=v|;ZT0pygd z_CC}~GatW&_gGsvxdi$T{YXZ-%w7`|KSGeVQ9~`eEo|H2) zsCQ%cux-d2V=cKP`vvlWesO23!t3#K!w*5^MaVYc<4K6#=ocs?HbCypf;(WzU})jc zN?B+WTe^v-d;FkalH!+AD%-8y{HXBk&ZcjGko=q8Fom2 zsl~RAYmE$Z)Crca*N{jk{nT^qs`Eb9kV-(|ISoI$DuB|ZTvXLaycXEI@*f=9|7O}P z+=V`qyQO;IAr^P{_`q^P`LCD_L;`&u*(8g0k8pQ`1yOIBRmX6)ZmBn?B8iXCN9;Y4 z6hw6%iu}LtFCO#H45S;*sRuO=AgxtAVl7iDL!OG=a=(Qa7`Yjr{|dA@BRwJ)C|kJj zi8?!@2?=><{fl(QXjcOXW7VjIkgqf-(9TArMdwbBY$+&gYDy1_CiPCJl!Ppf)bvq59$NPeD?(QBEhX zQ0k$`tK8v!r}OzcQ8N(7d*adR-twRAZ(tt!v+QfH&mXox95W%>eM*xyvVWcDd0wW9uFH^3nE~$1aHy{HS6dT2i}*eVp6$MA5zt%`md=^Sfw6 zsf25k!;t^gGtxWw&!v2JMns)wW}_}oz1!2vzT`g?y>H5;dhBCA?`~(%5LjH;eA@*k zB_=3wHrzq(8ds!k(c<3+&W3Ka<&Gdv%Y9%U`FEKj_wJ!-Ddvo?Wv}cr@#m};6J80p z91?u{2y>#LXjA2g%-i5D#UU{Z z+5Y43yX14-U2X4g&Tfg1btQDa- z^(}1c0k=`T-Qgi9E#pfH@gKp9GU%BIZYLP^qs@#O`a30;TKN^1r>H8`=6k21?Xd!( zz4x~xeh5~Wj;Nj89IsUppHLD{;5{It*(1%EH47`GC8>7?hmg{EKcZDq69;N@W4w6D zw&@8EHV>3z;5zs_k&P>VH=zBh{a8<2k=ws{Bc!zyx+{W^kDOw3%R{3J~GVi{O z+!IANQgpKi_Qf|YGN#&8DV`xavNGK=-fy;8^0K+92=^{CJY2$xZb260e6Y8zK*2Fq zbX#2yN)Hq7xD_GS8F$?y8%>DoX_tiVRYP~aP$~3#^)v0rDq(anMI@9xxMcurN&R-s z(;OMMim|=xXIxmnS6ue^iqCh(yu%s)bLbn1RiyIVC37SFJir6f@w)6@Xw_Iqp`Mlw zu_XJ*g#ZVO13iC!@Q5k2v|0}80)v>`SeQB*s%$2_!b(Hs(2pX3Hn1x4wn?xOmFx_- z?bchA4~H0UrIgI0_Hy1|CX+6KUZJ!#L2HxsIAbkQQu zEq;eMz?h?ko3KTG-}Z*K#|`5zJ8bOlxN@YZilAJnPc1c?bHS%Hk?kJp$~Dwh$C1J_ zhdnw=1A8&0O3VkxcOzy3UA0s#WJ5LXhwh>2XR*&zMb^sR?*6Qf+N*#%g$Cr7W3N8L zppA>+Y`t2leb~4<=zC*?xCL(Yz_+Pd<640 zN~Jl_PpzdB`}CTDegzjdGtX0q!EqrwwGTeXM%DClH+*bkLi5D4-Dpw$TxFC0pf(g1Mf#L+ION7d2_v>D&Cia~tg~N_~*~L4V}uzFERqPOI*z&ti4c ztyJ{sGk`tY&c*!4KR>W)q|Zs=y*Q;asE{L9`Snh+v!~F5NCcwLfaD~0qsB;ct+wWN zOwh(xkK&td8b}@nMVuTr|B6k*9wQ6eRL7?tqbCtIdmL<2Ji2G|H*OQ#NB4YYQqF!Bglcqi0oWaux2JPyZPR}-j4|jm*pX`9n1T~vF`8G8 zVN*=>RE@a>GUyCjFBQy$CY-49+jX&<0FIVH-;o60RTSwK)R3c z`i;EJ0O5A)9>+_kpWm;GAeb(0T?l?K#YVgz${X5x+G0}I4pcS=m1Zg`JTM0dWkQ73 z7iQk|_A6C=$uwgHPO|3Pbh{Sx>i%@usbC%;Pn*|W>jIpTf!A13llynW-XNYPQm;f1 zb9K9wd(LZfyZCE*P4bZSuX|KAo2rXW+TNmD`2p?Prqi~p1+AKdsYt+wjdvewtS(nR zZMZ;jh4d;KiDY|GDA2NBNYK}7Z2WjnEO?1BAE3P5Jb9+*rW^1y`{&nHBi~=wSlJf# zDPrCYwnvuj1RITbE`g?tIKd1M;a7Ry1v%95& z-{kKNm~So$>QdaJMx$=9-#C^3%8Yh7j;0}vC$I@uX#}eU)o$EzyPhk-%!jvShiW5E z*62a@^j1&1dO{MOsidDcek8C(2C2s2;*T9LbfF2UW(XMK)|shL%FS`&mDSc2V8Dki zndfCtYS3Qc2O*ByvWTU<*@byqamH}^@!dfZyl&DM(6QT}YIuWRDrixg) z6mEC-CGuU_m!Q@kY%Zoaf@|e!yieB);gi!hB@0e-UVaU)iEHnIumos=#AD!nc)_v# zu*R`h1GO7f3PB6AiKtE95lk_yt!LgTJNhnV<)*Tr9;9ky&!x+Nf#wvHhgN?*FtXJm^!&(_DgD_;SU>9;$uhg!8m+=Z58gdd$`sQC!f5Dk_#Zeb z+F0quC(yf&z2K9&ak+jv_6xo8EU9Q;?h+pS)QBJJ=a9yQBlF}-wVDFZZ_iyLPP{yWX8ZS)zSyC4-x2#Ew^~!9&^Elc_qQO^ zQSB+w1Sb}y>$B`<;@L5u7=xQs(7gsyhxB_*UomhE;_I0QcQ5RCBV5jw>AyX9h2>0+ zZ#z2V%u!1vK)O& z2H%{YtI9yYoNG~5=p9@ry{mxxrvvNPi8k*BgHi-M)G#6m@a8BFoR%$WN#@;=Z^Laj%9NzGZaM~%XfKd}=al0|% zK4s*RGE;+PhpN!9$TIMYSJNGAAu}qxi|f6CB1&!Azt)ohZ8Y|$)8&wp9)bVIYhTva zGo1hxK0&jFH5FX1wo>(pe&Rx&`kAHsB(`dzM(W5schSEBYY5e;#28BL+fgA7XQ$BD z&2E7H;;^i&wP`=p#%<%4vc}|Ox8cvwfRZ?Ztt8<`$JO0Z&UWW*y{$09E0;i7o#mPwq{?j$yN39#Z}Jx-oC$ zj;E`xEymUTjq726=bjxUSbm%WjigXA7YE`QeH^L$QVai^dUA_a>xD& zH6tvu0hi`O=f83JYbWwkSI43qfi+jZasHLb#T{R*c#}^Wx2Fv4pmqMq%ivd6@i^|k zC!9NNje8^sz|_V=Pvfk);(U+aKtHzprX!xtK3@FzzJcpdN+B`Nl0s?d!JShFy9&>* z^&KgyInQJ2uD_y<;YG=lQ@bVRVc+gYb`@B9>=rW6&$0rma@4!GLgOr@=~uom`?Bvb z){}3Eclf4hZhaUyan_N$PU&4;yu`Gg+4SZYeBG<<1qxS7TtgHzvP;97A%7uKb{_0X zZIz>xVRBG2mZV@Ln~8kyVU|k&W-S*7g@9WDA5L&eRZ8_9XXv?Y(c)D5y?P-AsS3U51dEg+P z6Cd;bmqKXd8JG58X0>R``pBa9=PpCV%Wt)5lj-@#{QRL_!)CrAW804Gmla8&q>by$ zA%7cZjw!D{BSMdYg%=$QZa|*0;^?v}(kVFX;`{tYU>Nvx*b11wFlHs<(`Mp7Xd;oH zc`sxCg2Inkg2#E+!114mm2vPVk7t^}H7 zGkpZ0_<-xfAxH-IJr|D+Oa>JZwD9gUTbOTu@uIx)3}@hVeV43|q2}^U_nvtr$Bh1&93KBb4*FN3+^qc&BukM-5wkmge!w z^*kJvJ0->lV?@T;6T0mUN^xzL>A!b2{9l{(v>y4qp5{~+=F5$DLXd=<%n!N^B`;pr zxc6`7hceQ+TIhCdwK-BD+Ys6BEcN7}XYB#an)}>~-osn&X+dX2RL#2k+<2CEKNH_$ ztfiKYxsN{7>{DsA_@%s)R|TKCCAmHVuFT&)raP7!H4l(cKHHbYfi7w-_UEnE=UKfm zA?^!M-D@(lm7CXL$JzPHOsYbBw$EkPYG5oUYU|2y{QwO8LM zL<{qTa+fp0$_3Uz-qr=SO4W@b5<; z_Glt$S>0NZ*N$C^|G0w)h2=BYBBz@Sl1C2B5dnM)lOYe901J?}&4A}_`+Y(BvABIk z`Z4Y*M*h#D_G8|CjqFTrCTsiEH|gJXxNm`T$<5ctgmZhszK~sGhSFPp3CNI#XfJZ~ zQbb|W@Fy?l35REUZ_*iRFs4@Oyy~E$8gdHY?Su)v2YNzZp4f;cLWFL0z`NUxyScvv zL6QO>W$gkIM0o?+(tr_&?695p(y+J}PiBzgxRU;YbEwy6Dv0g=yzu{!j%-Kqd(5!R zk~DA+(PReZv#_j844aUbIZ&dOq^PUEfI1kcp<<;x^WdbDRzDXgziP`;~ z$U9BW;B0d2IOJN<|J;_Wqok(V@f38-<3AiDB|TicBcwZ-l|KJ@hZkvs*>E>WG zOTT^WhKnZyBMfz?#OI3xPovbIBHJ%yB3Y5lLyJ9Guyj2OCBV4C{1r#5KpEdXK@yKp7&pUePWNh*xJX-p!q@>0VE{Q>!=*O(9wHTv&fH`T6mmh0l9x=hQ@u1}KVJ z>FKjcG~YzpiR>Xmdo27SVWO9kBHIx5o9|LxP7hakMmw*p?zm$41hzpl&k^w)(8(i# z4pcwOK*ArP=PBl1iZ@ZcSAadBWN%p*NxZX*^5CtXv7)c24ou0b)I0Iu#}VmsI~ z^IS#D;#2#Cp6t|DX&#v^4`KAsHIx$e>>(p|a@P5kNcfNx_%eZjXH+9Ew(^dA6_`>Un00IZK!xZ4&~#y+Z-K z5JXnCm;IeOc6U9>Lt0%pT(*Sm@fc&n33C;B-`^oNEuWiZXywcUII! zacEpE=-o4$Sb)IO5{uCYm63X zX%4%vH1ATe@A7znwxXC*hbhsNxZcuqrS-G2k)sMqq*Bs?RnVTwAVZvCK(e+m@BsDO zIZxo~g|Au7by@rI_^c2d@0cONcGE2XHFsggWUZcFTaHav62o9p_Y~`369Gt84~^cA zRMHPtl&!a`mT{;oA_7xp*z~@e4Osjr!;D^h|4O?@(su@mzxAY6U%)?Wk#b2 zslOcYJui9Vo9qA*2wC~{!tI;?N7-A4HTiz=<0?{8 zBHbbaBHhwTDk&lz8=@dBHDU~uR8pi{KtQC1zz_zb8%D#(Ne>tu14jJj=l#3B*Z1G= zb+$c=XWMh#aqj!P&g-0$U>50sw%L0hm5|G!9>mAy;?T-RPNMt%yQ`oTe8VAz&_76aP$Z ztw^*_lP|5T{AQ-980XLjf2O;-5Zb4*7SJ#E7?)ovhT@K4+|vZQm^5l~xusbnj1ccg z+E0|dB^usWz^ZO$y&J&r6)0aBB@In_LsjJ|Y`$#+a^rLcu0->?4$rv0p%R*G`EIB6 z#f4BhXA>)<2*T6)q{tVIiIbiW?fLU?NwdCfk#zz>7Et_aGJO=AtK6)Q^4vQQ&&P2~ zxYk?Px{y4uvO2c-D_0nC5%`AK)hk^{IG}!u5Oh%XvOg_G zcx!kS<)2xOun!>7-pcRSEjIy7{u?>Y-#M@DAA5Wmr-gn(*~NJKxW8TDEo}e7^pdYFIsSO!}=3Qw%V}vMRXHj{@5P!d7P6G-26&D z{wOIfI#Z5>b3nO3T-FsiSCiaDJAv(;Gg-@r>`0S2XBi=UFQf z*pOQ+f5PRGT`!QE3VAZ9S2dLy^jmJzV|@5nXuG<1-3WJ+2klpk|8&fe@OIIDDLJb( z)}NZNJLGx*Z5%r+J)YlK%g*7Ch5R@>LMAl+3}(-59R&NwB}%j?M<~4gdq_OXaBzdt zqgB+rztACUO97ly7fZ1ipr8hadHt5lC8gg3_!lZhTnZ1-K#Bt?uc`-1xIEG8NwV?R zCuKg#O69VGYFg1kNeRI{l51>VePXL+&s0WwRFL*{uI+2Pr1ic{`?Mav%5sDjldfTd z&ROS3nC$5{9?_$*f|#kt*Ra68(!VP44*L9E1+3g&0VHnN`CS`;Bd+?+n`;QRxf@F5 zFp!rW|J|fwD{A`@8=JPAo7n1b)1HS&uy!I90K{mcCxM!5ZN^qe)r1Q~h(1-j0;X9tFG1w&hHAaGeHgb-(Xz#|3Sa*|N49;?!oj& zU5i;iA3R*}k|cU^sXREe0^Q}$DKLHbsLepJ&|>E{naciB?dg*t9^~Qsu-wzsAJ@{&ejI+iSbs?bEGV8^YE-6wLY+x(3IKgiNF%S!@k9p9ExX$jm z5p()+L&>>-O6D1+iy7)wUPhYy?yK=+q)I)rp1jD2uHpsvB)N}>`Fcg-x;a4_-Jj!8{zkS z-u@5}6I*jV?9=n4G-~&iDWb#Ncy$L6W<^{XV)~WnSkLUnX1Ej+R91bxrSh5hv1OZ? zZq)_LduFW`7(FSL0D1E3520(MR zy+T=NHsqva*<60aC@fpupDA$gW4+K3Sc!l8V8(q$)w^RpUsi0g9ThXK-)8>$7ypE8 z8P;Zv~d7zQPBCmv7 zpUZjJGS2M$fwE$*P@wVxke`50wCdAhnL{@aHOqIPs8UUQ|4f+Zdv~o(1bMF{^sEqx zmP9p%G}%G}vNHElz8FBt&*hEO#>(w4epe(d8z#C52PrO+8fS97-V;3~&9089>E9@_ zer!KP6?2mL3e%jVEP8P7QcJrw%aZpEriu=C5;f#^HP^+7Z)4|jmcmN8?C2>OhVc)VLv<=e@wgEwf=QtJO%2ev@olw7?k z{Nw%^*(-U$9D`Hpgvchlwom%b$*O~yV=uPmb-ALD+j{ZiAT%A?*oSjN_-NuuGp7Ob zm7=C2QS{*!Sf!b>F+kmqVg1?{|Min7#W0gZ*gkR2$5=*!OEV-`U{Em7kgM@8S3iYb zvxL%ZLYAR0$p_q~d&V!zEUp|CUpC7=4{QN_7lr<5ezYSR!Y;LW#oS{tcirJ26f$IV zXXfwQ&~06*@0o9*e*woA?ec#~J9PHO&;6t}l|MNl<;)BY9B`QsV<=nzjUjqTeVo8K zu)cEp`qkqDgU1K;u!AxLV{7wYF7J7Pmu&g6-c$p9It52)%0#y;ujEl7){X+@J{Y>S zr*NGxN773G^o%q&oiuhyaz<;;JbBbWeKNz}!MNv1e3M5d*^Ga|Tg6lM zz)62jB!5I1hwb@K(3XR$L$zWgQp8vEox-LHYKqkd_S2fD{GIiqi#aFDDKf&?BLFmD z8Ry~}w8s0GkSgtjT*J~FAERjHSf8btqi6r7Geq8Oa(X!k@h6w&pfTbobZo;M(!xNY zQn%;T&b-0P!xpM>9iD4=%JS^r0e{Alx8R-oDrh7A7atEl#aIb0h0Z+1-G#sZ*P4{=skCiO&TKXSsOCW>$CUGNOw=FC*o*J6lmY~p zTjci@sW#IE#TDTy;H@+L0NxpQ*Q;Cq2E|&?CT^nlTy)1I`q-i-+^jP8*rKO3!;@*^ zwF@etT5yU1%N^?af2%Ka5gM03Y?tFS?*=eri zq%(HOFcM_cfZTg0I^tZ+La=&;t^*EDs}v`s5r4WL?ub8Q3mos6NO@p7N$RTAS|RK0 z5&uGaP%)RA&;YoWjW=rJe!G$mbK7O?d+9mYJ$>|`*e zf^u##zeZ;h*Z zZt|;3yig8+T@}K3{-+*8Axb|0zv8`ID8`s~|Gj3UL}*1p9xm;+L(0)o-F|b(zRhZ<_QHQ_YiranMdLm(#3bdmH)dH6(i@fp7 zE=H_U4`KysMqV8@HUVW>Tr6Av!{qG5V%>kYg6=iUQo#y=zN{{XR)IfL^NxtQ0JMl3 z1UM-3Gp9cZOt_P%A)Un13K~x-6A6@Mby4^aAt1O?PePiUpy9;e-j&_MB$}1NM_6w< z(4Vl&VFFKquyaC)pa4{aj8&fg=~}8|ClovNP|vHgEVpPF7?;JUFA#Ibi+yLW!Sw{Z|7Bj)=T? z*G1W&r>Xi(kDI;TeF>q%79@JRx`#WTt^QOG!rT8Lf4kJlzVbO~;Y;L|sXrtN zIFIIg`La^1(XI9civ$=DIT@N_fS#6MPw(%SGtUxIv(RFuD51<#(YW#s^nNf>N|`O> zL9k)cFG>P86#9b);fU&ssm3jZe!JCXgb}A*AV_|d*M*LGIl@{HYY`Yn?oB+SJ|M~_ z-pu|jcB@%OewQcRG_EL!^6_54XbvW|1gx(x%7mhC^QNwE0@ks)=(JAuct48F)|RKb zUI1c1cN;9JV732%xZJe{g+@35^1GeH7&$lE)_O{Sz>lJGmRhCpz@prb65s z{=&>MmG#6)O;!_=l6tyVEea7A1*9!$G_=?hsHw@58u#Qao5El)S54?*7ibG%sKOqI@CQ4szT?Y(uzTc z)ytj|f#W9)QQ%jbkN=j;I9N<96o6`jwXNR>$v2Phf=LJn1{nQ9awtd?%#=2W7VACq zHj2x?4AP;Pr&O!^4K!dSM3RhA!ios)f*7CM%eA_&)a{3;w{)N+AdW?MXyUK54t2DT zN{uXAZd~(@m~B-KMMEZ~i8o*5THzza3qGvKJ+4XT5hOPo_>Rm1P>bS(Cv?LadlGb_ z5w-(SlZ^-Js8u~@4d$^9^=x#+DD!w}M!Vw*T`{m0deSo7$P!WW;hXoG~2bVuqb7ts4zi;JZ&WU5ddWOc* zmGuMhWS}ptCv?~cp&a*7;Uq>7;Bm%gDIw zCDid6FIo_}M$2J1J~b0`SJ{9JM96fZ(8?)+Dhinxozj;*v(!9rIG*_(qU3;iJ*6i_ zpzc1Uo#)a;)$$^|^iaFna!3hONXT@M&g$bX9|8097!T{~ZXb}am%qe{ntE<$eq;=b z$z8&Kl|Mp_kyyTf7E{79fbneq^;84!Bqv$=Hh5sTTo|=W35x^9GwbfYKPzuN+KB*D z!M=nwbptc+fa(b5rth>d1`81i(CV+{a~YYzr1H9y)@|hP|JgqD7IHuSiX@8a8V6kz zA4W~ZRN%8P4eOLet^p~nj7$w@1AjKGS!6HghlRPlhuB>FTl+St6gVvaZ8QE!o8S}i z)vAN%d4ikqgkPA-yl@O;6wFv})sKZabx(sSK)=2ioVoaO9oFr5eTnW`0Ev`mG0cudd1K(* z)0!EkYp!g)_fvnkPP`cl=RSQ~$85h#BCra)!Q4dETZ?Ut*tO`gQl6dASIY zHFtq<>l~a>9?KE08aRqFxwgOiLbz~k5RKxxi)h_DeBhH5+uC0D_3W8T?^Rse__D+X-f@AT)-wR$b>ZW%TPV=vY#`4G%QGH!~N zZ;P$EQ2y5sKsL@^JA=g=dPeR}l_D9%Qxg1j67)fQSO;F8zrDH z$)eY^gbtgu=ej*nB(vde)EeSvmf{TrTvjMr+TM zka6TWp78NYo7S;O#bvTf(#dJ=O42Vow+V4Dq-S5w)9woZVZT;4NTIz^XQls zHg|x3P!{YZIK9}mUURKn4B~i`RugW2DqQ!AGF(`;uwH{2+mNwC?uR~7YH%-D*ecSv z`Yx&4u&KieCNYXq3iZyFCD~tc78?fV*;%EI#_L)dH_<8=4<5!*}Rwx19p7S)b7a6eoeScN7pS?hL~JSWa!g{bAMZ`sYAoa;#ehjc>U zQb9Gc=3F(XWnHDWt%QQBz1_=!UqnccHDYc#;R^PBxm@-XP=HF zP(si#i4=_^7x>O(=I;Gdrjw$irI=qy%EAY)ypb9sevR*k;^#$wICIoy8A=l^2^} z>V2+ZE|F30a4F3zNWQsMZtv^4*{~6!(~u^mzx}ZtOr0<~p&eK44X5_hizdClxeI9G z`d3%mk1jIbK(~pb@hz|J)ZeKOLDu)y#Jd(kI+VNwq&IWwhfO_T=_iIBFmr9f9VhQE z>`>aM(ZJ>zUK_vQvapS@bHr742K`B5%u{BA_-46IS}0#nGKrkDcjojtP3~J7N65FT zl%!nAp0pRf_I60vhJ$Cp${ZXSF@PgP`X4pXLguMt6^fd&viaMFbmp^$U9YCtbi30u z_VpyZ;m=V{7m41KI0HKL#l75P*^TYM8H=L{U`i=UVeB02Fyp~FQ{ow3ab|N)#Ffh7 z_=&B74t4x?;5Mw9;4+cv0}^=a6TqoEu*lXR0hC#&wFv4-s1G@;u2qD=MJ1HuS@doX z+OXz*g+D?Qlh0lw+q(C7lc&&WHA;PRSyFVFUH3TLPNDhS2PhIFLuOMV+Q&};^{0ve z^L}?wc7}d>;!f}X`B2s<%8Lr_FV=Y^Fsq~DmDmbnz*2|0aub3SC~`;)@&FazJbG=4 z3?{GM$Fx>3HstRQD@W+B{$g0AhujD}HQad;ELnJ!BO8T~LZV&frr9QU3Si&$<$@)# zueeQzMG2`Azz)vk;@$P0$?JXLh&vF5^QcS2%xXoSero2>QjVV zX0LuC%XJR4+$e~;cw1&L>G?ZX^6jHSCOw7okiE(Y2)o6^l+@8nOy01XqD*_bBy;BU zS=-CjDCGA$N=c*TnoL@Nu64$6N)LsGp&m_l-=c8J!nf;Md6Dl`5@USTB3`lDV@V}D zgMdTC0JHO-U-Oa{LJt_=eNTH|3T~qxZzgI*H|mO=`JATaDQJI+p55WW6y!S`Ql?kW4x$faoq70d*easS>=Yn^1o`jS{`LA5Ah z6~Ja`R9DD6p_k-k`^cOC)ZZv(qIKvau@T1nTc4=Yi zYweOGTy65zc}tI%JMLlXJb_BZ_&cJ?M)Kq+vMjue3S^#Xd*n4&_3hh^T@~08^tGzs z7>%+N!w!w^yTMO|sFQ3mZT%R2n`D-iplwgZi(XF#_f)_;AZ0&l)g!|x!zpdEBu6mo zzj0L&l*edN;iH$QMg>h1OQDPs^aSbHYL;vzGxr*nG%OHxjuUnH*GqYksx(wJTy~f| zZ6*4kn9i$!#cs2K)IB@)C%O51>vtB~bDe$m#VJ*~l5(VjQUu8WIpV0O5G7Y=@@+67 z=$-uJPpc-G%pfqk15A%^{zCf4D+jju)**-}REJY6i@3tqpNODJ%NT?-KQ2gLM|3>f z0jG1pn)=W2^x|zB3W&;pxUkbBqzlBiXaK#hzq@$F-&x{2NW;tSVd$A;8b@?381Yx- zhf0QMlOWrXpTpQBzWaDy&U}V_-S(SMe{STuE(o|E&DT#WAlt9LkrL>{c80mhK9d{AEWQl|t{*J5f}>B$TpbyeO0R}t z6}x3N3cWQxQq4xX_}5JZi)OnYEHCtlW*o-XTPD`)U*`^2mX4VoZ;$I97S%A?V)RCG zl^&oPNbuI8-dijUkrWtCIu~9wToSO91-l;tjlUz)MxbuEP=z|mnMk3=RO^1X=Fpct zn$JHqk+uy6d`aI1{WVXbWKo~ zY+D$_s%_!zD9U8iY+_i6+dGkxV+VY*Hp&E_N zgFmX0e;CrEZ>z~#V4^mCH4;+;7Bp!54dotb*Zp+iHWdiBD)g>Rm=}Kl?`M;vs@E1c zGS<$ke6?8)hz$}e{Io-A8~tgXZnKoY(oUUs1`c_#YBsRG%hU%9m>Sy52k)7N3JZHn zQkujcBDQV#=Fw8qKg6|1n}&c**=P34vo>urlAU$;uzYmrxDac1u|XZfz(mSS}Q72Rs|Hk zj`w0#tx&BHD=NXAac(~{1!1dWF(;NW$Z35jh#ipa+PAMH&`kh8UP74x6TyKKy`IM` z38nc>z5Oj89v}W}{z^xC^m1B!DpM0A9h(maFNk{|zOY#mLMIimAn3EJVX(bl49J6R zS9i~zm(KH3XV8RFf(l#v2BHsmROo~RQ?lG)3CXT)J{bdztL?JQmpJ_CY6?%rc~a6r zW+>SLdtPc+vZh--I{!Va_K?OS`0+d`UBDwG2SLx{T`lJqrhh@qvF@S^-5mH?B><4? zLin;3(Z$hgLpI9|-Rg}ab8!gOyXTVB%6A7=+FHTjLKAxV3R*=1w}N_*Y~6El54C=b z3L;Smk@;=(p!6Ux*LX0 znGI{raeJaGkB=C_wb;pkf>R^DaMGwmCFP3> z2D`uNdAWKvH2x7y;+>Sam*D_0C-lT7Q;O*>-v9B}#emIO*U#QF{N@;1B& zNJ<9EYmGWj|I`7CB!{Uk$!_D(JECv*OU6|-xzKMgu8}HR6fK&!-3iiO*3C@DrHb5qiL-Mj(uy0 zZyb7|a+&o{xAy<@qvcjnH4DNPo7oz8!*=`$1T8aZ{D-Mj=m}Ov$$iHyv5R1gDooX` z_Yf>mBNd)4p5LKAY^Rb=7_qMtnXFSO&3T%iw5NWpO;VkFHF}wqH-)(XjVZsDQLQwt zh;?i}PFb8N*4PzsJ1;OF<f5ENzxXwxRhiAY!6| zTVVPtWum$h&LEmr{2p$RfNFP(73y^|6&or?e2|`t8f_WVrhLVzew;+!?9v0c*vk5bRNTU5UA8-SId1~r@HSo&GQoV_G|Epimc4^Ld z87ygN2Y~^|+HMD&UcWHcnhR)ttM=vaY zpw6Aw1c}lVolO8MXtbt3TmS!J-KNcoRSyq3r;^*Vz^w;aBYr&ZIQ7NxiHzP zeQZ+4DNy0?via~k-rHfZ#3zil-8W|mZxZ3)iI@tK`CvN6T2AzRtlzMb0S>RYQ}-29 zadV!v4ROPT)VAQ$Wz-Jt-P~@Zx-SQYliP(AdK}i7rmTlk;KIR^F%?>~k>r`wG0h{l zf$<}kBCVHeMzgygcY@mNZ{n$J0k=;c&F{XN+qKWfi(nY(WY|znZG5*ZHF23y_<412 z*-tylZEH82d9dt2G`EW~REs?Gjth(JzS1dM=S9yTpqEVY-|h2Tonb{MUY5nm%Vs<^ z`yTVz+nfslzJ+ba?zuHFwy#&~*MQCwKKVMjD>+(@2xs4i@Q#hK+`d{uHocLZYVu|0 z!zS^W`7HTWXO$n$vY>_&o(2%4*tu@3Hh9x}JFc(RNx5HTX(s1LH)ncj*Zb}HndHNS zjD_a&iYxRdf3M&*zp33tPDj)g8XiC;?#?uIYOPR*X9`%$l%G#TOLuYaoY^@sE$`jT zd1tw)u)v+eTLFom>8$P`>@-jLJhmBYyewxRrP;1dbxIst=~On>?emFUt#FD*>Tasxs88KWVQnGn@w-weAv+csa}P5N0tiprw?wDTgWlw$u%-~bN(`H@Yac# z?bs(JeUt|AQB~58ED_F9AJy5?H3}}mqK~t{>iJ^WH)!(vHb5m54$Nm@qNS+f34PEKOZ z;IEhmK_AF%t4Bz?HMAge4xe{ahlP2Bkbjl}5_yJ2r&{I6%_>aZ#qIbw1O>($N$k>A z&1HVsks5A#ZkDA7|C}SYAgopQWd?C;;q>#2W~^#lFqpv`{KZYIYtyU4xye|vPUY|v zexyXQj6b+Yi}9%FEFOD`yA3Ib{wQwHRWH~7W#`rKzGB}#U|e{mUYf#{D<7|6nd4r6 z*bq9^5!`D^C|x{JPpZ+6GFfYm7ehbc^dLKxD=zke^?{G(N%CBqE(T79J>F*~R^dzH zd7k1F+9G^LP9A<6vgGdeIymBJ0Pt+(t>^GMG&gDw$==xo(>Kj>Hd^lJ(z>zLmU(%H zVuFZT*|tj1;uv49UM$bkx)cnO#M;*-gU3S!nN4;!V1^=~xVl=+Lc5|wu#gom8{Bx56PpbE<- zcHyygxCM@bqWV`Z2R**yg-2pZVJXlTEhhIsH=$OS`Rpf8YMYo{S)!Y&HPo4wNBbnO zw|n*%e=PfkM0y^CyGA!zqZs8f^WL*%9|}kB`LDR#oWvhj@U|~t;(~c5L*=+M%6*oN zPgCj=au7FB!eQaoR+UOQ)lF=R=)e9fTOSefTV?MTTnlzRlo7PKFBp{TEE`OFZNb&d#4px|y>Wc6=_;M%vQw02`Zy4uSBDXt`beHsIb#E$H7K z+OyF;ZL9BkI^vx5g?WrvDrm9pRNS@|E;PGZfKlQi#%rrZtWMsY#js_6mP(cJ2v4vxmKgmA94AUl-3qF{J;~f>1g}yXuV-xHUuYGl^ zKoe%^XD`q&P?@##l?(4tes`OHS^nXdH;V)Ig&rRgT?E#?#`jYKqrxTOsa@|xy55o7 zN`BH1%Ig0ftk<}<{6zfH>Ve#($)bF<7r7<5ke2KhOwM49H8IvD-;%;5kYrieB-Bl6 zq?}ad6I8mT>)zx7@jP?BC27Olp+<8KzmIRe*Ht2i)8yx4d$(LG(9e^;Kj~w?oDQwN?9iU* zLx1)w=9Mx9f5Qvr>i!ZWQ<3kc6TnGy1_FZt$}DGZYd|GCCH?#bwb4W2Q0};N&dQbV!WKss4a|hyO`LR|)(7W7J?sh*nN1GEH zWY}6^`dXoRlN?=nBOuR$*gnCoI>g(2TzJW!o={e2b0M6wOm-^%IKheGp~`I+!<^J# z#=yy#v*p1hJX2+f$tSpir`#EP9p^<>sd?sd-du)R?VWRxli%6i7iMLh#-%MWQ`ktS z<_}m^>^$LgbGIFwLooBMa92=)XGeyhmeA%ss3MtAU5<_Vfz+g-oIH}2A~X?^y{^XlaHlo9p|S5x!pBgU_Dm|w`Rr-)^_9YZYwe`}A+3fG_CxY8E^xZ4lCx0{QqMhL*B9|pKvzw{IJM>3P zQwRfG%;E47Ywj+H<2>5=LI~YfdsIBirJ@rY7@0eqcB%FZ-MY2gA)A4AEIYGmV3W5l z&8aqBEo^QloVVut2(9qid0R1=b0Jdo*mq#Z06nrS-}ttz?C8+L@RFnY0W$wk+*+-X zakXk=%g<7y`3Y6LJ07f`IBb=t=R{MCU#WX-8ytZ+ z$l|AB1AKnj7FVl6Vh8vA<*uTYc6mi6?@tAMt7H}DJMPL}IZ2o6Qg`6ZjE%@KS3^IS zrXPH;aCDQEX;nuMFmntXALz+eC=PU7)q%UMq-kXnjiIvm%B^ca`*LZ~XiK zqFz-jeK#wz0pHnylB;W($0?NthrjKzf=k!e;5)AeO{+`Cp7>?Y^yL> zJy=zkZ>0ao7$j1BoI82**V$&^%gW$#;Smy=+8O_^a$KJ76YL|6;{++|`kGRbLl~a_ zlhwOeowbt>c&`fR8;@7)oYO7Ut)$W9(Sa5dNXbMM`0i2Rh5bQheR)2FuUewB!pX+T z29%R3zqJmW8)f`m=sg*4j@r)+%SBJAuU``aB$`L5%1Q6$joqi{aVgSz1K z*Yc^4v`INT&6F`@%PP)cddsqU$dzxB^|-5{?75f7QXBq|#mXZm`BWKzwg^OL9hbWo zoPmkfu7tzB><&KMwcH)h*l#*rm|~zEn{@)|3wG~m*8KHg zwq1?_Q8X z&NHVT75|=`wlF6$sm%T+##R021R{I4EMU9n2mk5Jw)b{}{uJYIt^1^?y9Sz zjs?iLB6(NnbQ3O%_dmHh3r#{%{hq0gs!oTM ze$3YMcK#GQ1f1)38jj^_IBwy)3nM|9wavi4v#EI>XT|p|w+%7beXr8?_m?UX7hx5w zW=A`h4N&_~H|XBsIC`HkD-y{Lm%6m7Rn$Py1Ea|^sa*aoj7rZ@t1bVtU_2N&Ot$Nk zdiAe|@SiJ6y_$UVo2H4ROiY2gskAZ-X~+^;x=LP_XNRstYqL!qqF$|{(PZ#@X@0^J zZ22AuRyynbA+ntL9`%%IetPoborABIVp;yiu7+7tx(M|a`BEs>qzJl;CaS!TLf2IOxF6aCELsRCS zf19r4*8k!a_`t?jB^FKlRnMK4(f?jOGykB@ppNOM(bV5|*)*P>Jp5jpgS42T+TeHH z8LoWz`Z^@TyDJ5f^KHnedqTkjh@;6-I$U%xt<%Hh>5CCaviBj^Z!-HL#- zteVs+O~u&B*iRmD7dJ33CsXSc(+c2bI=}VfeBO^V6cdW7l?QSnZT~Xu=OWuZraP|i zKOK=<;rT;Ebk`-E4ZB>&6aEjERQ3UYgIr|j-Rm#czohru_|r-)$8sT~<&9TgFB1PJ z(S(#-pVuwaz#55*1wU7tb4eQbe{O5EJYg);UH8yLAeO{ylIPBr(0hH0=4GJ_a#Povm}_L$9K!J}6tMWjU%>5`R60}t=(>0Z zzUYzP&pkD`FtsKmP&3;=&zyM={%O8{R(xr^UFj5DYm77Hy?*#Vu`s^U2<)Y>5o7Tw z&^OSqga{wqv$ctwuhI*Py#@OOt^Vlx5UUFuqLpDZQ?OnBc$os-Z<)L&L!P#IlId7B z&|zv{E<o9v-Tx+(vq z9js5twBhz{*be?K7T~Z5)-~L*ipt7$ zmfL?;VL$4!H*qhYt}?yXMv?+h;#Y3RF{f4@E>O=`zvcv|^%wt7!LeqJCPI2m%p)H! zbYtIi&?xDPYY^1>1EHnw^la~nswBYQ{Oq(NA_Is>~1USmm=p9SUMShDG9`pZdKU`AEa9|Tmb9VB*sIdpj7SO|yf-U+ zHl?yk7;^^%IUaq$UowGQ{x?^)bk3Nn9^wTUJ?_7uRe9iY0}2V_pvJ1T#gPyYAGME{ zIZ(TgO)pR{38}Lb7*W)1gfdf!P{KH)vE{!34u$^Y{}Trl|Gu2zQ1Hn^sr9G$pPZSX zn}i&6_y%I-0~;> z=ciL0Cp^Qjk`5~Ma3TUMweyR~TQI(Q&+E)e+ly1pd1z{CF0DBWV4rLaMw6P-51E$lz=3Cx_f73DkHd>N!MAw|x`)2+MW^p!m{ z2>k*QIdCQ=R*`o?dMX5drLx}Mhbx)sG*tM&Wvd0ux=w3d;Kv$$UzSYJzj?ws0-;8= zAGvu@TL!bI()yvdrAR)l9{6~fiBx=_OtZNe)H@+#rEbS{T<__fiAfJm{es&NZDd0i zOg9^5k#{RM_Qa!KpcN5p>-BD}xK&B&$dE{w(d=SNl)R<5(@J=wZ$0?I`6F#k_1Fnh zj1p3vYv>(eYIRn-+!uNy2)hfC*y(l%h`-sj%yjH`l{lkM!ZC$mp@>;M6c4h4)!8rr#39U5YLZhCbc1y)rARu-sx{K z10acEF_@xTc5>bi*0c=erJP<|#++Z0oDWWIoAduS4ALoo1!{}baT!5GvG)Z(Ccg0 z<>Qk%Qak~;xOFg}mVKMU!sObb=_Dgi)}HWs^?1TVQjKL;6E(AkyuBVzWbQYY4nltd-B{nL|s*HfxX+G$c}-QPk);JszE zRjRD(YwQl&iy5(4RRd?5I`Q>(Mh3Ov&rRC_u9k$ivm@&Zm8>KQ&yu;XcwMyOe7?v( z)!6o}3$bzarhHZ!U$R?yt+Z0IZlxZi;l6I=*PLKk7*r}J;c*oDy}EKoCRY z>zueO$w3~;3jayKks;RWaom7VvC1Dtf*n9$%%OjXPo$j(L^z9u&;328U6fopMQVfC`bXnc$Yma(+jiE~mJJx#6dMm){U4h7+W5!wKDn7>M_f}c5PSbr$Ox0!z z&YWKWfykdC9$E^;@Z$`n-IdT9(G^Qo>xo_OhHGu-ea$id;F8%so0Nn20MYB7?t0OK z#yQzCNeDe)J@93b(yLA$X+E2$%Vi!X^<4FUL7QBQ3#*_Y6ZV2P_vjP9r-glvj$!q` z6zAg(jMT`UHRWSdItg~(CQrT0x(VUg_)iLI?y6UWAo=8(d0v%PJR1?8)#w&~tRltb zT-oS(*0hSN*o3SmPl@iXHjf58+afM!YBNc>?$g~0KR?zQ*&;rx{1N}3tTYGAhk7lx zN3$2(;{dg7(?oUW4(+~h+I^VHeciLzK6h`9U~a#H$sFf_%Y3i>j$)4GE4GAL#d~P0 zGp9aNBZP%Clg61A$LdSp!+5LWu8vhes2L+HRB1x%vWECw61TYvcKi_AJs{4S5 znyJ9|@Y8`cf(L-?*JX++2kTXjf_{qct3}lfU#0XLO%QDTNDXOSyaUykS+pRaCuU1q zliHBwr0yv8-&NDQ)8cEZik6QvJ$Zb(Li;~!X6=6<*_=_?Ldta9)tvzx+rel-)X?NG z2s!F;TmH6WST=?Hj@=uQXjs$qF4u`M+BLITWC2&bx9G};RRlKkx!i&Blfl_hp#*&o zqOk=KftYL!!LXkW0_Q=ies$MGH*Q>C``z?T)^lOgpzkh5>m|Y*vx@X)YId}=w@7Z0 zF|24Z((V4SsRCG3l9Q|Q2#RyJ)h41AZ!o!i*!skys=8G0`F6+6ha#UTZgJ=Ry7&Er z(vX_nQ{y?=e(;b&CNrtdaux1!tx5LU{}7~#r7aG>t5oeAtCWU&b7ZRydI29ztb6^( zRB?{KVd{ zZ<>65*hN2d5hW2d9-8%^=&!g&m0jybzd!0e37q~m9P?dypO_PY9~u=C@msBObXlgM97o;D=H=Fp-E=NqT9Dvz(4S9*3Fkd7QR-?DJ8V z_=+gl-)p?50P3Utc?10+7dJ1E%VoA14uZaFX1?7Z_9yu)_xX#HvIFvzsF&w2r5;yJ z1bBJm*<>3yQ^~n2O1Fg2KC}4}aI0WCW5^y*imYW7Onm4j_d9#wiAwpnQ*6C$;QE>Im)1!yZ$uLNDU(eNSaU9h#oAioUJ+b!x}X!bI3jTY`@1E!|G z4$n`V%4_}LzopVKWDMPdnv%pri@w=B1p2mJ2@#kZ_g20(fN&-=b!K7P^SIKI_>QW z>m{9deip;q66t4%ont)`&={?L`(E6im%Ca0?t|EuvyLil4PenLhBhHS07Hm8CvV3t zjeKrtjN-f*-iOlO)9yB~gMC>Vp`+4NyD`#BtEnfjKR%g#@$Oqq3<=3Ys+Y3k|6c%6 z0IvVuXs6e^Kzgqju>ek$LtC}?I^M<78_uvXXdFM<1)u4LcQ}8H8`t{A-5WQd0EyJ0 j02eWO`ULmYksrTGyARq5m=d50*VCa40u}xX00960sm}?x literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.slow_1200mv_0c.ddb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tiscmp.slow_1200mv_0c.ddb new file mode 100644 index 0000000000000000000000000000000000000000..8de7c5e4ab061b0ec3400695e67ff2c34ac41c16 GIT binary patch literal 119010 zcmaI7WmFtp(*+8F0Ko~tJp^}m5AN;~+?~NK1ZQw}cXuZQ7~F#nFt|&w!Qt|}-~D^< z>h3c$XPs5mt4>wzUDeZHU|?WsP+;Fj7#O?vgY*4U%fi*o#>tVKot2r5nT=fB!^Ym6 zoQIv2oQ;j;{bXl-|EexWu3+PCK`v=-+ml_A?@C6#>(2@p>YP@8E`D z%H3xp#|xSM7<%_=sL7#uTN%ERvl41p8K6JEUT0LPU1!u&d!BJd{VP56*j_{RQ9Y+E zdg%jyM5E-L<2IPow`S34@`GUs-K)mkEHXYzSgY$vF{jyHg0}RNujFbjsj`O+Z@3NG zsE8G==~VspuPdvNePXnO=|~Th32a7x!e99!b@;0+40Fu-39SVsXRdh_w0GEz-+QP0 z?cxQ5X_s_%QlegZ9+x;@a#mJmVySZ;U@{l6#HK7&rfLqg!wESLta~9Gj86I0;_H32Xr-N=q3YC3e9DVTHR$|$R)u4eRwMKDLvnT6CZ@e6=190w zri+ox=PPIH2e981i}tRd+YqTg5wTd&bvI7Wc}|q8r%@@@OTmycyYzg~8H6Q*@25Y4 zB_!WK!uRMi)6t;8l+XrK#0r6x#8X++Vm?TetvN;CxXv0Vq>M9J^};xqhHYQQlYOAe zOWw-)C||2u;Spy^sy>$6^cb6Bt*qBEM=WSyp6;`sK<MfIj*POF9*yh~(1sw(U-pVWA$##!f-J z{2uxFiO4vb5d{FyC*K6hr81#+3zx>ObqMD0oLhpu3(Ky}`c?tlFI)g`2Hu~g9vO`L z>8h*%?wY3O#8ODxbEZ+M?9GcUs$vcw%KDHPLFZ9jfzT;U78HZfo{`V@jxQ_l$>Mq; zBGoH!@76kCn6UTYmsw5%kt3I@2tW#QGt%sM`+N6BVs4L=rZQs@8-U@RVa!?z)ejWQV?>DyC4-`In-FoN#xuhT`gLOUeMn zmjt>tQs)3#2G(p({z^3?PnjW7ptCy{Uf6YMmR6$gmM{WDm~eHdt+sffwK;56<9Kc6 zb%se%QG?kmV{xpvVIsWn4{f&$7JJ@x6OqOh5N*6t$4aV7mQ3qvbUJE%C>uA0pR2j) zZNo6WqQ_LP&nZ1|W4PKv50B)ZZz$=lPj$ghE@<5$k-pbsxjg0Fcq)W`q~?@pptiDj z0j~dcWW=HOrS0$c%*LOkw>Rf0f>u+CotpTeT?Y?bFTzVxZ`pr;Ypq|Q))4au3zsEM zK}fK!&~DIrR-Xz+CGbw!ln#iRWvZ?8oQa#nruXXtR!X`XMWWF0?QSX#i4K4joQQo! z_NBik9ehe4xqg~0Rp_dmG#7poCz8oOH zcVA9*FQSb>GAtZ&-}6%>&}UBpR=zOsaKTjiRj}Df>!c9izqsEf*L&$S z%|pG$LB*9dx|hJ+%5Uu&a-T5CG2eL=k*D4p@>9}NgBibuR?EsZeQ_!LRjc0(-Md_k6a37Aw%ymkvDaTphvWKcjHrDD9L}rz zQWs#*t0v|0ml7Z)X14o1YfniZ;m5__)1vbUm}&YCK?12Rg@ z8GULrA8#hjde!Tn(tqQOPB)Jpn?gIIOj9mEL=9-NaaaqG3yo-o{Aog#(z{x=hre873&Tz#&pac zLtWJ8PITi?A7`d%&M!ym?)hED~uf zF6VnL!pDb3lhd#Eyvk7CJg83zpVz`<3VZn{hF`9#5#bwgJx*3C{(=H5`a#2)r;jLh z%t6qhRN3Y~8|4m?m#%QmT#{$-GpA$7HKloAsle8*{2sd|W2sa>vKmmLGO?Ndz<0@c zpM|{6=nwJ@3McAqVN(6g=$$1cf08P?!b737?s$WFVTFDBO6Fn?4D*#=3R9>VzL?Qu zyoz%>_|H;FCRM92^9v}&Xh}HbHa(ot3E1@&E{3Bq)pM_TY|p$3UHM%VoB8PF?mX>Q zPM1}zFu}2C#;m!6Nw)>y++%<9zWt7rNIP=`rIAnF3#S3|2@tN96|4pxR%f9KmoMH> z2b!{`WkYxKJb{bk0)}MEs2us=r)l#sGC5B%b4}^0l94k@7Cyu?US2&kVgLPo9{Hw; zW#m8o4>4wJcnX1|ZX8pk(r?GHnsYH&Ky#azAhxGuvYHN2Io{?r-W~bNu>z9r9tW$; z5T&>Pw^M~Gn@C)r>F>dP_Gqg|lh%>p?M+0hHp(gVwW_KU-Yvtg-zD6#q6klHg{>y* zgChmU{YvSkh}dQ10mABlSKoz$b@pcnyI&OS;Mvk?w=_VQN+6F<;O%{+5_rql0ylF{ z{hd0Xh#W)rR`ap^960t3IyOaPbjCQ&$dOk6buF2NkrW@|NiZH^Z;Yqg3Ag} zT9`D053+FF6Ec|x=VwA!>5ptkufq;||91XbrJwcJ2(C>uteoZGL|4|V&q%;4ESex^ zD0n64Q~{Ua>}Cl6+O1KV(<-)gPIkXuS-WAre4#X~b(w4jy}mfvX1^8L5vCI37fDP=@$Em46F~yJ6?W$I_TVPn?jtyeoQ^z=cE$s39f^AvGSH_f zma;dz&WxuW1@}&qh+Pe}NC1Fm3!GOS=Q8RYY6P~e z&(>Y$o28CChbD6V$ZeXh(9Fy-k^h2HuAG%c?)0^VWRX|dEKhRZ*1$1(g~)TYL>q+7 ztN5FN_2c9Ni3T1lNz+2!f9 zw!J}-#RBMwR1Z)oSRijihB}=QhVCTzoXA1c_H7{Z@R{Fba z>W8?|4KTawTC~m%+|VRy)A28fp#j0Nd)qkbg#~$=p&0+kVkh!*HP~6X$XRWln-Ax9 zyu7(2nAZLj+x>MA+kfQbNiOr?dMR(&YZjr5=iKcO3uwokmwfpgw5qjiySU#&8@F;c zXt#IYCOyv6Wk^@|0;Y0>3Wamt_FNnknB~dO*W~Jc?{&Fby;_#oPt(8AO_>YZFZBpK zUyOMPmb~NF3Ak(1+!GA#*$qdOU3pHUP>h>?KACa(?m3B;hx_K#o9jAr?-?xwnn<4A zs->Rh4rS@$F{3fB`r~#5Y%@+e!68i($h*lVmJ?(-m&_@?+9JzMc;ns1;d338-s_8= z9vQ&EpKEUJb>e?!2_HGzFqA~k=x!r+cTsh0euyvKUbEdpyLFnBS^z-q+Q!szJB@@_ z%(98Sj?xvTvy8R%nE9R*T^GXZlH43zbNswL7NF;j$GBBTzfksm(bT0}3;Wfs1tc-- zfxcnfxyPwN5N|sbUz_{gT!$q=qneApf;$fz)Eqo!Z5}j@w<+c)OCyVEi8Tl0`WL*q zqXMr_2YXLdYZNRGho8Lf zYPAF8jZO%U#6#*5q79@EaGj0cPDwM=5RCCVI$lYs>3f8zyLO22jP^VUG8)_TG8ch; z^%ugc$oSMZ?$2~xxBRpA)~s?eTMSVaEK%c^AG9W~^InIsp z0iLXXzx3>FgYx>}4SX*6a4V}l8k#Gpj-H%mvGaPByTV#r#^T*0_^)Sv7jY>j;kqs$ zaV;M7!_x-=mw8gLhvhfXvrXs83-<1TRX3w=_g(#(TT|JdXYqKObXb$*#L+AEp#=kd zIMhdv^k#Yd>Ox-R>$J$uQFS0obq0&mV29jB5|2_m%iFER%D+DYSJ5qbsV5g4#Wv@C zw0#>-RZY?07;ro9*7AH*xDkIolDFBEDzw|}b=JF#`0YyZre=*nV|Sw-8u%xncE0|?<{;OUtcWV7tTQ8{(ipo7?rw1ff4q4m8RH{DOB?QC6QA-s z(RKZ^bTB?nt7PBaGPjp2jge>-*qUHq+t+_}f47uEb|z4Kbb6sdMjb08n|pVP;5sEA zmuwZ#qg8tF7F)E0Uhda0t~(UH(O%8_RyR3RN7~gMvFrwsdKN+|adVp|eMI+;dsB$V z&g+%z6#`RUE!8=Wcx?cF&0X&%NsZsN3ad`F+)-U6GR2Pg*<5vIw7b7qOfyb3ommVe zo#X2XI&2O}4vv()7C+k$*5Tnvvk0|%y?q{t_RS6Sz&e>l>duwfQ#>9RM?p^(i4jyI zT@O4{*q9(k(MGc*t_!uYI#b+Y_O zRC(WctR6RB^C&{omhmFSw^SK79yhw^%UH6z&TnsiIgppjVC4Pqap>ah+6(JsOn12a z)KOu+=ju_@F@@~5Td}rta#=_OJ}xm z`1|x^d(5O<_30Z^`A&M+fUei)14eT$viz&|p9-#!_~yr|#@=F=jnVJ5Rh5ce-fm3y zG&vytJ!_sd47=AawTbKdq#2h6-5;h4q@iP`BcX9;RMI(s+UM=yoZV40EAt)aSIB~& z*Y(7utB9U{s?WI|U*aO}F1GZnn{qM(M9?t6ZLH!dC{!uI$c7B#H zs$a-!5cR`scReke%GZIHz6hKMJyr$0oci!t%raQGbXD!nIBAkG=ja2^R3Yd|d4bNI z&pvG&dq#o6RQgULyym90H7pCQufsG;r14w}@Jq$3hFqD|cDwDL<&F~ly%v2~d9E5+$Xk{med)h)6}npQ3lN}6 zQv$|cq1-ifjIL33I2&;BR1lCDmF0PRFDj%Bzqys1LZ7)BmkiU!mY_X?*G(SU6Bh&A z!_cuqT)%(he2>|RyG_36sXOTU%BKFj&@WtZ;&w$*I$KO3Fu_;N=Jux+ehHhN%^$@9#BSOsT)VksL`b!Uv8GK6Yz13j0Yn5Dv zYUG{#o!G#}H$^)4?yv3;M=P0OxAU}mw051pEY|g**NaEKqu%rdqtLX!{OtPOG(#DenBwSUN!S#ZZ>oGv%Pa8eXNgn7uwmi|ORL7o7|S@V&~U7m zk)!*Tfa~Fr@(508clJ=cDnms(_ytt9tJ}8D7~b;`?|1%k($tV+C7B}q+B%GO<&1^Pnp zY7<{>+v^maa0@3orsuDU2hP*#@~$G*>@Ee^I~C7W-3ttC5BRPedfvX59Gpg@rr@E2 zrDC7<@x2$mW|^~HK?OKmOkdf;ae2BM8t$)uKH=gK_A2bNIFXk6d4`i=Bu8iW6+WMK z3hnJ6GG{$(!uN!cxjgq@ty^d(2Ie@ithCh|00M7rBk8HhxO~iAWa+@A@eKl;$PF#ArW^>ILM^D595>RQ|6K3D~zccop zIw51FRR@ffr?RHK;+@v%Rm%-Y$taOKe$8C3wS=oVn%E?=URTjpVFNC;bs-_PSMrWM zweS%UM=l*^XUImzbRIM(N_hCjOHP=5DcZGffh8|3FRL1QcS8DSugo@8V`ngudm}<* zH$2*c7bxm@ch5yIjU~^}Id9b|x8W(#)O}r`dR3BY#?e{RtG-jeW7{?Q<0OZ^O~_gQ z0eUrT7C&qO*H)NY- z?KM7CevH*-E1p)}*;_twO09x;)~ua!;YhUR^DL^wD#RujJ1u^T^S?8Bcv@ay7vfPj zKk``;VhPl}H1viJDUXma`%>vg=XK~$PEuhAYQB2TO}Q?b#ieo7G2rfztn8;FyrAs zwMmdJ@M&Jr_5OF?I0V|wJnyzNeC=H;4yhSLa-4bLUt!-0=ydU<& z)QyqKCvU`KYRtD+VyVmPw`3n^x`dYel_k)*Z|$W!dXIGAJihPkth@T+Ktao&#Xhj5 z`vMrUOLAo4+P0&~*#4@*bv}*Cr@C=f=dmk9LrmcWac-HYgBZUY6tt--37iHA?50Eu zG2KC|x}QCAq}g+QUtQia^|Udckz?SCW#e6V_^4;x26?mLKt=^9h@UvB0$#GHgtKp|``?u^V?V0`$$HMO2$|Mm}R%&q*?V`>~!onPqn7 zb?e#-#se~&`9&xTGP>{*7#J8FH^n6H-XEbi{!az_U^A88h5aw(;7DajIf zg4Djg=AssJ^9V`*_|W=rKOVl+r4YaiSq$uT-nZ=t~8t1u#NO8Y+9zoYVlvj89zH`f_eIAe=qr|(I z4&~ggEBKYh`7>QcG7*&;7euB2=gS6^=pkilROVVP*9yj52Z;-&{j;q?r#s ztn-2k?cZtVorky&D``t3apxUkx$D(e>##SIsHZMQ&3`Pe_Cr}xe3@Jt{7gnsnuLQ6 zmiTs@UkHR6d+WL{)UWecHg@%7fAu5x6@Cuc+2)}fw{DGz1IF6GsDs& zx}=62Mc`!j(d&~a-$@+5e>ru5WXSrEUI^6?Y*n`oF`K>DWsr9sy{VIX#sgvI^=?1n zLD8#7AEd^0%oKZfYtn3Q1i0YG`a1U%xU-vik-gE zt#?jWK$WbFz=BrC%zr>tnvvn&HRIUz8OWM3uWf}xIP_e6AD;nkq1{c6@^Mq5MNCfrB&_E@~w1ANP!AU1{m=U4E@+fMd$M zB>AB{-2t;kSRT2YWv2_-PgJgg=et;t?VN9lJHtx?%%-H!b2sWFQvx zpvf?0)xxk6Cx}!-*T7DjVH2`qqCr*Y@WI(w4aYaoyy^^=D8$cZL@oK^r#BU>GZ<3- zrzNFtE|SiR51z#|RMwZ(qg#j5LOj>?CgZB64iBs#54;bTEz`6<8rWY_{sqeVpc|CBq zet~IAiv#a5a8B$moE@w3@U_xcRgx#?Cr>%5>IN3-40j{)+Uzg5g7ihzbhA}!Dc%GZ z%wNCI-QsB{F2Bb}=y$sya8PR5wpT?)vl5sR)gr1}oTI?RBNVu3Kk5V?-12~3ql1Yq zSnDqkvM`Q)PXnY${AaepYi2zo9iReGa{pD&ffuB?zIJhl=57?wbqI%0`>^W_l;zaB zhXBW;UvwdiNYVw#l_qmPEQo*(?O^bAI+?lb3EizVvAB4S5xQ%t(KclMBXy|QLRb$f zLCZAF!IxLyiHW*CR96LI-dpo~7`f+1aq1uX_y_U7p2(jM)+)Aq{i8<#|1*-hivsqS98aZGnk9dDL{JuBg+CB!?&p-R9^1sI6og=c1f|Qd z0<6njvN?X4^X3=D^a!Q>?mvWEXNASUz`|0fw&VHc9{ic`B+v(n~o3znc;g=e-djb_3 z@;F1E;$cLI$6zYa5jmHOV!J&>$RcYw0@{+ycbNF*qLk+jt`Op=Ae%!*bW zE}5sW_}_#%V2Z!iUDFQ~@De?N;3AOT! zcwZUG`G-dI>(zEX6i5ZK9^#er`VxJThK~1 zVW^jSdwOh3Y}ez-o_oW%3zveEU^Wa?#U zJR__b?~w8Cwqn6GIWilLz}5(*)n7ygHt}I3L&@C;I>aHQT_cXwq(AAdGx-8krNrj3 z1m<9LI7nV)k}j~&$pFk#>=4L2ZN%7rZTJO>j}7^Jl{daaMO@6XzgTZd2v-~14v%T* zN|c@E@EHiK{)~|iTr+v|FK&tW-B4(`-#eXTUH&GF(P^`B^r`pt1HV=NXHoYbi|}i0 z)FxsA_d-WO=b5!e-}(0dZLLNHU2x^8pl`6hkULS7Gmj5fWjcz8n#asRAkTkCdf9 z2;AM~@!b{Y@5{7Cn-16}?u{Z=0of+Wt^adP{Jt#Mnd!bWzwuqYe~oJeB%;7WAFa>+ zgA`u#rZHbmLv1F`#GD81QB1o-GFK6h(QAO1#70CE86CuVKKD7xES%2ifRkOl>VxZ+cG4qeU)sN3EOmH(j)*(JF+Txrv=DBXy*H3itsB z;t3lr>>$&mhDaalqs^Hvke?BW|MWYYQ;H+V5E!U?W=0^}Sg~|`;=Q?h63m35joqOl zknv|-`nc@5{z!}Go;Wp1D1{6dzSkBJH|cdGWI^KEZ~QKC{_kUoN%gzCed?rLtu*_n zpg^8XE-8R+?U`uvA!_tT13a)~EG8$MSfTqm-U-!33WKdKU;JEy3#mU|R{-&IPM_j& zHNhtp50^z$xIvdr9S-4q(WBw97a2b8W??mmZ9Hxjg3bFhLPBW(o`CD5PWEomE<%n? zhb5zIREv6q823_a^$#^%wuJo*i-J61MAM3N(D4$g`3$X?t~I{QLWa7^2dcC}541Du zfo@)*)Mn4lU+23Nadv1|?mZve=S2I+h2U=C)&dH|E)yQLLYm)`8rw`#ifMjbJ%fpK zgUM%Ugk7xpQzUrG(#X9RaZ+1Cv;1(hYty^s_@k?MvwRx62Mf&)^(Auv=$byE+ll6j zeMGR4ZXhPfa|@&8%_>J)v6;Yw@xy-IL0g%)nZwA-idU$IzdYx?sCE>9D&yUBA z&d6XRG)>eSi!_UbZwQZ#E{z@&YT>1lg1OL8HeOkQl9;mzLLp=T;w#$9OF6qS4{*V0JqSnc zoH8~4bG|d-`<4;NNK13H(?&_a24QVk=K4Fw18d zX2%7Y=Ccsd2$&_tHsBwQ|4)Ev{?hxZ4L6+5 zm|&wb4JADA%m2>`VT8v-ZN}PZS29%4g;9kkVP)VazTQORD`x%%vYpncFz*ImMi(Zb z6wbn{_~8}=zTV#wiJpM9`9u>582~w=^A8V3QQ-g0zOm~ z0@2iJfnZ(u{%TV=zt4tck*v8nL$gQ)=$|4?elQTYkZ!!f15Zg51bs^Hd{NIKHzd7)u@9?CrDzVss%<&HK%_a6AM#BXQ)Ys zJFR2*Ndzydf5#h*wDtgC?U3>=&Ps0o^XSVPMgUGO^|Y)Hw4mCK`Lo)sr>}V8y z=DjN!vkX0(7yU0#*2>}09pdEjr+{+Ss8UovyNs_DVG4fsnmV!Y7!ZO9_c(e}?1y-VHh~We_`gkg>xOlV#&)Nik8|Rc|wd z=k4G4C;8VviCgU?nX2|^cvVA{nD3w}ncV5iIg0mXsvZai|>qV5&U1j?xVBq5`` zt06^yvCvBI`dv7H{g;hqGR|TBT$=;`EEIEGZQ&&$-&T+JBhY1M(nMCXdjmro?b! zKTSG}4!{&XCw59mX+74@{d%m`ss3fAv=A|*W0+|4e7#234-w3oU29|CF?UslBSw-t z909#o5-!67Fux5+qUM!h6yVH&2qG0Q{V{)5BflK-qMH6>##Q1CnA!3xHYhXu>I~Ue zU!wkVDYtDzzu;~0DM#t&38x_G9h?}GqS*{gX~S)@%9uAcJ?yWjo-p{8RV1;J-$Osp zU>>d1r55XvQ}RnD+M$&2*#bkHMxrW?yxgh%0x1AMPI6I;s0i~OIu`uLsL$W~pjZfg#^cE&ML zP^3!O_6_T$VFZ2i=9!5K&OS@P|rEe*mCR;5#; zx=#Z-chu0cVh@3)upc^}&Sd6cbxN|N=f0~e;w=`YDz)6`gZX2M+$NdqFJ<;1Y`r{V z4`#U-q%35CLl;vtDDjcZ_+P8f4LJ6)$7Ov#7gF1w+NbSeFy2uAvlecgCG-Ga<7B6f0&#cKwuS4pO`!~{ zK^ACHc>|yqYZkEWfCzdXa;JVl>Z}H0x_hxkKYXe-kpoNoZqEO;$cPsC5a|Ol z+d!&*eNdWE{>vHh5cvsFP+0Q(uOI~ZZ zg+(^V)`_?71r6v=H=ZSTjA=k%6gaZ71NOMTSUe~H8;z5L4UHS0WlkcHyx*r<;&^cg`I+XqTU{61|UU7dj;Z;hq72;bd zYThCCWM3loWn6jt{L6$IGc_(e;y7pPE9o>eguDfanT&>`TOR3j=YP-?)MEXjGj;rSCUj8esa|Q)v-iQ^_X6 z2HjctsAn2bc!qtA?X&=VkoDf@J(6}70zQ1wMUf3vFYRI+p#4HDF^@kHIa8OO7msyb z?8CCKdU17zBg9M2Eqc*Wlxav}u=qa$&LEwU6u(MV1Ct^OBt|HTerTJTOkEJJ6E7&1Ruv3t-v z$-yC5FJFH^z{D%I`5!)vWX8n*{@l6S8ROE*|Hd->eLQq$N%_}Kik>*YIlzsgK`)!- z-wImQXSGoe#t)t=Ep)Dwh9_n_oJFFaBknmeZCMdkkUB1D%6k=80E?Q+YpZ3i?voTt zgXsz#Za8Kpn0g{UVeFnasN&3aRsqz-F?1f;d9xD9s$D_h_!qy~e2@qzf~njq)~AcY zfDki?26webRZ3jTHWf+ z39fj%`Ju-&AF}h!$qYjzjwoA{bhl4motECq>B?M+DQD`i?AQggGQ};_vUSX6uJ0d- zSmEe1C#8@wQ2|`UsRhQ)D{-~D%}ohD*y8_hEds&(ylY108Lm>aUUV&iln+v#Jtecf z@xA(iG>VJmE=5FPtw~gH?HWYIhVUXT#UA1=R^9-8^`vP9v84Sy;EhF#Y)aV5BZt3g zUnGa%i*xs&qJJf%U_SitAMmsgO+&BvsLPH53Tjtm4kiCjDV@?WabCH6HSHuZex%W zVR)LfbhGb~?QcIDC$OcVH?$d%rVM`(C*_zpdA-7H{~U`a@<3&B{bE`IJ(CxMk5|Wg zL~IH_I;A2NMDDQ&P)Cy7N1><*jMja!_*LAhb-W=XI1*9dLmhs#x`?(_=5jJ%vs-d9D4koi@z?Ew(fa)m*4cPUJJ#8d z#6m>jPtfg&qhR5X&fO8|_@n0I&B;BiaHZvm;KF(J_Kx&>IR3a&SY;O+;KpoMk9;EU zS9;dMA{TjQTrr`6f`Xazc_v6kc0bFJ z5q{d7lod&Zz8Y4Tg9(H+#tZu~XyZQrPa`&r1d905=5K_d}1{kofH4h6(&qqqSY*T#d%X zdmh$I&NnXVzpQwV>SNBpex@;2DJGH-eQN8y6S5Z>m3A?Xoi8bbrIP447IP8!l<;P$ zHuf(hax+}@ogazf)tU{pz;p!)OC!N{7az0g*rF||E7BkLeE=s!w$nJa2QW1`IG*pZG)h_*@6nk|keMD4vN)L)YDbMVOESN(1oX1a&s$p!l zNBB+FX2?mIAI;iqP^Bp6c_q~U#4vt})aBHrYL?aVMK=&Ywmb`soG-B>BP63J?5iyHHVZ_Miu*n}w5p`F>Y% z#t2p-NTER4MYz~x-OG&Of|=nDR}>X5IfGjT$c;>%v4Y)6(cI}7(_mP?xOM%1yRD~B zhhn+UT(tuC?-kJPr&S7l6qb}a}3|BkJ<)A6iWn?9HHchIzm%SXTCUn zgJ{H7l1iL7=t_SZh>mxMyg>FxxdUl`|J|I&S+My-kS_!$pn$5jRF`#X3>oX z{1B>$knKeGF`Eu2xQ_?S>lwvF-Dt(ig_5j7Ox;b;@R^dA^G`+dJh)}gI>gV2XLzha z89h>!j+@2B$I~Sl2Bh?pNbK9tY8kl2Ckj&z2J`G@0rluLz62=0rk>>)wLGFcHb=B4 z(j|u(`7LMDiCV1c=iv~!2h4CM71R#Q`G|Es>G{^G2q4lPR5QY)tCgjE)B`c<5pb<$ zo|<$yOcaiiPZcGJ!6P{oz~?pmt|HEpdoNFwuJP6iQO*9&xoGmcAxt&@`$%Ou%N8B0 z%eg;%6A!mk3zxO^|GKpi#(U%pGp<(G8F8y24EqOyag{Pj?h0FMOmWZdk(jrQIOTU> z@nyNZJZ%X>+{QSi9+(co9tSN2{wX5WJ zbd~S-;}r6zAUd`tj?{d!W-fv)txENmrKtaHK7Knvf1R$#f93i7^GBZH)X4ofiR2#y z5;;=(Y)QKtF7Cf-bT+sS1#X=rm&k>3p5~17T%eL%K2$U{^d%g`mKuvBY`LmZx7XvB zFN1|<%(1QU(E_>lZV}a^rmbSceZ{S6E{h|@@X*|nRkVd6`RJrBbZ~X{K?^`4Elss6 z8iO1q4HpRvNZ`^1am$<(4c^FZP5kHzIpYd1LcRKyKonh>Yu%!}aFiLclUAQjcea-n zCh~3!2jHpTV+p(L&G-a*WTO-`gJ_)pkJc>9g3MoQJ3HR%rBd1fZgmStTtJ1rrf*_G z7LW9z`S$k=z9&>YTWZ@sEOt9>pW@00{n{@mKayQxHypxXDJ9F2Yorl2HGXfRo4JhQ zb43l75{yP8{LP7=XiXTB?A1Fjz4TsK%!Km&mhmzyB-4B^+jahZ_}M507IkQPf9z9A zSj-I+180E%`Sys$A+07^RSwB3mM9D7q3bfOk?`rC{*7c+nEuV4F0S`HFEq%A}f(AKryrIGq#Ed9PGSU zqYhGutWF`x_7y#7S^Q2(zWn3fl~RN-#D)i7!+gajj&mFf`sl>lU;xGLO zG2-WdAe)H=<`LJ<_>`(aPN#AoY1XB7xN;2q%<6BC5d)%??{DY$Sy0g@S>5pKmI_?* zWC50c3xpqASN6AOgcE1?dyllZQQubjxpBi*GB5NrB;mXB*D7!w{^L5w()(J7Y7sNo zD0`mNYmnwNoX=C#IAuucO0;U%x?GE8VSYWx9m%188dfR9#^HF3d|{!J(NmZ{v_!e)JQGV!?;4A4NO z!w{Ripr_7Uy4hS(De1XFTAw_{v@o}nVa(#~i2CUH#s^eX1-rsxTBCa z8R$zBv}QD38|Z)JDG{49LfaJjOr}9h05ced&LjJw>tq{A`JFB(!EK(gs&x2PPq{0R z8{*NJJ|jP`#r3NeG`4XfQ7 z;jO)v$u0?Gwl0;vA{RxVD&kl*PkPjwuBnJpYOkPsNPG&Y9&EamDB9I16w??e4g2xAv;jU-g zj0O`tLZ?*pYCXtX^QR;>0ydPaQfik);2#Fw1Dxav(uv1F!aZ{`tWo-k;A|cVRFX&yn=wNnK#S=&Kj>1)L0gjOH&DUhvJo04o7%XG z`5=N${(xelXeD#(zxS@#At%fRIwgKzVIqOxsPIBK_P?_*euQTmTflJLDLN=@0*-(6 zoBeGZvL*j)o=50)O_FW zB7Tx0Z9UNM3Ny?uCSJwhcp`?J!B6RTJHyo#^Vi9fnT%jr?$zS~T|7oFB4XyT z`m?m010^L~^&L|0v<(Tf9kgkrJqD$}VHmel#B}kO?)-5TLf2I}a4|=NbPnsMD#JSX z!vejY@qa2T*OH6I@75wIWpTy+N|Wr!B>z~^%mHP~tx%-X{p}TBoPs^(3#hu$%=5@5 zaslQvEH3kIa4dQ?!GG-?A61xJ032p}P&VMk^4AjmA5gi`TZE^pCy*ew`VRWjFOk** zbG{BkTrP#E&*fYD%{H~BI^l!pJPe+6K47`gTPCKfx4iyn+UbgPG2maE_3Vm51(1P{ zzEXqUANwxLTZ02qiU8<`p*!mDFfZNr-pt|CB+?q;-Pp}+Q|n1O?paj~xnr<46JF|P zD}~O!XN}Vttm`Z)Otvyp>PSA#i3(OS`8O5pgR>40z`0w(({v&fho5?%U4J;6eqY16 z_lTSFr|X2BK2C}4bcF3ekkMc^vet;4w!B@b&Bp${W+79I1j+R;+JVL@e&FWA)CONtOCUg{jg<7L+MePS!XZO<@ip=W>6*yYT>r5f5i0GUS?R z{GfQ+0sI#C2}9$e8aZxun)$ez7%5)Q_MtuL-oJOg(x)UKUNZbzGGU zW6qA0XXuS!nHbH(@k=|*?jWh;Z%-Oz2`v_wI!JZo@Q^BT%JaF93#KfP3}2BxQWv3f z(uPLJh6yFS|EQF;K)CQXGOqVl`N#sSyv?bkkkov7r-NDWvg?~N8~xBB7^+z+%vJ(z z*8(euvY2Y985dBd zeGBn>8GSK-DpLiGl(397W|&nR=7|@^!!o`#9)r5R+dUi7FPgJf8=HEy8Pg!^u`>U9 zEUMek?>*O^f7S?tev|b?QH&Qlhkr@5r)^9?h;eCYgIh20dYzWma5$DbE!2g1ZC~aF z%%q0(^?w!TX+j{HPj+))xF23aA}|erWO(IwZ{2m$=hLpTz{dZqLU0H38xvomuf@aE z^mlWCe~kUOAFLTI7w0D?Ts;L?Eo5xuR-#P?>2!yUZR?p!Kjnh0nn<%3ex5`cBUg!O zBpH&(`0^PwLwmTnCePByDm)Jc;)Tg`>}>^h)kSQW+|ax7baJ@!_y@Iy-nozKmQ+5n z36nY-bte1OnzMue>T1Fng5#izD1gGm zEoeLi_=|IYU0J&JQx7|ESQT*vI6#Gj#DKhbJ zZW{Rfpm#%xa`HdTX>?1od&gak*5VSQ;pRsEr11=n@L}K#8~es{JMmaU+L|p)dF)Eu z8ey68;-or)6Ki(!Q1Vn*Hg~$;KztXfs<0TFV7EHVmV^P`7O!!{ZjTL8l5hPlT=AX~ zH;DAjYeiBFUr`HuZ1@Vu9sW@r*+9%;0-VxS80Xl}a3}X2riSfz(m@6}RJ57aLNcw_ zShQwml&%fnaFclySVHSWu;9)|L2iD`Sm+N!UBF9yQ*E`^|2FJ=cuk4?9B2%jn&Z73 z`zmpkD08E-Krzj+^V0eRENc$lT_5(5Wj#g#xRdMkuYG7vf;e!lLl#7k1OY&iMPCnoEmLuTv>Ka;_eWP92-`|LkLYureuws|TN^?gTQR z($VW@w&vpIf5Y6&N3KIoBD`qz@TTK z?wf|XV=xtg>{-USCBnFNEVbY{O6tH*EEN%(^OR$=d6k!GQ_FP%>)%flUfefqMi9)p z0dBd4&CQ4SIg$H7?~V<={R}N6)@0JS_DH?Cb@X8l=ljY_(11@5BW**ZvkC0lXimJq zB*3yUOBQjIGCc5Cv`~m~-Zr2GKf!yDu4%ue>EDW}Fq_B8TvgFrDz!TGuVc8;Y zv^4)0Tv+{6$wJlYV~l%7CfyLOO$xCJY=G7LV@zH6|7F0YNFW5JJIEFK-+ICuCDRBu25gUVN!w+{jo zhd}u@Utn%=6tDqe1B->MY3>9@O)!uCuKu1g3=FnOIp`RtbI0ON9nkMn#kEA`QHrM@ zr{ns;`TuNSCeu>M;&$jTYHc&VZB29S`8N{`6E}|<+glw;>)J12m+|BCu*2JEA|*3g z!kqTypCc zYIP1VbhWm}@guH>viFxSn9UZDm`dy&zA~{*5G2mYyqY5-$~7^*DA4t*NC|?c-k^dH zJ$x}WdCbv|BZ>U7(Q(0^Z1X9ggWCcsAG$!NiqOSaHz&m`(@iO~0)ti^>66V%rCGi* zGk!{H(4||rptEQ0B%yD+PDF~&W(26@@Jq=gV`w3{?iSg&8+iKEI{Q*J_ZPG+Abjx> zL64HUqL*4LQM~nzdWEez??Gqhb^r5%S>rj@hINo@o;~#MN(~a?bm|SioR(=mHEIYP zQ?zsDEzv#eOiI={A8`fJC184cRC4AqO}sf-!SF!NRg|6Xn@d(iF9R#1;KdyN>3iDApz4=CnS zZM+HnIqnbTIkxBEHwAK5u8WfT7okDvWiOFiN~Jq{-R3O?len{cF(Chh(z3&`Mk{z; zPDYhy#g|F`=s;O}A4K~eR#gK25a=eTpXVB*3<4*yBfiE-G5!+?Fq|2z-ytX9${kgxB!Tq8McTtkxJYA5$|r zEV!Gb@*s%abq-~=3&<`v5|`Z@Q`R>7L2@HIrY+o}M!4G%u#8>*AgxSDCkf&0dg1X+ zBZcpV=0T$D+kK|6dkN$Wb_%ff_*%f{`!3SskcsfnpXCr$^*5uL;kWx=JP^|N`})$^ zTIuUVe!{4iwn;qspOoq~WNxzrc+f4_l02oJD>GPBqDw!v@#9)dg0k4i)5Jxzz}B%x zZ$Thd>d(*95QJD+J-I>Z$zxD~+(=(0(>MHq@B1lqFS=cFxw@Sl4{|Zn*cwZRoQONKkb|A*mWQ8B&Bd&=HN%q_cEyh;?A#&dTf&)mg zW`Ag)pwFOh&!4$yM11x9Na+C|=R3&64*7BOOPpO-s_H5xj&|TN)8$C!HjraqD~ZPp zF{q%j;EI~DUCL?1W|V2X!V&nHVB2m~1ve$3;s)@R<2sjGK7n7nrR81k&|t2j;Km-^ z@Y5E2yoFL19ZBbr3*7l}e13KUGD=AOgA}F9=-QbL?q_Q9F`1%MJGS=x|?me_;Jig<}Lb8mdM0t*$T(p2XHoSP`qIj0%#vJNUv8j6wam5f9c!|W~s3{O{< z0_?gh1f93EaRiRKQ*r%^+-+iK`~*Ce6y|108zJ;0pKw&ZpcbcS>I&{xV6=rUJv!DB zd2J|Ke0nZ&7ymA;G);WKniAE+w!y5>+@0nw2 zDu~4C)b8_voCt{OQUU90#RVpWM-g#hIh)~(%o~GhN#1>^7Ppb594UlI=B^02Mv5bHI>Sm6R{aF2nPKphtalU&MMY6Q7$8* zWIE8ih^(&jH+~nQ*vriP>)EITV;|bAZsGag$ueSL)tD$|ot3`eu#Ji@)IabnVK|^7 zKi#03zi-K!`jXeHR~;&JYqR*bj`g z_`1wr6qN}M;Mm#3n3;tIJ{N>qxm?Uc7c%<%iib@!*eBK4W{R?OQ+yZ;g3>G!hwBIU zCq({4oW%@x>etvGC2tmj*|8r}hmo-jjlo~D6#i66LPGUpM0xUgbR-Xiko-7utmL*Z zz6fe?k&U>S+6X=`jh)Klkf&Gqb+)CJs2o?lD?@{bam=dCA_BmA7`C*L{kdILGTgW( z>8!yo%CoehB18jn6uMayqiz*@GFA2PhxW?{mme&&*Afxj!dsKJPEvd4qWaomw{*rB zsQJrcCw&`-9b_tigpK4Kg=PDwpA>|Io>&W=f*F%Zl=dV~QCRO_GAMJ`j{TQwlsi#3Gv19=?BA2>a z_zbJ22;UzbL21#dg%a-f9YXBjGYrVPNKZc;WMfQN5bjz3$`_5dsGogY-6#Ergg=+} zWyI*R1BsIQ?hf=;e2BLUwhA2=KnpF2NTtQMF?T_&vo@RACB!(u4Rt9Px6NZviKY~*Rhg~rh1s2M!j(ts zKg49-+gt-e*|>cK-p$4$2xnlT74*gidUHc%4^?nwV~iF-LH5v06Yp-pA1KFws3q}O z%Vsrf(GJK~n**+d3oG{3;%ouBV%GFU6wFZe_h-hqCIsQ^6`}92<+Luz^+^7F(h|h~ zM?QuQmo2Lo!ISFSLp#72*}q}7C8#p9A2GJH!9=iaT}^XAmDBSprR2>9n11c!>#aU} zN({EfD3$COprbjiPD%Hlj17Otx=~^!+teWEj`tfwaN4L1CJ1O{eaj)GzO$&WG0zUn z{&6$Odl56FUHJUI(7^&WfaK1ql?g9ye{}u_f1uP<7T1E*6^4TEH3++OhsxwRE2;sy zl%bNj;T1;;~yQZ4ZE^%o!i?{QJ5;eABw_`^jUOd>?-=t?6AW z9{e1R$`UnrUaRfOtf~a0C(WkZSz1Er3Js&&@7zfS>@~HBZfMjbF3ZriC7j-4U&(W- zHk*=J?`|=nFhz8&llQd09fXEiw|XMEeQ2ofXxu+|C)L)P6thh?MAOhczaU;($1Cyzb+9iWJQE%|X0x{GS3!K*Iwr3K=<&lcIIx#jNwEh?X8 zrZ&zd8VJ3yN29V=CJj;VIl$aq)lx@UxbP}33sFu9%<7&Th6V{9Kb5G?QvwFuknYQs z1O#uIvH!vlQ+Gpx2K+6rtXpDNDqQfJO6gyl*c9;nmyItvj@%U^?Jz5R*zD&1FX89I zF{c|^hp<#^Ul-o1_k20OL)q$i>vu;h=*ejK{tlMol?g%TtvIg%Q9T_U%!O!+QCr4p zz`mL)giKup9%jl?`Zb+lnTtmML)A-|13ye2&-@EU)5h^^{>6Gmy5e>3y)Tlgz0t)Q4J4*?NeKKISYsi!cYe=4 zTK3vo)>@_IeKnWxvsQfM#vtl&XNNcXlo)HFjRfUh7Y!F&#TwlthVlR{G{&?-+^?kc z%SPXhYOey_#L=qGpQ_Fuu9GaJ5Q-gQZsYq%JX8!TL?3sxE~kKdA9(e8AtXZ(wMnB2 zKU<|}8<_b9H%Y}?Lq#FCaXn813uyr7H7?VdZO`dnh-|t&sR-hXv6EsauU>j2z7hFu zcBWGYi#Ue5$LrP8 z1}T@3J`dS6+5qrCB{gR8e*s|i7>TPrnIx>5T|1n`m)q+RqZufT9}Q0Bq&rrSZ)*M^ zJN&!q>KAj&kQN;bN4xUyYR!;BY26HGQac}52XOd>8lkrW zqypwr;*Y+_H(?i&gzIxQhWb$&gxIhwU{l8yw$zf;)6?9&b)fAyEovKjb^>wYn=18<4N5ewNhKx6b5J3ZG9>J$xG(8@Vdm^YVsJAC#5nT$WB=t&fYjz% zdiaHAX(S0xkt;FHdT2BCfRJco$M|#s7cVE@TI$< z^>s=hmDN}DXfqG2#5)k>9T$|X-&&!CDeCK=8qPfe#sAR#Wr zEnNiIB(ya}Mpn@8WnM(aJJ`z4<&Kbm%VOglNaejT3YQhN>5GG=7gFj|rb_F#A#XS z^d;>#AnjPb*hLLJ{#v*X6^t!Y)q=WZ6JWsh4Llq_SpXxENS^2|(P6hNV(=CQgfP;R ztiP8d;b(!@-97c;FLI=iM6}@~(jzs7uo5?%Iy@7&{4Q-MuiTdC(9NL>&Ow>mkJ1KN zy+K>1c5bLbVK&R(wy>v@=AqUYwL1KpX%r}i)0J{jFs*hYqn z!~83k$$prCnTqYON#dzlG{>GRWnD?(KiS|#tg`5E_~b>~Qvpj^v-nVm0ul_af#HH_%P_zqF!_pO<;kBIE6q=LLq0mut4K6g%TRSuY;`KV z^8*K~B9!dd{;zd9(7vpKv^Jl@7CQ7!O3_WpKYEhDX*G&V+y;^H*z;Elw5f=4Du{G> zOz?H|;{d#@b!`2R3TW?eC1`wD-SFQO@msI!FhHLo*VZnBh&~@JJM`xyYd1+siMjZ{ zY=S|+c~u+Efs-y5do(R2op zD=}*{336S3<_MgqC$zs)K=GGr(!qb<({C<`#+@lO&yC`xBPgME<*a{kMvP_w*Qf4< zsH*~f*TC~O^e4DU0x#r;H<7xx)(j-C+MZ}T7+EfQ7zSZ2Vp}^kkH$ap1akglU#a2p+NJJekGzB~vu1D2iL4xN`_&lm;({qN~!|&fpwU9j^Xy z0Wa*GS~i?>991IxiHw2AfWW%pS!&sR(L>~()Nn5x)H(qhssDg0K9Gh30UEc3SVPP#D{ zoM0Fdo|tTkV|JtcSpk8HO{ixf$tNvw%0P*`zJ5`2tLbN&c=9~YEm9*fM5{U{`cXR4o9SF)S@%6hNLu1W~#bM2xu|**KPGKYXwE%IX zEY=~q^OE-cL&>f z#bOGCVfD`CW7Jth2nyGDM~~vHEh7nDh}ll&zHy^JT+;;5#-eBY{vK2pG&eg$b6Q0cj`AY6vSX0$C3&x`9{us>Ksn^< zYiE)aJCu82>iJTx{|UW*OF*L@APzK;cHgqa_x|zbGN6#^$4-6ca~lDVo>gSE}+&QtncVpGC%Sm|;5L z;H_s0P@}2a^RPx~l@Al!e|BiW^bHUQ(_YN_`<-BcfZXMlYXkzrwC)x$8W};j!U;=P z>-UyIyRS^*i!7Yh%Ll2+Uv=oaTDqBK)-7M4$x`ArIL|f=U-Q24$dN`~$N9F*Q6*n9 zw1-yE2Tm6{;280cy_I{cJWSO__`S-6iWE z=lX9amsK&6UXg$CP-l?Ft)DZ{)G`;W{Vq}Yk!Qy({h*=@^zT>tH~0ddBKL@jo5x6s z`dK;DPiACp@>=!F>-Tg!77q`BEyn6&%o{wRng(Gjzez+|$Qh_d%9Nq_`%!O4$B9HQ zzVRB*b!7}#%Zo;-A$vUg}T`);sm!{YFW!4P;8FS_^$|A3KJWcwq{$ zieM{uGB5d-oOfJxiSn%Z+F=?jd)FUGP#m)$*eem;3Zh>|x0K)y*l|eUFFl!Unm?fQ zZfNVrFRO*z@S1#5V3X9{_@%$`=qc98l7oX-QQ+^$?`=($|5Pi+8_|1+;rl7rTo^A; zCk?Zw-lZX(kNxr_wFLPH(nqB$SUYO~Lm*d12n?(OFvB356>!<)Fg9v(VR7c4Ohz zMl~x)<@MmxhdA{SWdFjvb9*S1BS&mm6a!K zXOcxhe4ZtW$qhd2O(t;LoGgi%$B6kZ^X;{mn-0Rr(Y0Z|O3keU zgAG)W1knKMdP4>;oB#brBg3%GJ)9E=`quyBwTJOn=@eXh9&_N3U#64My~X`4G`*z5 zUP%52&TFmAm7u&Y1_i;(IV1nCA6NTW-@ocev-VPvA)lkslhlfq@YAVH-dPd6e=`#) z{hjU~WP=%i(7SIeM)`@8MOo%G^1J=8){bTmM&l|6kw;q_tCqpL3FOPEG*R)M)DI@v zEtxk>|M6a~2mlPlfWSr0{&f?l$qvsei@oN8j!GV^PxmWn@3O(d{3f|BskAR=dsn>Y zhyF{k?4Sv$9US)(4VC2#s#fWc$cUM9W725oo&`)_%Xablv6+HKWle!JBP<@XPdo5^ znFlkMw`<{8Pl{C|Ax~0k=JDxe5va(G5f-LHj-xY&t_&wC9>mLz@_L5*=KU)_D``tL z<8ugWZ+8b{_?w9!wfe_SShGlusJMU%{YQ;1hjdT#Y&Vpy2#y)nbC4Kw#`$VQep&_t z9J4$5-%^{-){TYCWaA%6VG9_LzXt4GmcOl-S{n$ez*;2*tlZL{(_D3)hK!|o%SI!; zw)J%f2^$ONDWiVc$Q?S>xV;}>BZpH`q^n5rw!EkoCS_2&FBwjg)hzhrx;}@8yqd4E zzT%b~0iQi(_^tUprOr`Es-5@^%i9+v7{P(}1RgNx)SeCmZZM0w1?hNMD$E{o&G`qi zGu*GJQ2Fvgv0r+S?^NHp_S63ht*{D|6JYkz3M~`ZpGq_|%Pu~g5c$P3nGo@y&XFrG z+(mF^o$i$}afYs_TWTg9po5s}T)BDeLJ(j@5Dv48PuiwG}FahkJmWit&)Fu z;ko7}tT$NRpZ|gJU@osojNiYto8`#32IejVxxYVdTtzF9|ZM>eoyx02IT03K60zgTqv3=wv2ZKOrjCl4E#?x_`&pm6JPWeFCZEafV z_ok4E65cMJ`)X5~8R54Yh+gJosVZc7oysMr3OvoBKc>&BCUHFkFZTHqm7GiX=7qoB zQ(8fX&y)ogijqD$In!80RWw|{_R7I@T!EsvCV>z7Qze0R(Nhfi%GhrZC53epU>ZF} z3?{;W;3DNK9on}_>@=`%(Y=?`we*ibMhz74lu|Q?iH5lp;jcW!0z3cG-bdu4b+v$V z)nCUSG~u@&V7|}|O>V7Xe0q4qeyLA_Y}qR_71=)K#K;s>UgfrQ22I~PX71s+@)HcG zWqv%8xwOA3oAJWJE)XP@*3>dpFtCPo$I!TwZ{eRTOWr9&f2@EhI>PJTP1O0O#^e5% z{Fj_^mzTjNY3rp}cQn&h%DF&*E$@n;eS2)=JG6>=%9#kz*y|IBIy(U7b+9Is|Gb^j zL<>Cz)?47>T|ZN_fc->-6|IF#Q&QGa8Osv<5K^D1)j({|Ky4 zx$5j~RUTJzhrcrrN&J&Kz$?8PnL0EBugkvxaU zJ!ZoV534zYwr@|)_Wr1GT^$V%0Yv><0V(C%Q*XPLfp4)xD-`6=bqdnjVPf!{X&Pg6 z^QF*aDgm^4o)uK38PFZFb>SMgcb$KBNPL@DszH5`%XV=lRp2Nz4;Om%b`pr($;Q|8 znpL=f6iYLKrucz07G)-Yx2~YznTbZR4&ig`w{1ixlsjdu_|Q;9IZd3R7&OMRFZlw~ z_d57vcmz`FuNwj^LO`3qr=y@1&vUUq;PVVIk&UV!>8c-7mAHdWv%`bSWqW?)lCkox z@J>+~;82)=gAkPv?IQ!azC4iea5jc`j0Yu<=!Vhq4HnV{e~Tb6!I^NHxSXoH&yDke zW>QnnfQGiolClMm!O1u|AHkP@{nCUeY8knaJj4N`=;tTl`!CYh9{G=DQ%)SbrDzjo z$}DIzpYT8wcE8UW{sN`~4D3McyzXEn%X9oJ+PG|HfTwkT0|Ur-l)QRo_c^!vvcec#0oKGQ2k_v07{yi(Ci~Qck^?}Oq@!D{7PZ+LZVlZ&T@ zskYaizeb6S+%Lf`P~Xl4HwzmzG?Grg?7X9GokvQzFoS3##rH#lU|67e?Or&ObDmhj zM5;i8zSVjI&T%ywGWJ=2D?caXLEd{B!qO`&Ke=E{&nLOP5KtFMpC$*cd~Y=kfwyVt z_57ef9oh8)!7}Chdf16JqC@lD{QQ8(yZU%}c5!b|xBxT@Q5tKOXF^X-bF`IvR-qRr z!e#3#gm|Tf7KLI!-@QYdXvT z>0M9w&6Iw?e+=D29%+c55jC^xrWfi;nkvigkJ!JvC`!KGu+EmKDFr|FUa1F=ku-?x z2KL_eS_+vlzV!2i@6Ub;9!mA}n7R!HVvnWVeM%JRh-ZNe_EIoPD$@Jsm_4BIX%gLa z_qj4pdybyh!uDqJJqaBVf^?1x50I){w#9Er(`XzEn^%z?DO`BX=FaF-jLWr5*n|*e zT-1>G1l$$)zKFACZV^3ve@&VPL>4Ge;rQo`X`y500E(W7;_aDreGD_bmPYTf5#Xd_ zadn*I4A&hW3-98zfm3%)8t3)?-s_7SA0ONLZtOi>(m09HXqtVD0)$bRm@xX<)r2g2?msy6NyxWEg&nAJ;r4QA}>79t)-qEnyZEa|mw2^+F*1K-{=# zH&C)6{BKMpTh)uahaOhjQwkBHG`VT0x40FvqNSs9_Zicpp`o2Rf`m0^d$1`LuLKhW znO9(B&7ns!Y}Z1vZ1%-de=5Ebo0<1kJseMN!8d%L@N$wOByu%yk^?PV zcvXV*{b_jmFZt z#bEGba9Biw{mPYh{*u)5wOD?e+L1;hGHZyV7S+G4S3jSr^+gprGYPybweWqEe80-6 zfX{Y~ubo^|RBh287W9ujv&R+|CHC6BJw45BdcQjJ#aK#}K=q_7?|%g0-;-ALwl$Y4 zk)hLgH0C}seC_5IUI^h6qE&eE7EtQGv3EqiD8)7L$bOt!q;+qp2;qgkuCdlg*`2ue z-Hv|>#}2FF%=9N;zV>Q2#f{hd^-?h24YB zxKdqe!S?Li0nnN(R?j;FKu#Rbdk42&IT~Ao@7G78p@p8#@vvmC;6qqj!(=*VK)5F)M@m9fxs za@-=G-ygpOVDyyB){-ulifP&XlORhy9BV5*m=Sj-KZWzGV*tasR3)}x*a`Zw!(ufh zYr8lTFG~p07t+7hcR#GVug1;DF0l9+o!$Puy?x9hMe{X3a$6@^?>>q}YXC<1(Juyy z8vAMAu{4J23Oo0qb^7t02K6;q`n^f6p4QM(mQy9H={wa#FTJ7FiE$V%U*058N}oxec$Hob8yX^Y9pLad2PTtt?cz z+Qk;$*BU;p(Pq1ZjV%=v;U=;}TECcsbAeK3-2OO5^5GVYVbgn^s4JSZ(?~6lM~2() z{yvhH{H6*15&z1JWY)hkg;z{pn`(;+7c5I4)r4Qit2dZzeVCzz2Tm+Y8y8-(=d1iN3=4n5~Y zB(xU1Bfj3YQX$h)T1@7eYhbcN(t3uo9)sJ$Gzs?}1in3`2(XQW)7t-5P+^qf5#7A4 z$;qz8{JW{v$LPVFuvAJNRUW%L4rvqj(9N?yVSww^`}j>#l~k1Ic!4hQtRE9C1+6|$ z#FCnYLMC-8)#-C?9g;_ofgQ6&h}VQd%?kjlY&~iq9oqwOh_3G@OBPvl=Tq(|Hye~RsK`m6wjcJ+(jUd zaoD@%&9=N@m~+O^XS&ga3X$|q3Q^K^#c3BKOLLXWW?W}abx3wVU+gBu+&`qG_MSeX zE;DNASs9MTLA0r97QTh$Io5V;>V*$WxtWA{%@zATTka+H)U94NwG{dW%oRsFP!{*N zYnET5!e`bp&34cCb{hQH^vg{$zWI*lQ+;@p5YIGE;8_!ez7Tx=iHUiE@g)IHV{e%h zQvrTl(L{*yV&`iYN5VSRBu`2S*B#kU3$Gf63>~?WlY6)k0|lUJ^jd#nQ4lIu)6Uc6T>Va#JsBB_{&r}8CQuDv{s2AiL`=Qu zVc&{h`5U_jzNfJX9W$rwkWT!EpOm;M#iO_`c;mx)&A#g;9IWV%xPeN4koD=ix(Ut< zYR${)ll&>sdx>9xI&{NNh&H#89Wr2v4Aaq$qKp}?(yx}6G)BsPFOoPlw5o%uP)($mde3OCU{`EQs)MMg?CmzD#v>uKNJ#B_>v)$fuKbp4p7V6k$%8x5j}OBq zRMX-TcEeTg+uC98(7$TPXWgvHV}UF>WReqlVLr7_`$~8JE?{xn>vU@M==$C6=jyaU z|FnKFb3F6Y`QyS<%=Tl0%Nekni}Q9gw5cg+cO3bkhP^W%GkPiP+rQ1dK?6EjT$&FP zdf?75PP&0D-u^p*3bOP#du-IGHY&)CW{HhE{b$RJNa08ZJN-#APHv*v$KRU$r6&g1 z2eX?oe5>W2K|W<$4}Fn0g?CK;73>Yjv0~@w%cQ`Fe+g>4P-v>%29vRwu&1bPwbnUi zI|)$tw-BUq54zpJaIJG4aXuPVPy8AC9&JI-GAi=1&&D>q%l6{Mn<;MWl4@bDWJs+(kP%OvH4UQKv+ zMU>Tq-iIKT#1-`|kB?okZ(2nm_p*-K=A1p=75%-{jn;>w@^+6`X(h~L$A0gSI|eGD z_p)Tjfwd2j?C`U&W2I@hA_%WqGq+j^t>f)bSY-u#hA7!oq>2)4K#zx zBU=RSvqkWaz4kn>+RWNs@9DW>kwJ^nMoG)N)X|0~tJyt#MV%47LrpziR{wM#yw7x}~ENQpfLV3IJmMvv-u7=Pb= zsJB@2TiI8ru(Q}O&3LEl!>=dforL^LHd9PfQwxg}ShM4S+rCUl3b-#A)y~KVO;f_QQWJ@iiz2F+dj5=dHht`p5 zn>xL4wkjU~gFMaJljg0c@9#h5{2048A4bg;qY;Eub*+SRaj*E+qZKUO0?yB3TBNV& z_yFa^Urlq1B&Xtw-6)1tfUm-nv#7|m zT4wBf>e+vPYaMS$tM>`XXW(sA|9Vjam7i1ZOn$-k2x2XqR|#h@8}C4wJWu0BahcRg zxrdW$0)!#^7{`G3cr}*76+qO>i^HbfZyfs@mkH2MjdGX8;S<3ghw84&r>g00BQr*> zS${?pQ5Ok5Kl81xM$-!G_Oo>(T{*h#>J>A5f7kJXjlEoYEtjuL?prf70Fq+zWv|}$ zYq)%wWt+`T!YH)Vhhtdy^I^s`n1iZpWUJ!uW3k<=;|0_ch3@`?*E9ST3Qm!m&r^d>1OWawB!oWLi{B=Y4GmBH5*B4@;hn-(0#qaeD9_m^ zz7TO2_y)ew?WRn7`gRTQeabn$4l`z0^;MWE^J%+TZRh_?tdn==sghA$!U zY5n~P3Oh7vdQbEM1gbz83X^7u75jlewEkJS);E2se+VlxzuM8MTmLVYfkVx*8pvvV z9xn@f>K+(jIIU)Z-wxytJ;ej&rz-_@hi(V<^}9qztyG6o`J^Rnwo4% z+E>6J2pA(46_*_>@rxhQ>bjf8MqVS>urTt}CJ+wW!Ux^*Y`x?=NKF2Vy;I!O>z7r! zG{o+Y9FMWIObKGNQ(xXjHYvw{;A91FyzV}yZ6*x9yk5m>=3d_Mes$`+Lq`1YJPY5& zF9j1!f{9x>-{e_4+0sPz^Ay`^Nj%I?_4S!&y2yWVEQoBpyR`>@*sb`IzXS~57nYK@ z55~e}?`}F;4x_M~0$m%yTlfGkl9rlgUROVWiahqOfqDy}HkH2izg3DFB}8JgwN4)E z7O(NX^90-lEP%4HvF~7yTUzGILs;C@{c`u4^I-RVBhx`LkZa^^Nb+q795{UJJ_yy~ z3I!}5tz2jP;bS#JMy?sUgNGk#Ha38eS{`!J$@ZX`lG{agDL`sI?|z}v18*=sN*7Q$ zZpT{2_IeL;*jRWWLU2)Wj1$4KNexxw>hHX1&_g||B|>Xtq;md-ZW?!>WE?G1@|N$F z8~4b?q7*_L(}eEFGV0%HPX{d|Hw2Zl@tO6nKg}4 ziv>$xI>>PoJ>5C16||HR8z?`vgDv1E7Yec`f@fucMr3h)*HvNhHOIf%o~px_$||MW zJ&>wzC9iUHv5aStpvb_dT#DLRt$9P^7$yce)yr$+9g_1LuL-;))8Isi%1>M}fVJ+( zS4S;E`US?tBKfy4Xzfc* z*77;Bu2tlJN?ab$6pkL=6;$}#eV3d^YAG%G>J`oxOHGaIE(9yqGr?w<8sESApgi^& zLxM$8R{tt;`}=dJ@Box3z6NzZ;@Rj$&P;R5)zK8;8jPB1!|?ESPgJXxbOm{2zQ**- z;}M0EczM&zORd95xzA4?MgoZov8W323i2k^3wxsm_p-}|L(xH`pRo?+ z(ysacBB|Pkt!TsOf2Pfw=zSPqi|NWe<^DdrN%yPp5byQ-@_6>gHExLIgYqCd(TSXV zJIW9b{rMX)_4x|;(>lXmBD~y}On#pQru*<`PJa`zYR^Z(D^z1d!8IfWcD!9{Vl0)s zFJ4)+b@h!&of^xOCJp|RRDcf7a2Bk>Jh@59g+3$7%J#FW$DY*Vr_5G)?dSZ*9qVGA2X&(>48rM zLy9nqG9MUvk>k%`-R=z}$A4Q~AX~SjHO+vZXraE*55xF{V@pMDy6-2sr93R(l5qo? z-Cksu%P?bo%9z_fZ<&RME1o7)QG$iK5&DFk>ma@slRmJY5Eff6YNH83{I^X41Eb+j zQYFhKx&LK1qgbn)t~=X0NJQT){pF-%oKG3cYwwgjDQ?i3#O0$HWKXUh3L&Y3q)QQX zJ8GWfOV_fs7o6)R_g`bEH)O3=drcxXOMtc8Uh7c2yND{ZwKRSWubC7yXX1N9exxQN z$WIOuULggLmTQrY+70bp#or~+G$~WK>c#}2*VYX>PHyaW32`q&YxmO*#kuX|aKR7h z9)WoP*Vo0gK;`AS%R9i5Nc_THr}yuv6R$&=ZiGp!aXi}Vh_2yF#Yz0ag)%(#0@69fqx=I?Tew4nHKbBIiuQ~BpA>LMv7oc97hL#A}Q>nnXU=Ur< zYkuQ9V?6Mc)s06F)zogMnUINlUoB68(1*OGIAfviHomVgsr@p>;}m290-B%#Vf~|H_6yEWBfJ69Pz-S=FSi zByk)4bB|pQXKrO%KWV$7Po?IU|KJXEmRMj@D_EE{*41tqGfz8?8N!z57;clhNqN$Y z@!ksN^|x`};l@uY2zvh?Pv0HR=KIFmmTK))d+$|y#@-aARu#clwRTBkH%6`6n_59_ zN`uwhbPn2jV5@CBZC=S`|_+u zJEKt9^;KQSiR`Qpbw;^B#UQ>D$rE-$_2NzB%Z4twDKm7TXbPUU z(b!iSnArpDZcMA0eJrln+Wu2p2^nZ*zF|pNTfQN$=hdQha`v#FN?Z9NL$jb=`$~+p zTwZ(FU$X^FIqQWP*BhyFu4?6e2V{Hl)wUV1+>3Drx;}}x0>6}3_r%mG7!_l<;d1+N z044oRk0TLZ?%_ab+cAi{U$~h@b4V9=KgTW-qlb;?y(Jhl%5ys&BJ^AT0?jU&=$0R- z%+|3me^~T8PFlOh^|Kq$k@_~QTfjuZutB!%NiBC~Bw2KKyWjj~TF!}aEMiXo2q`G7 z594bho1@?jqqLjCI0);L3oAaT6fy^o%P;(#nKkUg3!o-1Q8dub3o^f1WT8N`vZVLe4#dQ}QcH2on|c&E3G z4-{jQJ#hgY*kA-xhVz<_YT4+HU|!!vc2t2M+!%FrgSt}I zrK*>6+w}w6)Q4Q;t?dWdQ7)77yMT`Ul4jTa4D02h59@kiuHrt+lOIf4C1=#i{j4H5 zO9=e~?w%fO`mNio-nw{Na{L-^Bq9+w@JNGXk2_31%b1;fEdBvvA(P^nO_Bh=I2)u5 z7yGL0`oxLrJ;g7Xp&PltE)L@5n|L(eNafV|XySPBiOSGsA(rq5NG7p{LT=RL9Tu&x zQXN)PXcOK_BvAtTMr`2fGVxsB%U`GR^Z19h`~uz}Nz%mgA@%+%m>thy5>z9Qd7*ab zW=<%~(fVNQ-v@8elr58meB}!0OltNh@el5{3&y!FcztJP1}*sKj+wWN-gm_8u!(Xn zwVYKPY23E#sJuo(RsuB2CzSJ@|}`^iKM@Ve~=Hv1cRv2XLll zz>N#!?;0m{q{_Yb97{(((leupV_xM5;@Z6aH(d&eF~~WLhDs6Vu&{ix*s#n}rEWo3 zu0#;MTm;!Eo}3#{QV7q#AaMyYR81jkX|ZR5?6ZKE$q;LyfIp0bx@*fPC(BQ3q|Kn< z^+1fA#?DNzN{;HeOb@+oLUn%s#d+DH-B31<46i+t*WHv!$kkN?6iERhPMv+OjYC_l z(nbEHt%&(#taqMph2eKyIgQpBLC)ZQ%)wh{t}!vpjiRWtFWUi?o#*HN@sEj8?JmiF zE@88xvGgreUrmMS9%s76I)z^U)eA))W)I=qr7cp&!6@zJJ+br(e=Tp~C{W>VyDf48GsQNmoGs;|#1L?xC~N&zG3Om4m=}fxD~clIa-N#JN6x8SSs$_N;TAe$ zekdlL(1mPb1r}AM;*S(R8u6A1B(6p;pO5t}>iZ@$nzbCJ9beU!t}jnMJd$5iJ>FRAudgEs~VfMV#+*8e9Jt9t&| zUy=;(M;FF`2_+~r@O28ifvy%#iHj(**=1LQd@s{+TH@P zZKh|hxx7u`4=gC$3jnzL?(9)vPzfAmuCB^=t8LHnk=2!i}tCoMmvEPZFp zMA~7$8*F0*EkZ&>EPiPINUVK69LvzG!&9r3{UgiB&dJ#a5#u2#GA*}6RAu|I90mq` zET=nkRMB?A9QHN6>}~3+F0LAQbH3t8dp-}zmu*}y?rU0RG}9DC2&CVDU}_&w!leFm81eQex3(4VCZ1qnUo_5ioxf(@?Vo+w@OIR9 zLGGZ9j2*ZV+GIr(=X%`x$dtsMGR+!IWZVh>9Jj=--#j#oR)zW#`_qu+O_cLPr`aAV zE4$M!@_m6d01{{Mtm68&iAlcm-apdJ0ZgdW>X!c5Q0%9;;~sK93wZN(t@{>}j=GsI z5UgBFhR`-V|DrqUEo0HTGFeVlR>L~u7sl-94=|^sobRlo&&hNiH?WnAk^I zYW&wi4Y|6Jo4;9BWkONG+SL;_x_S*#nB04%1Eq(Ma{@0F$uneepj$Q-IqTCI@FV&nK3 zY-ND8?xz%cAZd^B$Zf11RKm=!SpI-nkwYsONr4^o5jnQnS#}25VB`kR!3twpPX zU#2|PKz~1c;uEBabS1p)h!o0x4#>L7ydLpz5S~^@g4itw_}U@Zt;#Ebp1Y}LftXvY zzzuPH{wgmz5N;S8+SPXYF@9{}IZ!o%oohR83{5;qSQD$4+*0G~&i6U2un<5k%=Db5 z85M01_<$ZILnz3ogzXaM?G|==Fgc)^m{C`R@#$LbFm(sC9&QsvupwzMa2BW*3aW_n z!%+BJll^-okUuz}^qVS9zO`zESg&c8xD?9RAm~`m%*M$WP@c;UCVI#bPHk^WWWKdo z4O+~jRf6c3C7&x1=Q}YKX0?kBUIeUa4O|T6x>x?8drB|77Q=G|dBH*7`uv|Wa`M6W zvCi+$@4na$PIH$&OpUdho^4q_{kcL91H2_g&T)xAW0iJ*%4T9trNaN1*WakQ-eS~H z#{yDTF7Rw2*NExNk?>yfNd-tr-F&C+CEZ8&yAKrskBff*oX{iC`=z`5IOP8O3RNT# zyCU#Fa=R$&14&#GU}tX4)r)EZ3p#6amBX0y?hlqB*1F^I3B?~Ynj#i>JsLj@>>>8G znIAvM7?v{U$7saVPdg_cmsmy0l~L?|4{ggN{6&*V*KD%Ns#URh&2g)y8GeAK0_2Y` zG4&84#{Rh#D3ZT4B!6i9_$&J9$c-wpL-~XNuxy~t&g0K}-G9u+u%ZJWuXb!qUPxfQ zQ_<7~7y^NVD2eYltZg!0j(5hO`Y=z%GXFszMtE7>D#ZW@G4D8cSZl0~{(T&>94>&E z0qg)s{zhgkb~M$CHcv8y7k=u2R}&mEWmgu6kc>nWKSlYD*A_sIw<~Z)QGKCK46A>< zgq$D-*R?|Csyk7VrQX@j|I5s>=yw`v(_`&M$PjC7H z>>qx>_$eJ16CT9)siE@KsO-+CR=`*ID5sF!27`#n&E&`PF_d&HR!8Ra?0JJCZZsed0I|hj@Y?Uy-ve&bXfK zS`d)r%I|$v+m;+m!jmaLv8xKh`>V0qWEnq%?L)I(KxXl`UQs2agTGvEG%`(&GypIy zIOxHt0PIwc zpX<^KElTi{ebpzluGOm*Z0z5mEQ%ahz0gWJ2@EWviRz7pIv)92u=~q%Zi!q0W}2!w z)OX4ZKP5y3R&F?&@1;!+kyuw7QM@8nkwimIJKnxufxi_e@WQ)xCMHr&oKysa?o6Sd zj^r-Ez_k_#R9+J_+DBKjIYKJr%e|vZ*sg6~r4|{Ig!b-{RG|wMsmb!t?q0IILcq>a z=5)JeaWjC{ULeHXB zimmiXM09DS*lP_ma3B{dLFZGpwmVUMk4B7O`-%{{g=|Scq0Po`70kg0r3^d#dp4$V zV4NfhP2S8^?mEuFYkFrfVX~~1g#57d=#DH2Xc?{krE0PNo(W_%ZSTB*<<35A5pP)c zdj-4Fv@`G-XUzF_j6ap7V~6O^&S z1oEokPbH(m!kW(JE1!yQuA@VhVYn*F?xR+m(64I3C%%O=$tr3PGM1Dr=xfq-vr+|S zTyPGarVUl{B^=Vr!SwWh`ja+`aqt8L$Kg@;R{uC)gL`ngF2s=FEhuDY0ZYcDFCcao zej`oT(RL{m%M`*eqmuL(Klb&Zy{p{1v{upN=GpO}at^O_ySKkT1UahLAGynd&q#ky zu83)`a=0_i7Edq(Wwc%`4ylo(ifA#r@KD!r_}7dV`T|_BHkn!Grv&!5*scKI z3>7cZBM(q9U6~{x^wcI{av?(m!AA+jVq#RVGNVFkK2vV`dX%nGtbQbsJ!E1Cw4Hru zc>LzcQ+XY!joS#ZrZ}437?q`}t5-*QHYHDU)w6gtTZrEhu#c@@Yf-E2Qp+83X)ev? z^leK>jT{Dr#$+i}2y&qtkdJSqWh1#Xtqb6A$<7hLG^WwUbZKMgX*m{J(LfnH7<#yg zjqfJ^W5G+&jNO&~?^G#uD9+(!;md#8jRH7Ln<;gLte`tA6cy0n2?zy0>gjsMmau)z zz3?~vt$W5ru8s$P%B)SWT+Dv^J1e5LPLcyE?v0hMjx&>5H2togjop_fYl(^(^TuHe zuBOdsi&qWTCd1NGkTGodHJ(Ifm6gT_)_z&aGonJByN>p!xCsE{=2ziCmp4DkCfO67 zZ|N_A4ioi7sTcA7sV$63yOEu=t44kClm`qOsOwibx!AxW@UZbp@D(H&ba#N_yjYo& zVFtB>{=Hhx(KXG=Qrn(H6i&QB+Zx+j5)ab$PZ7s%{j*LTbU1yXWXHrqm0PnyK;vNHXv<(yA?A(u^bBaSs76g_YiwA< zPCOkU79X$2Mmg!JUrfwg`}hrj6tq5xEaNuOUOoKuGPz@`sScN){U`H}WA|?kbK*}) z#&HG*F5VF^b3QQ}0J&0|W$H>Oq37;oYF5)s+0T>v2IMyEpn9{K2R!Fr!M;MtM03J3 zN|`i^*?o^;l?I9jJbh1G)BLz<*u5R``6Z^apUD}U*c9`ad=sAMWB%V$7%MN0ye0tK zdTHi(R{zJ+tJ{-p97RvBmA-_$e}5S>9ot7@6h0hf91&jYaWt6(aA#MzZfT;$4sp+) z$-W4kli|p32HmkF|6F=MJlz>OSC8(aPTOMR`qNk%C5Fk$yb@bmG^~`t19%15CAs%@ z{iPjpukYz2&2YyL7eZ zy|^dIMO6skG|mtSFC$ng_4M$>E#d#@-UoV>O022v(9_UElKeTS%pijYf zb$c|D9F16SA#ZSmWb!n|2_2TI7K7?EIkV7Z@>WLgX6DT?M?Tpd8lR)H`k1~{R0PhvB=Kf3P=QtsQZ7sV0nT1m<`t zvx0xFR^FyTa;ALk7TwjvS#XyDHHKe+2=!X~Ja_eTvQ2S|!v+T_1W) z+wuhkxKQk^EF7MJ6wN-*7cBp!_qi%MqzQPfPK|?U(O42K8oRWXEEsc1Yo(bZ4-xv* zMnv&WrRLf%qdn{xyZY)+hq(iD4WWR~3!hPM;(_grt+w;V>^iNNCio^v=h1!Pq0)or zoZf3v;cwkx)3#||lbp#`x7U-6j*%J~@~`a;l%!u;C_&|E#bie{5pV?_{;`YP;zoP4hhxq$ebo_t zRb{Noq%Yz8I7^#kS2o9=n8j7{LF#^xuIwG#YQ{gvitye;iODd(3aFeRlTYhEACQuK zlrZ#5NdMgY%e#cI~m~DcMT^$pIfwyDPLWP<<8FFBsXHL|r(1dSCd*l1#NfxuG(o{9W5xb66 zcF*o)jrKt5dp@l*)wnex?0`BXuETnNL#_lx9y<_wJd}&2g-2zA&k|+}fXcWfaVR`$ zND=A<)_HN&7=+H2A-s@NPom~`OKxm*ZI3MSHCor&g z@2l45{E0mV_DqXE=~?4TGyC>aCx2h7I^Otobpd;nbkpXS5O(DSNR#>Rh_+2Wcffi+hQL{G7^)?k$yviRzGcf@NVPvQURgad~AdluIYSP5{Z;ANvcCo65} z;H(_5%|mWS-yw}q3ZUH?=4D$i2ikeQ$s_nV`RF03V?zMlL%Uxq7AW~Qe`p8U(j`C#r^Jv0-Z zNlTl%S69ca4$1hSu*_3v+S_mMsuk+mZ`b;{Z*rvWNOxseSOExG(_Y0eI4N$t-xnok z2NY|KdNk$s9oX3Ug(!3~8y$6@Qn7j*K$t%-YI%qLQHV5T^2oi#hJ85;IAC#A{x>-q zPr_4N1?z_|2WT zN+SuJMB5p((tlooZ4Yt7>%u0|X`7phoU1>l^)PD6ShV%MFDmPP)6twbLd4LK?V)mK zr`7x42=Xa3o*=wdbi`VbZlO%e?cECPW)3+EONu7Q5EK1*CcYkP3xR3yJtG6wq1@zG zE%)`8D*FzmM=BZc^B)62X{`ic3U|;Y(REeIe_wXPvS%s11Y$Q{i)dg<251necq7#z zwkk?*i21e>O}+zZlv!nxgD0j+#Su34wpYeMG@#LhtDX&3E<|v56J)G_H45;SeNa4& zs*SPWNlX(?C8L#5L`$n}RFgdVXBToKu9+&GWlCVeJ2xz16& zs(ze4VhR^`vMhQ<;kem3;h|;MRPIz!N1$aVmH0qZ8fBaIZ%)I#2;I5JmsiHHPwknz z#Y&x?d{e`Tao2`?yzFyESDX(GRebixrB!A!Rf~7}xPR)PNY)@v5wCvm5#-9$`;b}?lPu%DjGA-N?$`?+2_l4&a7fqA(CHs(V z_v=XtPIUoRjA?oL3>%?b7y{nwLI{qzkvJ8A-WpfZxJ^qh3cA9)IyISf9D~_hv0o}@ zBxu?Yx8_$cyzP2AoaZYTZA!>;IC0VXume^DnEIjyVAh}BuEY$$Rs$t_&9yIc_VmUC z@i9});Y$ps+jsiX|K7~2$OqSB`Mr}kXVL-+ z@?0G8nvLz*yf{`yUp@)ox3lq3*o#ct=MlG-JFRL4+fsd5g4z_624enQMF|9@m;9+x zYqc>9Qi?vPstE7Qnt@UqA z_`4CZ-Wd;Os(g+QswAr*uPF2P-b*>>C|_3MRD{hBh^|>TK*__Yvo`Ji8V$WL27IGp zR(R)L)i*-`gnY9&p34e4*?(Y2A$(O{qi^*zQJBg6d9=*N^SM?6K~j7!dHUpS`zxik z7Y9@?X}C%~@~c|02-`w~nhb+cUBuJuv9a_NT77$=x$>D7JYc?{vLFGp8(btbo+M(W zY~0%A4RRFgKAwkz6`4!1@9tnuTW&~v(JAn`aKG?53K<|S_46I|yzpAf1U9RBapQwK zN65SP1E{LRid3z(Z1WIydY&gvj2u?SH;>!ivR{}f4Z6nx;0zWiz__rbaPy4A|;0ytEj%1 zCg&fL&QBGJa=Qm~gtpp!Sk9KZg`adQ1YZW%3PE0>Y=WmVN>IYr+f&?y`s)ahA}`AU z8NU_&`MKQMZSZZVt>?LHku47D_QSQd4y)A9zivE0Ek=Vw~D59FtZTJnw0?!+@)~Fkk6pls_@M^52%p zi*w@Xh>31S&o=M2-&;GVag0>J@Ka1VsXPCY9LnwWU3dMjC{N;lk}LZL z?ayBmF^V>~B{S)-sAuR#{7v)RTx`6uo9c3qMGCO-XMwXmzc%K@7(>U=T#OvTxM=JB(+{P z$kxQa3AEgyjx0z<1$VxoAMF-tRcJ6!YE8CjBsR|+w(FHgsi?*xUd95mLxO-~Zht78 zelg1A+^e1MDop+@siKr#XOAkh=D1~3Z@hVJQaccYs7j1thyL@`@7ih{^O!}cX!4G4*b5-Y_NC{B zDSzUmc2#^hO&E=WGZ_H~;Al^<3SUCCW%00DkdeBU%bp=b4p41QBN)q6LwAXPUY>2| zDtwu;+iG~lo4;$u75zmVlLl@3owmp=?wBmdOV5RAQQMP%JLA*IA;yfBbv)_^sTv_G2ha zg1#er|9!X32byCkJ#|@$1k$+Hcin3uRp^5QrmsY7+IG^TwSpzrUR4OQnVCN~c|+i8 zwBqroU6&utZYdVw^yjc!UmL3w(ztyU?Xt4#VTlwc2uGt=06t1aJ1)UPr`^S|j&IC} z)!|Eww@w%-@p4?r(0kAJcrV|@8cmKY?cSf6|HZ|6?{R?jQb^MXH)ef%e!a)&HDHhj3(X^rGGXGFtKj1ZC*NT7@@*_F1l*^FT^5|^!!`O* z(N6-WxW^g>l9nb?IAD{fBi}=D!rl^lu>sp=Qv5ymWrV^9=ERe~|6X!5$;D9)95)HA zEyejEA_8#yr*BxoV!XFd9;XqvJ7dZj+bE9%CWOl~PG#Qlq0_)zIk5?0x5XIMoB~Dx z=yd*1GBAo*c^Az^P6T9JTKdOH}guI0ZnvUhYZlVH8Vev1)ot#4eYy?F&&Xa$f$tWhPsq zt{IB-)69HW(?MEzVRR3B7XL5okx&4x`)P^s9!#xUH>UH1T z#z)1apoTC1Y&|`+2fA^&Ra*Ls*T9ecH{F{lA?g)b;vx80u1Z(Ssz~v@*I!rWoFe-I zLfPSV>@RJKheZ1&gH7DzTOb4tr>%@PT>v^5((6hot9@J@6rAIBTeyDHb{;%KCTmqc zSnuxdx!mTtr$n3W1)XkfAP$~1%7d-_jrU3t9)$;xn62ShMneU@`daW^&>qq$!L-`E z>vuL;(Snh3bGxyJv2OC(e;*5XC@d%W-hBG{_snttb~%0nH^UVBm&iZ)1kCQ%&sMb{p7IGai-Ofr=-0@%mj z>bdh~TIg|>5wa{Ybh%Buj_i0u(LVv+rhx`{{zs`OkL_bvVf%|GKO)P|AdaUX^P>&RrO7N*+chBs3|$5mHPUe{7MM8j3 z1|5>bEvjIW8i6mCmxKqxY2<(9OKq?e^mg7Tdsv^&iC8ksZ|YUWDy`@oUHXPhcF{b# z{+Ka)DS$58aLmI=EpPf)I~XYtuI^=mpqG_Kxv1&#YHD~WeJlR|Acw6rL39}gH1xNo zEz1?Y&j+2}>ha_I6<7Yd36A`YQ=y=jxVy_!81_|BEu;6qe*31cF_s{F_6hbXY!y3s z+=;V2WmW^(-AD_!ee7UPxm5oW^d;w8_IRz{9*s8}y62GTnGRjc^i-QLi(rMvhC}D} z4a%WSkpFjI;eue2S@DSh<&xxwb}TnTAo$#!?IH)hzCFHNuN6RRXk}gyIEdbvnL^JMdx=)-y{nR<|1!z-%UGhS!Brz z@e%{=^B(F}5UqVL9ndnFWfnkr?)3{K7+N<>0h1D~a~*wBQ11)*CTy<0GqZ1izGJja z6T_UmLf<&FmC2#?MuqR3Y5y+GGy zUZAYIYoo;KR)Mph69ehBH9=OMf1Jk$+|U>2%eA9EF0(eC&?8>;HI=22Qy88{vHWF% zS1Zpvwq;L_#6Q0yFhGxz3CoBlqbghFG0*97HPB54gH9>R!77btmp*_@9pAqI_!Aw# z(OH?O&F(5Fc7-?6t$?L)b$+`w9%$n6zHiYi^Dspb5sV4f@J-1A_`g% z=`x%TY2EnVQXmWC95aC0G%fe&1)j*}JE0Dj{+hBTp?(|>d`Rt#&%2Up5N)i=N&skKJHbaC9t#C4lHX=Q)W@! z*%sZ|PTkpS-Pu%8a%B;60ncEZw=|~-MJMC-wQoK}Tju|dUI6%Bn>uKTP0SA-m>--} z>y?RAMyr1BJ6)nCTJsjnb1siF>f_Popb^`l$N+ynHluUAT2yqk>6VRpdqy_z?Q8|S zLM&=t0k{{L2!`l$?*EX;+-}H;{k3Ju4Wwf=zWnU>saBkrk?dw<$oR+V}8=@o3Vnv z%53)Hk7(T|?|m1uZcbhv$Hl%{Y{f8cqhbb@o(GqaQN85)S9_u}nS&<{jb{!h$Ci>F z;nw@mG&ETFzay1_&zo_MJHE#H?dZb!C^wr?*z}_w;E10x=#z4-OazV0ii5huwSF|K zWqTnW1@L}Us&$bm^&p3>h+v=3fKNvG3hE#x^z{vM*IgO#fyZ8r<=@b|^sASS9BHex zh0`&T%4gRRa-wqTq91lQGXg9*Yd7+Py2Zc5Fi>ZcxcPH6knK>h?OG#0YIOIu(b*46 z@X})m#L|fxC#Y|-iTB=6EB(eno$*y&NbMyV23t|dSnuV`SAtPPT$w(l&@N>I%(n)WkVA{mQ)QlCom9K7KiG;U6?+^;jVa0?Gkb-vmh7ptE zW^F{tzKgW%k{I&=lyeH|oZU*00Jzr;tYBq_OBF9S6KQ3Ghw8J(CB7NS8i^zj9P*NGL18!VZbD;6GCN#)#5VW*Jx0Nsx3t57 zkymVOz(i+DaFHHOc00d6X)~hr z*THWcgHutlxr`Zd-zJU8e0XH;t9lYdE>~q;tUcxH4;2G;!3ckELS&CuhTpp>(!JHW zdI0F1NB`(Z$cg)JRIbx4a25&mJJ!YqMBU%>4&$Auwi5vIBh4srnga8!XU;?<7ZqmCSH4V0vak_ofKs zO0~n%R%eL7Crbc4$EI2b!PEzYC@OT(>!rs77y0(>y6cm>$v@RotCfu9x;!qPVixdV zWKz@?CiEX9CazGtZ%^!co7!x5{iUml&4Z$vT3&g~{klv#`M}W=j4H9y{xE(h*sf>a z5MWEO0nKJc#U2cvX{~+=fmkq$5mTsoIm1$G$fC~i#|6`7CLC%{wRPM^6g0FL)-n_P z2~o9?dQ=%Pkr9agmLDv(RU z<<57i$hW4Tslz$B?9_Mo;s?4hCWlE|PVK%$T%f5-`BAu?rcC1AA65~M{8Zbk>-6qj z%m@m^&|{wz$VWzhLV>X6C+7)NfOkOmV&GeeqVUoj6Rs6xW!WaYgbFHmDqEa((Xd z#(Xv7mvK>Hp_|l7@`B(6rqUpU-?9II(G@N^ti`v*9jIinDbkqtO4H>O1RA4ietjL} zu>eG9#z)C2#gZe?_=F}oQ!7n7mK&-JKDHcbN&>07$|xeZAUJ2{ZzNr`3wnR@--S) z(?x$5!Rg;%DYlK|yM!`D_*#vA=vG37Eratln&IH`uq0;C@6UTiZbPZCa?s?Z+| zD9?8JjZu}-MK&UW`KWh(Ru|XIUC`dEac&g>E`3oi4eDjDZFFF?qYG%K4&{ z4Og!ST~<9hJqnqnsg&h<#lQFs%ulk%BD4=H&4@ituS!`pmdzRX#H5$(=ILL@s-taXH$FxL*(>#mbnWEH2df86I)w4<3$#vBMfD-ldLW>pW&9aW z2*4Q#w&fogBQX7lE0WDL*77*$@+mgZKObI-O-`%PnpaJX6o!JB&g#=DYKIoE-~x?8 z@3MJtMpKvYPq*J}l-CvvQTI2J8dCCZChGYsy)?0a`3wFYrbKb(L^okHx)L6UG|9RB zsB7VzjDfHDf85fDd1XFBwU2gBp8G3t`r;A{j-JB35TY5tNUv zSt=daZUQh=1rZ_|d6s;?52DO;gKT>PflFCk$9q-ZCH{_BHWZSf+IXD%0WvTrIb8;w z?@WNWGx`6puLz$Nmg+N7gD$;#KG}?ZtbD@JU(&&U&1O%=tgi98O97amg{fph8mUzV zRCuplLwdwL9ae%K02&b8sJZzLMO@>}WlMpt5g)2ScE8(e7<%oje!t zQKw=;n7HJuKZ&PoVXiG@+hb4P-Qs2Vw>7le6AIj?Be8W_KS@EL8M&*2L`8s;3kD=( zYif4%f6j8trB4)cxvARE4y$kD3Jzv3DRt0+Tp38JUE@}A?ugz$Ol1RNl9n+JdahT{ zu%7KY9XEMmMj*=C_!{sh=A~YVH{dn(f1}b!7BlRv3X&(R=nx2+O`{SRR}NlLM^ zYb@=f9*tvrc(lOh&?qIfH3*uUpw9Gx3MKN{NwK|o+Mv;O%G?mp#=YPBxsxN4e<~2J z-FGU@{zGojJ!PT?jN}eS%9;q&zH;!~9QlTg@}JIWy=DKVTDkkIr33H`!O_}&OXi3P zre}cZ=(d`9nPQzxNdU(n*!@qGAUgAoP)YuIKI_^*qs6)`*6p^r_m@+} z9UsQU&8rt}ZI&%&4p7UYE_DIlSs~`G?7CaNvGw7_At%Vb69ATCw+sPZD(PGLMcXxwB7dcWuq6=?uZhjj z6I$Om`I)4X-SLTCw;M)=RDmGD)vq#hihuQ~`wu4Ij15qEoI-FgY;SwjUw`y++~GEM z3D(1K@eryX%vK-19`@p9{CqKh0^t$dSBa-+R?rtdLiM;JZ#tze_4V)0?Q4ma4n1C^y2jYlt$&Dfxr8&LAk+2gGW17fyX8!?^1Q{K}H zV6YCqFIP*TDjof5W=4ouKARpP=0C2IF6|bG0f;(lHwSg<)S0}U zHl?Dxty2%$0z3pGk7Ce2fgZtZMz$5`+B3mJ6>3&!n9ghRGP!3;XL&W^WUym^6E6tlmA;5r2+C-H+xPpOT%*zqG!QpFaUhJnE?x80c>N3%KuNnXXA1pDb08w#@a|wP z;eoI;)aJ<7rZ3e1=Y_Ut)`d}~7Q2bf3Hpq*8i=0$ga6(T0j6E;(_TkQbKErTt0Dyf z^~5r|7g5HCZVg)Rbs-<1>ZBuC!a47yDy%E#lW;m@t*eRgBN8!;5V(+GH8uY{s=dD$ zV3~ZPGMu`lh4e8UKdbtNUrnkk0QGl?Uzj=U(usywFqL_c=8zmee)r~0Fld4*x9vi8(|}rk1xx@ibom!!+~cp6s<(Ia(nG%N{YC=ica#hSofHKS)skLSL|d$Hf~=lPf*8poP7 zIk+sl8o#yxE9Sj@mD{u($%hw9g8b`@xsQb=D7Wps%yzje%Hk4b#wUV9Ev4V(F8Ujb z7zYoTF7rX~Kj|u%9f;tju`TxismZL}N+4U9ybX&V>YS33Ti3Ru-Z`jEw>7~d>x((= z#-pVcveC0S36h%;Rb5}{s87Ye`{}mRPb1+HJrNkCNqCSma^JSNFQJ@An67e%RWi4r z=gsJL@D5JZ3M->;Ii zPA{)HxA{>tYXxj0$shgxZ(62ufx(67T<|m_1gBi-Y7M)Qd8^YU4=}}tPsYxYDy0Hb z8}D#HpxqPZkjF4yU{2$y6I3DeZ?@!c8%KZSGr-5c7}mUEMJSLixoVWhra%QMdqVqF zJ!fby^4An~4nC03*OHiUb;}8It|>lR6C2G};*f&A&3&O5v1OuLbYevPu=m_Wd3#}T zNoLHP;Pef0AysgdDIa#$W?-p75f@kh@oNFgP*h=LtgrH`O?)ECR}oE6@VlUiDr3v9By`uG6c4~Lbr&nt-a z#%$5gXpD&t4uc>YQlp|xm_q=dT`H{UAWcQzz2wX zlmT8y_`0v|(Ub*jM0qzd(W~W<8^tyrA<4OPG`DIp*iC2Q+ENJSDFyS`j-E~ISHPUH ze2D!1YYg7`Ut{aZX!e>o?d+K!Iz)CX$IgE{F_z}9Py;9M^ z5m&#Y(T;!g{CJdmH`iTU`iC}0Q>YAyvSzp{?N#(YG~fLItSkG0=2)CNMSFQH0DgLA zX`Ije?X`H9pIp@uxa!Es_-QQu zPZIp&;82qwX~2f%nCoxl&@O}*R$(8i-_VOB2seeljP&w_+R3WMZ>;;BW(0Dgy(@+< z7&|(9Jrhj7U9FhrXP)=g^;PRp3B%s33|<5`1Wc_k&Z|QQPXLdmk3udPy-!MV&y&uq zs;ZnkmjWV`dl5ZrG(amrPcFkv{hCU}Y)Qxm{Zdv`P9-~a^oM6~#Xt&Jv_N{!#W`%n zu9^!Q)X*1U5v*OE5YzL2}e-dYao(l_Xo&45L85edOtUl%XjYJj*Omt zr+)A&EQzM^hW1Q!5-kuk#acL04)F5JA)dq0twjQ+(ZG z-~u9JNe_~G_Z~EQnl)TE!1?}j15l22r4YKokq!sIfmu$TBq_2Dm!+d0Vw;kqp84Tx zW;iPf}9XxXJ@%Be$14`tf21t_G?^%%4P$7|Jc$_YgW z?fN$hjiC`wT=0zb&q;1L_iY4UfwwKmLfGXVB=J;MGASF)k0q>@ikWJ3{Fc}#kkS&R zshj8LnhUCk>>1}M!x*YHYc0KGXJVUM<`K(3t!n3)GydDWe(RqGK0GI1AKk)5?ZK8V z&PHkJ^$~V>sj;^3UpwYOL$j*varJ%uH-*bTeT;#WoV2OCgMcmH;T>Dy$x>*>c?eiU zq!`rhYUzA~{7U%(CB6`-o+v`SXk+)w#&7-IdRg4NZJ+FjKK9>24RTyK12GmH(60|X zc*kqod1Mo;>*IklOr&#haBr8T7_=d9NGhlLaGDs8kqRrS9P~&op5|5xoj;j) z6%?~ibMTtlf!HMQqmtrblaRSFw4*}d6>&an?E;8n`0LlKsaByam0&igNVrBMy`Kz; z$+=iv6GTpc8I<$D;=%li#HbGU!_9v5#*5YTzXeBqtieyvztPVY?Q}TW4cGyh{hT~Q zf9W5b2V^{uFPq5!*?dEEzX3G{?8Tohf8f`k98wKgavkbx5&a%>RGL`tPWw*6s@!Jt828h=71}NkWn8p&EMcMQWsr zAYG8&L3%Fp2Rt|5gQ|b2GrCt=7N=% zyR6CVS^4Hv`XVRbvj&et3^HyS#hCnh4NbK+Y6WcdJ-t0F2|wB@XLYCHPQPsV;S|d< z_gPAWoot}C@cdQ2cXJC#{u#|y)JJa;i5YmH`(;ixj1%fhD*Tw(%2K`ZxLh#1Q*Y=L zsF@8JW}@TX$Mp38ZUvTA3SA&*20#s_*IqknBG;pP*VDH8Vs8Ro03lN23?GLKl|nQF ze9%M4in7AWdr^iPFYOt>SeX0_$$E~MB`7|v04k_q{zy7^j~|;6wtI(9VGRmx|F}ov zqk!VCn=yRRV9UUgX!nQ5t#k7&gwQriJ>RzTF2T41@vHg5 zJJbIV+K}w{n46_wFI-uDb+UiP{oMUtd)+w9LO9Otnhn^ z^Z1Y~B_2L|*B0vNPGg+7H{<#C?9L~m&sfDfw+hfRxV5uUan1;38SjIQ{^9)pzB3H4 zI%Yy6qZz#$%%qBK9v^7`Y?|EPO*v?G6W*E3c`j4hQVr7o@al&aJNu<=^$MjrGz^k;hirUHaL8 z)pQACrDmz5@pir4JA#+pB^2eCy}ft1i)hj7oM7z2zDtz4kbWPi;#B9A_OvDI@sxFe z#_0zP6oju^W6{00Ul`$UXaXM`I5gURHS6 z@BaxKra$JweUgrq&hm-0BFm2YYgn&7&rlXX!?%A2H|YHug8RH&9+(rgRj%73RA(?l zU4Ke_>9YkO)d_&Lp4b02(X8#|#)dslkUrSeejNRAwB54LK&e36Dq|Fu1nc3oxqLTU zBd~sw1r%y#TbxMz+4?(7*(xJeDk0XXzfYLydp-H^EDd#Zv^7iPWim$z%8gQ%>exk| z8!h_2`+K>|PH&{+?D0}F6{DoTj7PgQ)bWDRhp89)b#F#vM4!?M8ljF#9_6lx8_!B% zpT8Mp?$pO{eCt29%?x8r2AuZ@+3uF>4m8s7eFWq6@qW9cy@%ZfFMv^30F1f{d)va= z`o51yl+sPu2_6xd6jm;j#|9>7A9cLopL8h(eJ!5{0Lo(Iu)-oMe~@S#^2hzb$e|3t z!Xu)XXAanE?>jVi_p~8v*vFkRR9<^l_3oOh>_D|}HNxWQw79tj|L0Rx6dG6}nr39{d}~e|554`@IoMe|?NkDgmgj%> zFsV$*Eo*NEK`x3fBQa!a+rB?7ka`Z3V}1(7%xlTGJSG&Wd0}1S@AOCNpc82yEz>XV z0TX_CfegB0c1owYZ?iklmyNH#2`DiD9TCK}KLJ%{SC795;N|+B;6C{icZ)rzX1j~C zMQbjZ*Qyue?}yxyF?zo1 zyw-00E&%jFIQqvZdZ8vnXpi4}&+-{v8jeJXw>4iy<{@okE4GALgNAPGaeKa28%?Jd zj6dN1Yt~Ml8bcsXdW#m`2VA_bg7SRafc%)t;nFD6noSWRfNppw=?F_feU6b3)a+Ph zHa!>vor;x&!GMq4HPZswf1CeY9Qn>Xu5zjkFTLy^?Ozby;p6a?IBFQ@c(Ja6esrXp*)f9YvnCIbClzj7(iXl2 z4XX)Uy{q(uTsiFqHn!I>ROBCauR^Ea2WR2VA*>xwakBqR1NKTFQ5f-^0+5x;Pw=f7 zQ~42)tAAxd{(cgYl?u_Kqd`Uy?aSk5go>wPU76#xh9A*?J(-xs)Tf2EwuT4pb7Dzs zoSB-BBLNh-!}^^Vx5w&l`?*>oaOuYr2SAe=E?9|5tKnBfak938<| z%j0`;XG=Yc3LlDp^?Q>7eLd1xXC1ILWi?{9tN@w!OSc^zH~|(Xe`)rQmk+p6y>Q;x zj;y)V->xLIV3*&usovMhC2AkUur43y3LLKhy)%qwZR`Q;UfzJ|)`NZOfMY081xN^Q!f2+8N&TBjK`Bq3YzGquzy23reB7nCBDY;}as$d-`Jx zFl%8*>k?WzZIki#jK0Su>M~~S%tB!L)XsKtP8qd!jad$OV{K&Og5)?Ip`g1@`%*DO zZ9+l_R5HTyG^Le4xrjpGCWXN5C_0mpBvjJ-d-wTN$zmjmippr8zI6uaTre>xsYOv* zcb{Pv@c9cf#AVOUbEwLL_QDE}mEiL-X}|XNj`sF5`osJUOvIMudjer54L9e0rBhxF zpV>SK7Gt+%&BU>y6__$3zH{dpa9w{qD2#1yR*y}1-t5}L_{91U?rCT_l2_$o*3k$Q z_zGxxU&eFZQ&(!9BHwifbvsY6s3-)}rb* z*m9Lcf|X)Zp!nvQYPrs8h3i^AV5Qg=)J9n4cV9U7X{vdLt=FqDD6D{o8wnUS6Dph4 zy{Rmex*7L%XZ{61NQhF!8P(On4Ii;MwRX~;Rh*6>F?bcMM=XR%trna6?PDdxM zXUDkL(q%ejUW8WMxLpQs42yUOC5ZiLf#Vc1VWuN|qb7C5T_bAi$bkhLEmN*MltdGm zP8mFXd={%eGy9{J_v!gKagf6_g0JrqgFkdxp`87pVZuZh|}L-GG~rzY&}zu z(~PuA2QLxaaQb@KC7{+QPmu0j7yJ%1t+1~~!@zEjf^mt3Ng?u#r-16&0c2%(9{w2# zjXWYNE9l9T7$(%6mR;VWcjmml5O~BZD*IuD{q=BUf~@u*&7uh@f1(uBLG!Y+1I}8- zVTp^mJ$x!Be5;|ROWyXhVgsZuepWG4sGi^--*xpASEPM6&=tip@rMtu-TrN-jZL+% z=7Yh6(H7TF>Y^eSoM1ymH|r>KwMW#=IbZgtbFvG5Xa%ETL$X|i)08PVH59p()+Pt9 z*)y1W;f>BnW&3-rjVt$HrkZ1QHLa@hrgO699RkKPd+{)D6WYxx+AdpvR9VM}0?`t4 zRZ`S_k!qYE~VZx4BIJ&xy zlcgdN=N`=v)3B-Sf$KKh-cu_;{nA9(KAV`$Que;@t*T$w$^wmXJ(FlJR4B{{whn`r z=JQlCZn)yqvE{LhIb*EjrsW8et4i=5s9}Et}{OG#U{IIQjfbm07`4;7jVUSr7W`1ga53FVD9lW^dgK$z2u65``^?ut-%8@Gu;NaI z5~C{|Rc)%D&cci)(7y*;Pfq!QR&|xHvMzjXpW@rKVPi-zF4py?(d3 z>r8-RfC0Etc#%_KLd=zGC8i_{z9sLgO+^&eGHhYJaHM zD_Q*E$i`t(sDbOOLdec-2n#-ZnU4E7@#(04(ViXabg{^ufL6&yd#XJMFqxar~IQP20QS;XOUC zytKGFX%n@l9T#x@ZKF$OHvBtW5iSmKY6jBE%aIF|a z5EG&3WUUrOmdJcfMei%FAU~IbEp}XeJOXyDD$d1Q$uVW~ZGs`6F%K61tjWJw^TCUz z!@->w#G(6yKl!u`qnN-r=Ufsy76#*qFM=QR$D;0uB02@UW>zgg3Wi&_xt(673^4P- z!>;OGaLANw7xFAcbetLcy!g~z>wv3HGs1{;@Z(aCj^U7ihnGWPg_YLJhj~N7M5FlE z4)XaRAA_V3pGTs%sAigSM=&~ZG!e?R7u-qxjWzRXOVGQRiYJ|_o0(382SYy#q{C;E zW;jbv`38PIw+r$Wsg%cYAXbi~GeHDaTG`T*pJ>^55J9_KztAaZMB^zu4s5?#Uf1HL!?bcwjS`0EsP3N2KJmXucfV`;)X)1cIV^opqCSss8%taDudk;x zriben|D^WIW6iFt>ZZCaCF&aBqlbm{xJlCrexUMOANHxPA~)%Sk^WeVnI;&254+9) zR0%;mJCPajnGuDC6Db6YJzAj-h%^UjloyQ_16c%(VTC*+y{3|^2o6}23s~jiefrJ` z%dZLoc}WGQ0_dE1_R3eT)$Pxht@&d@ka6*q7w3yZYj zK_(Jy=QX~@>A{lO@auvjV zicfcKlrGT|J9RP~z=ZWHgn5Q4mZH*CDJ=;Pz*6@!CfIb)9@CAl6vgBD5VCps0YM=* z$R^S8e=$j&&d`te$}AW}bs%L@mndD(`su3@WYr?lIb2P1NhPUs(FJk4G1xAJh1=mF z)F=JEt=T>U(8TfZ9 zJW6Vpz-qt=;v;f!)(uG$C<53|0b_Ve#eK z4Hs>a(c$=jD=RATfrqMEt6og?8c-`jSU$`xh0ImAxoO6&c$cNdLJGj0Y2c=Ii~ zx%U@@R|hnh%4fMdbCDN5?59q)2be@0(h{wZof#2#vYGalEBtHVSX&w=Tu&k#G*{mV zW@mc#q2lcnIy89i^{3mLQ-X3c8mcy0v?#@Wpo4p5nOo+TChe(|9L>9S@4V%KzO0Kt%6&1|mT)tc?+!P(YI}PY6Wn#|g{j?YqGf?jF3`VneD!s8i$$X`kgSfXhTvuEfM)1oTB2JF!>*AcjNGvq)X)K)Nbv^L z)W+TYi1AT-g@&eq37gxhgQ3T%b%*lSGbQkdT349W6U_q;d1hp zR=56|v)a7F@`)F>IqAwBZEYadA#rUC=lCZG*^ZOBIM+y<&!3;-Di|imS?1ecT!RiU zB}vHhxCn8I`4~;~>8r+I47s^ijjooI>&>Vc6uf>8zUAO-a!}6p}83aa}mzSUjhGp{B0dlG)48}c=CC)2Um|3l+)#(hgV(AZ@4=^HV1zH6=jPDhBY8TD^w%@LN=YFDQ zqVq%#z$4hcL233wgclY1&kI*CN{gqC2+)1}#9OwB3J!)zwAOsOodQB_X?Px6-pqzi z7RV?6SnzW1+kQxitC=xy9%-(d%omv!A~6vsyZkBD(d2WWpHGsIG*2(A%un0k=LhG7 z7JliRo%@sq4{830b?(opMaehjH5f6m0k2OrZfkxaPDlgEFX7zHesRCR|mc-`Iq;gak-oQ3L_{5>mS-KHHMWrluAeYEW|+|0!1 z{Q4c7)K7LlK020a9|k4Kt_n$eF|4kdId)o6A*a^yMfIAsO@GOy( z%bmZhRrNU{-3`C}zpY?*?C(|(A=b2MIK5{{KMHXwnfkb7e(=A&;1}Vd$2gBeW4D`Q zV)5VJKUaFL0qb(#t23vo)_9bR^g9y zfH)ZztlZjt=`P5R5vlS*l-zvpCHRsqm*CrN3+XU!jXa|chn*euOxufc-L%Xs?X^r@ zh^fMfw}16e%OsqNf4}rq4wvnH(0v>AxxYcvkt;$#?8x3tJobS3GKClcV#XRtf4l$4 zg?tiSnJLc(oKW?cWgP!@isS~%2c<)@RU3w!%XqU@=BDc%!=sgsSw z_vjV&r0&@521UKczT~e89;nakw9%94c+jM(tT!}p0lrOKPhDVwIqsYx-CDU67#mtbUp}s(Ll}{)_)LgDo`x z8?lbk%iWD6alx&2D`e!0)O60HMYmLT_MPPoyKYRN^|OF_)O&M26my-dqmVCB-?Xhk ztZ=z~NQehGWOhBBZd6VHzw3bMZLGqcG;f^W(=!BF?`+&n$7f9!YBCDdVP71C9uHwo z? zUJ0f5VN!xGL$n=gdp$|rq0KDn^1a;23(Yri8+I04Ua{oTrM;ArL6SI9JPDvYoV9b~ zPp-T*==aCTN>bFK^7HHIOdwN4u9>X+%95n8tT5GpRK!F|(%Dc(Jma{oI9a04k}ixX zbO6l}@|_gsOq?mw^tBj%<$+76@*A`+20C@nhZ`64e6@&`GI>3-$DMWR^vAmRa^}1r z^?}H)F{V(mJt94LFTh$fk7=gqPk_KRF4U}e#8>W3h6ZA^Anck6Q@TPiHf%5P1n3E7 z%okh-!eG7L69SUnNJ59Iw^iD?upeW!xExg0w|X?=WJLgs7UQ%y%ANa)O{<*@28Nln zd)t_K?fkW7K7eYS(ag0Lv2#02U3Dd?<4$9Cs;4!z8i!9|B4h}$*876akB9D@!~c^g z;M}f@vVmC^JoKKc?5IGhnnQfIiUCqB{ zwK5^)z1wdZ$=moFMX65y9XodrhAJreXqg#3(*BsU1!iWo5XCvqXt5oM>)s{3EN^b)3K!yoA}1l-%96Q_$=`TXzQ0GgblV2i!0S%CQj%QO zbn%x&+k-pM)SbVO4dpL9o+I3yCjDuW`+%e2)aqq)N=B(bIjlO?08gm$$L`OWdb7;M z`i5Cx%*q|$nM(@AIu4$4a&N^8u+bmj5@nutV>HuZn#0@&43mDh%>!L#q@l>&Qt<+m z7{74X&85}0QmBE;beUu?0qR*@yYr?+?d_+g@8*eV$xHm!?R^zG`eQR_4X*z(HtI}m-p)!*$-9O6m>&r*vWncI#i))fYD@%ccVYCEEjH=tS)(| zIj)?ni^mvE4+K4i>VdNjr@NG!RLBvh_)(h8ZMwD*f2R2g+4W4H#2u}Ffy~>=L}xCf zrxKAV)(v8(JW9w{ul0eR@}g2RCjt3Ik8XG?R;B@$!IeiE>5;lE=sE?uW61(v5)gLj z$-4Itm*DJ^ir`9T%hJmZf7awGa+&*h#?9~VMm3iA;Vj`OJ~;dqHJ$SZLN!q;hcdWWe$$sI>cyN@QaZkpC2%q3%;g#P$2+?60-WqeH}wx zUpAtdOnm_IW%(Cfh0ryK4V%MV>nBRjhz+Yem4XhMgJH0`7U!8&xk(r2sh8oVz>vdse02?O?sLS)t zWdz%YsT-Rm6>?6m{^N)O*X+-D5&88+YyB_D^iwmgC*?9yoFtcyHW9C?1SY8iagVO!or*SweY^O8IFY+R5tJ(V z%;^4{^C5aoKnMH{%VFl;pl!<8p86DQKf zqQ%clL5I`DA8&ge+$n<#0bl>1&x}Um;^wR3J02L@q=#Zu0lc|j%AYbF-;$FlGaHk< zxo4u?(e={rt7B;=(Gf)(K&}$G-i~3;s2Y?g}fjyEr4Fs}Tioc^z!nZHdItvDRBR zZXxEPw|{FYvOx3Z1pr{t?l16AOQ17<#?_Y?%vxHpY#|n-V8QY)t)-x}tm9+Pmv266K$GwTpn8V*FM;9~px1 zI$|OydS7JFy4t`yqS)HH&d+<$&w4XRHLOQyZ{?WDYdH~p|L1u!Q}qzYTy}*+ZHeWe zstP7Tp)T%IDWuJxDK>mLaJ*rWjBHc%KDaZSy760`CTDCrh!Z8#$r$^C(Io~S`NLa2 zNHWjs<+8?My=m;l2<=OEU_SYc3!($#0sZOw)f=KyrbmZyR;36XsATZC#%C{nmfgG6 z7XK-~<{ou4u#&hzR*Nm zco0Z{cYidpL!H4)9U|YEoBx3ptwhOemAP=|uET2y(YgZzV9-vPx;(Bxc_`MN7vWNe zc?7lBhZ%t!rebfM^Kr5EIeoqcG+xD0TQ~|gds=PKSj&{@q369b#0V4-K;N=GI)@ik zx^Xomy9NxJrD<-ExH1l2-G#zY{dE-qqXeM?zRv!$WAIr?+B zV4ujxL1C6T#k$|Apcf1VndfLqLtiLp|Di*mzg6?V@10qaFTu4)W7VH$j}a5o_Imw| zt7UhUC9m&b(sVq5A2Oc5PtvVh4p%EUu9*wjfpKr;8Wx{0P=*Tf4TafYIIFg;`mVFBR&d&f(`!UEy3VEaNnbl4zC*5cTg}I5R zfTt3|_`Os^kXy+qQ_0Vub=m4p4thqr^h*S!F``@Z=()qA2csAyw?o3egp5}5NZXURg=MpAx*iS8m(%*@(3Iqgftf`xl%@juk& zZ2+)>X6L_U6hGU01&GbE_`bVS;c9*b<7jIKOr`)-dZ8`Q+3D!wed}W+fcQ$J14;ec~MDf9GjGOBMxyLN&G_e1{Z4$aS@Yl>TJ)AWlF^vnrMK!9?e0W74PX z0r~5l{(O@{z7hx5AG=PdePtz*9T;tttZpB6@*m7TpQ!aJFl)*lKeq)*7ndH)ike=C zH>6=&B1tq0$F@zZE==0t#~DX7W`FVty{6Nf9VSmp9=S-SU+Q7>fNK^-OgQ_CZ~CEBh1dFb$Qhj9CqwtY2l~WI zbmrv9SYg`s{-%*J;|qfTe`=^;4kkFm#VFHb2s@2?bC*&)bDKnC@(wC?cw$M+8OzLb z->`a8LhEu*3oNjGVi)yHZ(P2ho?i`+Z!g}UK6(OZJaQ1FpXmXo?)6HdYv8(QKG5z~ zaArAe0=IjGe6K!Ju?Z-r4fAWjI&HBU2=lhFx$LigemWbyP+;K7jbgeBG!4*nryTw?H@AO*hd*{&2^`XzZofwKYUB~Qs|Cd zB(eVqz|{+P{*;z<3jO|EV$ir}!bxkM7;M<}k&SyQTy|jV)N+7Sx2u=>>eagtiLXq=JG6F{Z+jjA0Xagb{q{A zDepD>I??7M?q44fyTNae1!J8v5cRxh+T!;06Os1rVf!0*gAcWE z_NoNE|L-C(YUIeK@B{WB@W{mt&)?Q>3f^Y!sYs^Jh(!f{u@3~0^1of;s9?HX$*REb z(Um2=x+g|ywJ!gV4$te*yyd{|nB})d^w2hELw)c6l;x0L@Jh@Q7zSC=_pQ>&Iz8va z_YJ1?u2mC4lpdG#5|VLqt)Cxj$89|J7YSGISWr-pHeClX_xbeHD+EdA&ioT3N*ap` zk}cs?T1gjjZq?XwMoev}8y_rcktg{EJk%mSJoY1dA-TOL8Tp}uL(76>a)Pv^=mV}T zb0h&_GOv@nCr|ENQC&8{o{PYb_0eq#nlzY=={E7T@k|torJKl>wR=od?`_@v2={X- z`&I60UfBibHL(I|q_I~%9hA&LBAEbmca6 zS*AU|X}!9qZf}|o2wjsDTHmpi)ul3nvNFQcROO0?9@9FJACa5#YZq15^%EwqeXSG> zhi`u#cCD|>MtDSu8!1F!nEUBw)7`~ezkOHE(;Z7aQ(*pa)wZNF`v4oyuj(Xk;;o9} zCdG(n`s50C){~IVK~}FwxFMyH$XYgWqH{V0=3Kh#*KNw?SO;2J=K2P$wCiSxT)I3q zrEQ2)lf}+cwjYWtKbs2bAR*%S_^B}5!}so3qj^qkyUJLPxvtbiS-M@NGkw{0G`$*J zxs#vUC_RE+Eiks9xpef6C*I<=m`aLS=1Ve5yd+N#u2# zb<+G|z{2H2w1t#bh6uqIJ~H}5tF?sf4#U;A8g8K-m?D0u z>QcQs6Q-#p=FE~<2>A>BI&SCgx3d-fU};$odQFyE=<^4gIVUCsu(q;)*nS4b!ZLj% zL}Ay(F?XQ2r2M?LJmx%SjQ$X%b#mHivud{-)Z1u!5rEYaJX=e@&)0HuS5GxB7hX zuN~kwIl!gZX9NJ$>1gF1bCl-TIr>*q_&ix&Z6Htrc@-rmtudi9`#v`F3%M=pP}NmI ze%s0RFvN4Uyv%Yc&5iOc33GSW)8N&@E3-c6yeOO}Jrz%$3}_8HfIhHrPL?w_xahU=LSlidi^-+CZ*NtS?Z75 zx%m-qdJ0GS+Wt};0!3vP7oCmXm;`EtVwZh1Ft5I+^k?|0lT84(&$a9~WVfG{@$4pL z6Pg+ObGVUfrBZeU-7k=<{wtR{e=yb$2Fi(G{Ch_i3v8WSxfq$fmf$K`%Ed{r>$Ddn zl7|8dVcwv!lWTXxXK9J2G?A`3Zl@xWW)u65g5q|^UMNCMMa zyeMBDHIHY$-skZ5$E-|LU7k~&OWvqaQP1<2bAG7vm5K8tC(5j)HemYxn*8K|?2&G` z)wK81J)J^!QJD~FRGI58IyU@mhKwo|L)0Z&Y#?rLYk2dQK&#?Jh20lH@r&y!fwKO- z*x?)2u&FX`2bGhy-;bla4ly~?oddC)aK0;`YQh1xk40n&^hY;Et zl6lw51piuhQzK^E0f`z9i2r^e++MSjhMSN?4h+4=_GnfJJsmn zYWElG2!g&4FI9w1Q^?o~0Nw*3ZE!KbF|z;LN88!6Y){L(&{obaa-@6oY|Z!Pf~{LY z3#?sLl1HHq5DI-PEZIJCKSV1Yp6dZ_4_NP{Qvz`Jx8MJm5&cMVSw+0@Tw5mijZp|# zCPO&%@>AJF9oEoYaM;#mAA4E9h1C3RqtaujzCZ5y%=el5olA9Q)?=Ug* zAq&?p`c6ekB%=#3 zmU8naZK#a9c}zUFd{?(6YBmtlQs{N$`FWD?R)S=X6o<7nWx6HIU#~0arp~0h*NNeM zU|25H@gKnIR0Fa~-Inrwm2zAL0@S;c27^s}2jQE1w(<6knRVB8?mHF8XTzjt1!Kq= z3Ijka2eE;9!*HVQD?zc#`+O>S|78*Kh^8nYjk?R%s(a1FJ<1c5KzahcAUsI#zk4d8 zEDUkjuAIlnntf86i2@mnGdF87a9LWqYnFm^Tp{jCVSV6Xh4@ya%yp=i9K$duR1Qz# zs(E?mBYZ&%*43Pjs>1o8RnpLNUlVqFD85Sy2^ zNmKS`w@(1Hi;F!XE|*z4sMyHP9NU3=rp`rxtiq_TjSAq^c_^K$jn>dS-vj15hMF_@ zf!wOufH)07Zp`1LZ40e&01`r1@eo^+Vdj>G!&KZ%U`1hF`p8~SW+0uoS2JG5p2JNt#QYFd031-zK*La;MsDS`L&BV;bTQFj# z0P`t3b7*f&-tPFZL5t(hlBAf+k2clSfPK@~3lf?Nx?y|H-^OSSxtO=-n6xthFY@B* z|23Nz6Q<)iWyIa1T$}KXNQ~cA>?B?8&5&{D1hEu?6(wBOb(azl$e& zRTG^SD@=FlSyJs@{rhFBZ#C%8z}Di69A3BNXL@oaFkIZ$?H)Kzj@5$JYO0jMB&r%t zqc_tvDlyH8BE3qa?Q?BG!=ySL#ZIi zI*%tnZimAFtn=hHAYK2UJEc#PR2Fs#+8x4K=}$BON;J2UWNw)6sjN^+hWf7^ z%KPHbp^+|}=F~E~o86ak4SKERtP(PxcV|`#Mb2}{C2Oxt-4pVka;=t-A;9KB`en|5 z^6WE4%pUNa5lwoxV*j8o^YrzGS8v1f^Eh|IAq+nTPw|1JaXf% z-mnjE4lQ@(=WOw%oZEaj-|)MtO2C6oc8R`>{Bz1~hTeK5Vb6w{IbyLjesNj(I-b** zyhE8dUx^dc))}CMCmnX3$={bVkLej}`-jE*1ugD7W9m0b7C5_=dc2?pY-#%*mY1?O zgr4hmyXp~gi!(ZDTtafWSVueA-O9GiNhn7y1xa;srxn>8z6UAUrpF;!p} zT32_|zZ@7bS%-OI;+!|aaiRAL`q|TZI0sDBd_E>pv!~?E;n_%a)Q%i3Z7}VM)7)qo z2&{`DpL=1SK+0l8w3uTgnh9#V%GzyAjg}40?9?I7>zs{Vz*R~fYbpQ{lwKT zK6FswG33piHu%`x3zG!A;I5w2t-{8bEOCn&ln(B`vPZFI;BB=&8xJ3rVQ9|S-Jyv& zJ5Fht|2WVTK%`6_yBlIQ?-Tw{0XwR^@#goYI1>nAOFt{$%eG*lVC?SdE6FwTIZ+;c?l>HB1~$Q&sOPFa z;WIXptK5INMKo_QK);03CcodHrfc;c94WyuYl723xO%7Z?DB8}HB91A2Z>skj`LtB zC#29jYGUW7(-0fwz$c`S&~~m2wB@PgjutUE6~T-75Vlsy(v}ap(RiSZ@)9!zG6gFp zO-14L@b&j$g&-xUSAF%A1hP7Kt3H-VHQ@EW4k zoC<%b7|=58D^w*P2_v;Ifj>R|DIH?FYfxDGp$=I^yGQ@2;pwo1ImF$%>G{|qZ)YmV zP6Aub>Nyo)<~^v^=XIZQ^%ZcHtIzLIz8qOWf`7(})35rm_%RC53WZ`LZ0@CiTxpce zc%iaRTAb@9?c?XMe91G7rB5aYRuWGj6BlsYj=uOsc`TU;L0^)Ey9#nhbrZ34R$DN z=>WV{QDk$_<=3mXihjzf{B9w5}b+Ke}Z_s z0I?@$($-XzHXwbm!m4MXD(P9Y)CACI6j#}k_xamPs`R3tB%qBdS362bzy)p``>>j6 z2cRe_CzMN*e|5adm48Qqe999YB=-%0W(w)OPw2T{lEF3$KH+Vxclw+kGM`7QJHQTH zH;fvXyUqmK$1XS#jfJ)aal!@PZovyj;+y24tCem>EDqJ1{hiZXft;k}ir&i>I`EO| z4pZ+ld9mExeD2NfzOi@QBR8bj*tOGA2%*+g@Pc91Vya)dGJuGHyK}&gv_pys)Ro-Q zthzJj`qg$b>iLdFbNfE(8k7@z!PavaKjFSD2pH70lQKHLlZyY)0eo1(xS4S>FZJjM zpvb1M^J{%OcTm&&;LYWayTff9y>2n~#Hz0ZXvCwDsao>{`^PSnbNBXuv0DE}=?8;| zrb%G#QLzbKy6R%81|Uc#JD_K9rfDgPQ>R6kHpc9$ zrkFXJ*k{}*kM*RmLp?~=`Rd9DGBdml!DEB|$^~iE;g0bF7~GtzA=cVK;s2MU0vgrU zK35{FcyK*^otjL&0XT4gF_5uGJ%GzbEaaw%x^ajK&=dau_&M}-Z&kFiEQx;Ri%*0yURSLyyqwd54 ziC}l{Cz9cYLAo%cFgeLcpWUnFv3OAbd?$-Ohat$b?w8ZEDb{W+@bw+H;Oyo9jJZyD zE~e1f&*v(XlwG5~GR>KXoDD>a{+55FJ@2fLYzz(l7J3sqL|%B6&Cv*L;V!X#C&Kgd zXTrDB9fj{ORj+Jn{nECk_HP`=1qrWQJmMOTga3is>FuM)eiqCt#0jN*=s4HWb`Rre zeX87iG^rp*y4Dk)!pbSut=}*K$)4FHVILpkc&Hhct2l3+727W*EW-)Rh6=V!c^Izx zLT|t9T4?ZYb#Pw3Lecpr&f$_hl6I0g#SqQX$1L#dv&-kNH*b?G{qR;@RziRJ5WrM@ zwu4#e0XD$hN}8Kbx9-)@E&+8{$Aw`yy{!CvWUc>WFxAp&r zv9}Ius{iAM?^{7dI;1;AN=X?d5~C3iMkC!lx&$fd&H+mIq#JH288M_oLSS?=I>vMO z{(jGOJ%2u27i_R|wsQ{K=ktEQ>eDw$gi{nGdmv`->*d&Laf;KEwY2D3?o6rro1-^t z$%vKKfNt>|kN75WZ_fo#PiL$+lev28#v=)4u!4t(S1#7Vg*w{E9V~Pw{=Dx;nxt#U za(<9A>hR3_-yv!2;OC^kCd&pqxw|y)<@lw3(Wt+}|Ed>a8`{e~_zu{23){!kaNGtRV~-ldJ{OG3^XAQZ@OC3K`2anlECOr3{`ec&=Gkd$Pv}k zchv`^@+e6y=Tx*2+WWHf7o_VZ&73y+%B#i~i+YPU*4h4uxvj%xBIJ6aTvcM3B|=0o zahquq7>)f%JQLM(rdb^S1D1~cAi&89<(3mRmkeTED~AOCov^Y`E zN)1Z;D7wsi?{BJuX>lG<^etsHSPp54)3-@x8l8SqUubC|6IWF7TB^v0@$F{FV=BYg z_JH#Et(|q`3qfWsPBtaFlXAcmA#7)wxA88AS&un(uSEU7U`FX1sAO>eldITnk%a2| zVr$yb69t6_J~3$L&%_m<4Yi(X*~55Zf9e=bGS;-CFjJrcfycOq_cmwG& zb^R2OGhhvf+M;7qD{@s)?opq)0qqqSkkwod`yjD@Cvde};}#~il`{C%4n3nz6uCA? zpgS>N?bbK`X(PO*{4xMFP!XaJVYNyM3`Uvs&ovo;NTHATWbdB24}00&YUllzo_&N&0BqurAL$0wD)CRvg1av!2au^yS5I!$R-mz5^T3E&GR(e~Bj8Ns@!dJq_N!L>c^=bFzaoYsJ=IleujKIjK%)lIT_C+Kv^u;>Ao zxvI9qgb!T&QhMnAY1NV#)*GH>!LCFj2@)X2Rgm+9u_#3*>xG?W?=G5~#1FUzdaXR5 z?utiIM<7Hyh&TSb#LM1Ri|i`1$8OLY&0-kQR~X zTCoPC{UtVOvci=2&$yhU)lQaQl6c=){||OBe3t|-L!sJp++=H8(Gx*1_hb?#8vLc0 z>F#HGu*A;|Ld==xT!vyFWXMYMy^2-N2=-)t@Dc1WjPsp#>bJ)134JYU{L}BHfm!iJ;KM1F#bu)yEK#tBW>G&RII=ST zEnozdTp*DHtfSMqeB$xR28b$- zuxhV1VLN5{1L#wg$&xE+q1wrTIgEu#U%gu~*giUV;`Wx>5ijM@*cl|JLdI;0pUvGb zuH;QV;cwA&@RH}}f7Es0T|0DOR+%N~nOTN0;#a>}N37gWHQohpl}nRJNnO;rxKZB( zk^)0l+MqeJNfpwhH7ZcZEDh}pZE4k1W1-m@u=u()L&*hz4#v_fVmgjl=JhMt|JHBZF^Om$;vC> zd0O@D@irTzB<8txpTUd4efZ*gR82bC#m;Sq6Pok!azka+T%0y%*AjBd9mUB5%Sgk z>VK)P$-TmF0N`OTlKMIgNplS>z$Dk?*}J%WljaiXV-5z9N>uL@KyNdB*L(v8U?!`E z2~J6T$Mj+e@|R1n%@+>R=SS@!@MZ}nJ*>M|N($35$P)BlCMf;FFGn?&>ix6ZFwKZc zO$nJ{AM2f(wQS3uQv=_j?AI1W5}PbrRI8+Cy_JK1EE@i6Z7X}{Cix>t-~c!K>2)dx zWff2VpS_Sp^AauygAeW1rptx98zL4j@wc2Zi?TfH@R^Rhpf2r!T;dNVxo&#K3k!{= zF!z52u}muCuw)_9UZU?*XBwqnzjrFBmqM4GCEHgwBU59nCp_y)gW(Q_ z*&2B+iBgFW68Ox|NsdW3YSGl|(5uvQSde@`x8@|rJNxcNhb+qzc zFKy^lDsNaDFvqjp(;ft>Aw5jCNY!Bc4B;cpd=>sL`C)YR(n50@KgyfjB7p1Ty$XZb zF9^uCTgKcekaxg;bU(92(T-~;G)suX|GruUh?;+Oc(C+3I<@Fpm?2ycO^`)FSv-4U zy4LOObY9P^ksilLN~!&{#jIF&-rO}*&qO~!0lP)O5!S*c3W-P9 zjJ5t>D1cqhWR?8F->uqpSD8^CsJQI(;T#hK9=sej{Ucm|H^m-cfY2GUIUV?&ZpUl- zRI+!%7S2sSWR9lrQa~tqP)X&^sA=l(ZrJ9Jzwg3PuIT3kjQh?N`mZ+&wzuy`Uy^+E zuXt*J-l2FU>dCLFW-a*3TUG4;$Oo@B&Fts`v;4i}yEm*1O_{(US>n->-!Xy713Tqx zKElr2QraxEIO6b6Ns^|c<=DUEx*?n-(=NNvwZtC*Y}ST(M9zL5hHH*M(OhwZkD6j4 zX3hr?0Fza7FzprGZl$&%46^}+8wLhYBse;m}8kQtk(0)ne&Xpnu znC<-Zxl{||=ufu$JpinlWOz}iE!UW3TsSkTPstSRZY&LJ6Wa&0O)wKYbMefuCa^|R zINq|!!MyTvwcCARVvx7-Pl22#H0Tw{&;^<<+w4gti#i`b=f92bG4wJsDBL+LTV|Ms z%WgCexO}qrF-c4rqiJL^xnHfuox>^~2GbFLadFNkh1p*JGBb*?3CfiSZ=AV6ZT)hLpHcuN3KvX z#NN-X`cOCfd}u~d^#bP*-dQ*B;sdBBF} zKaPye6pg$3cBt-FgrM-Ir;EEhKnva~<{`BkKFV zdq?eL7$+p_ubBW1q<;WaanPPWPgHAzePhXoTbPod7!m%EUbamflPF(*J(GIz-2;(N zgmF6_7gWA#DO8SFEziQxCHwN%P^Ri5OCOcWg^rN#V3&4@*6IA;8*>K z87|$A;DZw=>OetQ-3`Snp>O4}nf0*JQQd1!RzSR`0Wud%@vM^*|M%?ymxqK2!uI5Xk?W~=OwsDMzf8wQ8ykbB@I_-F37pPjuv}|?q^MkXXkA^ z@?p|xV!zS_Ej96V_t{+j0o65elv!CMJ$C>b`g4SdeFHS{l_m7MEMAFWm&RZDWBq3pBwDittYR)~ zFUV_#i1+SlkPS>k{amIb6m927uPwfdz@JkEIv!CT5M;P-F zVDbx#xs@6}J1GLanlKiu9l8>CT~yrf<8nVK9lJ7y7rs)Rna*(o>lFD)e6C)dX5^(e z&5+s0(63(8PT5P2?SZDGtl5U?m~X^$cgW5lY9DD2&);ayhMOTwlcZ;Jae~&);8l}0uJFmttDVzW(T)zzmdRP> zvps2V9^-QXo?tw}r&6bZ@2UX+ z^~PxDJ^12)507`W6lH8QT&;RUt0_v!}Fi+)1?t0ujf@E*L?kN) z)_MLLu#YY%1)!b!@~~&ixQAuA>Vv@!hElA4yk*s-{RkiJv8ybY2XxHz#XP%AeyAgZ zVw~U!Y%oG}S>ZxwnFZH5-$oBdNj$T<*Jsr-=RSGlN%eL;B~Q5w5ad$RNsaY!H}PNvC_&)Qjx{oZ*EpiHzdsFP`R`xO(igU*)gT|SH=>2h% zhjUDuxeHc$9G0&X{M(G#&#JpMD8VA`mqz6uKPHmvErg%SG3v;d$?UXYU~7^hHciO%7_h$A{7K!d;6pT${PVPNXWOE#s&$FIeQTg` z*-oOYG32Fy5hVnWe>C>?9d>hVclH5o2T@U>2M4DF~JJn z7)Y;}9ms?%E+~|-WCrc5DWkknX^Hn|%S(GwjoqZJMrTrox$Sd->x9GL#}pr1Fg`l;!Mp0idxubm=0KP8SSKO;VWGP61{ z)#1XTt|6NEjce0c^#ksK07Fqb0y`7-q9YWa$aqBy-pWP&!2_{_(W#BFjiMpAn3c|< z1osbQ?nJ80hz*SP_-FGIL|h4zzai%_I_-7!z0Y+1a@Zn!H$o#W22G-R3mJdYj7xX; zu-#7hl`xP5@qd3T&g~p9n5)c_4NNnf#Dr05;ANeFfb{As;UoMT4x+^}&W5gy8q-cy z@4`0r;Gl$HJhbB^jwAm)8DaI^Te^)u&@ukurfu+0hhygmgAMec^0jUg`N|Aw?R%kR zb(A*irr~O9ctS)143Z4PZZKpLGalwdVX zA!Cf~27ClE?am_y2BBVP&i9?l18c?aohHqA7lZcI3=dgCm$fcKe7Vb9Eb20W+*%JJ z9j|yB>N!F=^^H8r8>OhMpqyi+A9rW6{-CqqRYs9hL;S37Y(3X$Ck@}F%~4FeQJ;F9Dge4z_TMa&L%^C*~Un} zs&ySvyBQ&qI*Z{nVoLM(PSDr2o3Y+qx(SmQp^WDNpS>#n>%((-^=XB^MD+WPtz2%g zaU`lnx|O~F3sE;F?pQAG#8PdWcl=1rMW{9gBmXGh!>hWGtzfPf=Mizc4Zl+VnQ;~N zIm_e3b7k#^J_^f3Lq-3+aN#tsn|RLHrEMQyJNc{rb;H`o`k=J9pF-bzljm+lPME~g zQl3x=iq6p6_j~WHc7J8p)BBThN-^L9UT*E_U~&V=Sq+1g)CAh4CoX1>p2S}D#KBka zv&L!WsbS|(C#D8zRa9;TiJRt`S zs^K+yQ?2usoO~muf!vfY39x+%#twg{-#+Vqy-ITRWQ=sccFs%UzZ{?V|ctyKR?^ZicSK*A@3 zWc1`C!9q%xvn(}Y{N4HV1BtvXW7R>&q_*V0I(wHkeCgOFU_kRX>gf{v$WtZ+}E!R7|Zp%pG@tBJ@7_z zcI992fKC~$hzz4k9-51e%MnWD;-srp#0Ye>_@p01KdfiU4wsy^&w_R#TAFJ)Hcd1p zj}aF80f$3R+sOT25a>`c{{R!zH>;WwMD_oi*tbKT~uWaOf`QR zf4Ld_abhlZFjrRMzgE*+r>f$a1YSuo@tAxk86eI`6?rC@uNxm7N0S=MMKr)KD)*^T z0m(3~f9mmKb6jYm5dx2dhP;u#!?dr!fhMCTa3n@i5X*HIh zA{(52X_k|L|IO?L-IhAILf=&tgGO>Q`miVmjyBlE6Mc*x*U`wlc@nSzK zeCq+?H^7B+$!3nwT)oiWBGG%dd2nA;aZnU#uMyi!Pap$8PbM7M7ezNI*!bv`82FUE?)5LD{P zWKST(PvW3eq=Kyl3SQ~eg`j(4Tt41%D`6>xcr68d1T&2eWGJ@15XgkP2@HeBR7rT6 zd?rcK^A@Euxh>|2x2Bi7>&Fj0;!Y{pE?tO@Tev1r2nBBjO1F9g?Y`!#cw4y&F$!a4 zuruE)>a=0E;k@%d@il@cXe(@D8@K+qH0LZd6v`VSzpf;Cx$#8tJ2-OP+Z(6_nd7yp z0M?;i#9Sx3+iw-ITuEaN3WA#-CLPHh96MTNJW)rkS!Y0uD(hx! zDD8X0W|4S{d7s`GNQf^vLX;(RYZ^6N&^ogmNkMH((Gtc=5n^Pln^U_9y|-DF<7?VksR!?eY!5vl2B>F(SS*rkGG$LjSTlK0))th`U!!z+ z*uMg6B_w~Os@)Ee2*6VJzkUYBoeA-qcl@ZvI^n^374Zj}WaA@=5al2P^e8o`7TF$h zW5px}`2p53{;w9f5u@aifTD@NQ>jONYePddGyGu(y-fWh_VK=?O_CAu_ou0UU`xokpBCG|Kp3Z2NtG2FlZ)kx;oPZ=BdULFc4Zokq~4i z)mR+;XV-3BNzKM>r=nQiyRp9&862y~%S%bOLdCAqW3F>spR#@wRoUZbG0iwl4%`%p z?A>=K-i-QL+!p`wDqa3-euS4VYFCb~kXt;W*P}9DuqHT6OvS9|4XW=x8XRR5Hxq6L z>s-5d$5*z+y@K-q$k!!_*7zss#@*HxWtAL}ez~C|Hg^0M@#HrYU7mpq(*?po86roX z+RIXrWk8Z%INzJhh+&%ZF4-MX`jG98RLB)U;`*dl<=RGv07U}IhaFd%6k%gk5`D%?CiU%T8p; zO@g9?j0X(_7(FKgrlxxdYBpP2kl!QI?3xl~++^C17kH|gX9Lj&H%A*OARm-Edv1P?`Iru6I8*3bm&1lmBcjogM!Bf)||Px}~Wl;d-X|I9(r zWm~g=PZI$viXcxW97e|%m#&#-c7>mZaO#>NP~x!MFMIEkF4!%CqZEKaGuBsn8mz-q zJ#qH>L$OAvNG*0$E+e>0de2Z%`5ko`c;{=Sx=1kGyjd zupTNlKQ2J-YFzx(?sGAh(kwF65PaTlRu(tf9Q6gUPOE6>wWMti?}Dv6?Vss~azRlz$l>_2+2dGr~dp4{Yu{Ko+4rl6?vH<6kLkjm#^VG7EDd0alD)@SyHz2wrRRT&t2 zz@GH6|KS}A^v2VDv4?;S1vVmSR>5u5hmPSGjxvq}j`+O&vU{JF6L4+k#mAjIk>`&V zsYk3Iz=9@Xig;FY?Iyq)nfrbdUZjA$hGO)~n+GCbuyhYzg{R{Rl|Sht2iQ_ku)}c{ zf*UdFK=7IyD2PrIL9CaDH0ff`GV$j5m~(UVijFkg$^zZLSMOXof8$oI@ikH9q!=Y5 z9-yog>L3|Y!VxTJF5XBxRZ-eH^WJ%+VpCP6XSaQ7H)p3jiFMP}$yxVh$nHyk?DAa& zZSyVOmGsHqsnQyO$65fVH(a9(h&Q_W;oZAKe^c7e1VEb^oD_&=Ol5>?t~j`K4rQc=TVZC-8e`k9pJ73EZiF`M}U$oo)-4mgJGSD-3# z#MNuNpQ~>Y-5r&Vd+4)KewEoDZn?o7%X{@}EL^3Q5G~{6BN~)Uz&}&=(J=dXp^h5b z%h#Ln9~^#&ux|&2A6}J<3F%p=kx0RdF7>Qx`UvwRIJSd48(zh(xQz98?Knob z_GniYO$h`XsLD8G+*I)#jMlggf24@qh|LkwNe&qZuUs3W0qRtMJOX;uT^MdDlS#$u zkHlq-%1)Nhx9J0PP;R*DFC@pamV|^CWyYHn6p1?Uiqnl(c&{x2$-w8gg#aSPaCjM~ zu1R2#+;jfv#i``Tx&@jgT3J3BJ^jZXSG(^el`2^>=HKxFt#V0$O&S~V+lazDE9&5% zZT}^z%uwWTaXPdHYA!tbcdP;H>=E??BIb3_^DgU+$>TXq3gmy7Z)06@{G#a}&&H>A zCUXm+1M=>8dY5k&DG=NXgDsz_-8`oTV;2-_u0x4d4Ve$w{E*DN#=)BwO|R>BMO2GH zV>;1SWEG>ux&e@1D35zbZCqmGD+`7w?YL0ompT*)Bk=FK0VkDa9(NCjU8%x&D^s?J zcWQ3-OC5;jjza$n^{}Mwcc;6VWEBj>x>a5C;=DQ{nT$GN`Yv0LssKRK9|!~>|Awb6 zQC?4t)5vt``>yHi`&H3eKTS!p-Zl6PK+4nT0y3OPgaUzwf}$2o<;8*Wlf?iUMg7O9 zuqm_uZ*fU+(_)|VO{121(Wo_P=N8OOJH6W|Uw6LRqTBVYVo(`pEP8O~xd~rQ1^p*o zc$-+7b(`_U%(mk40koG+9!Rsa@yyy`w7>X&;lg$>sNTEO*3A=A4P3c!hwTg5U_rOC z|8)}=UPccSlTUv9Ypm{3cXy{P`q1Tn?id%@a+J^X8+2=@9V7?xyec@2qf3Y=;GF{| zBnybc&-vtwGpCP;aRAH?_P@&Bwe^19XqOaW2a=7x>S=!?tGpe{q(2zwuw~FXJ8)%E z>YTZ#@?&&7b4y}!qBE1o*nGtwL|T<^sHiI6Sm5Uch#;DqD*-F3SZnoPJJdFyU$C`Q zM`{7LzH%(x=0jj2{0%cX{_Obi8o1<%?rLkWe2up_IvdIk`m@gZ!nyUmUxawkxTO7k z!bl(IOoKZA;c5)v*`3DSjInbS+SZS@5iTrUtC)CfgG9Ii%0|~k+}F0@gfw$1K>*{5 zwtEAcV-{s7Z}<=a_LQr}jb2x~ypUxU$M>w<$__PV1iCncdHxjpIqPWu z8C6so7^<>#&;YzL!j^FeHK`u#F8Mh>Z0)LrjK^@Ip)|rjXv&z`AAa%f@a|KoUNbu& z5VkOiO3PSDOF`NIr@5zZ%OHa5L~_3e-WO`?T%XpH8?r16Na|!0XIQc+lx+P)CzkAO zek?Z>QE|T7AS7GN9+`H=slV!&a}@pzJk-XuUw1Z2#N(@A*R9d=_9l&uML$;t-O#Cy z&LaOn_#f9%dqgw&vVT=W8N;8x_+}fg#DD^0&S`6z1}D{<8O0kDg&VQ28(8^zVKzFfM!?vkJdD2BoSpr$`VXFg4XRGE{w!I!)io6Pj$ce~}-jD(4@wI+mQO(d?WHT=l!q=_Tt~FmoUejrd`XV6z zkO=a9uwi#?LW#d~C=*7Mv|sU!pqV%KtQ7)yMt6{7C(p;Vp&rP-nOg=H1h=($iDI?U zW;>8MUkAZWqUs3ta!(@764_a5CXU;Lkmp;U^b?SJe_;!PvDVSH2ZW~x%CuDzlym{a zd$RYJ0s^p>n>4$115t&+MBkz8Eky2dbSoBbB9QHfFX>6J)9Z~}3(LsKO_}CK&0ac> z8$a_z_!s`&0z4S#e$uMf@2NSTs2L)cUc|=e4Xt8Z5HhH$vx`0@?RMiW7@G`1@Wj-x z@6}ANV2)5BBQ}j3*)0*`+0D8qYhR21v8uNw9mjlT9vJQxh_oU>?BO>i*#F!Oa8x`$ zx*XlxR~(F5Xn-*M{DU75`p{STJq_GFdUf(@D3euxBav1zuhzl|xADj~sr?O8vg{CR zQjkp2+7&vYx+sJ}cuV!NiDFqf0>f zjEMCM;J`S_um`M|9u(k2rz8(7?O1n%ZcFo}K($wC-i;gmS}q|X)?dj(7Vx-ji6qH{ z`*-0yud~(_k-Rm<06c;>{=g3>|7`|h>?8hK)yITk8^x7*7cn{Z4N#mo-O+9zY-F+%vGI_w()|BxfPOf&0}aq- z_SW@NVql2{gTLF33@i2n-r>(cE!&YBZIjDX9%az+l1Kg zyatJx3opT-a#ZBs0Ioft2X*~LAO*HZ-2JK-Ao2K>d)z>Ifo+lN_gP<-Uo_~OzM+zW zxQFT>C^<|WzlJ5tY&F$g6~Nkj`D5$ug3hZStJUUjT|D;>Wl{L(CeOP0O(6j`LAUZ4 z5&8M6w-+lyw1ScG$LA!1gE$sz#aG)2rT+O|OaC&9TF=2T@Ny@6la9pzF#$2LIxX}~ zN6y^+uCCF;*J40EmwIOMe|x0gp;rg}h{`+|0Yh zgyj2i5fr$Rnwda8Sl&RVOEnFn_XIx?!ZA9LF zLJ2K@^28>ETT8r4P5b_%&a(Xz7oTcYiu>vTGv1taL?}41`1cQ<{m7v8FHjKZAWe7w zlp&$$hm2*xfJFlTG20l+B*FIEL0v^wad4&5v4z<(ws`3%T6gYa{`gjFe%n zGHv{}pFfMr3?WOhp$|DU;Fn-+;lzR2$liPaZ!+DcM_aTrx~;OLYLVBlcvgd`P~>XFOaGg zDSPPm@a4SPPTaabf;mL)DJI)!>M1s-Ri5uS-s?#stQ*8)F>?$-#Zy&i-4!Q*` zZu4BZf7bHt9y_8f4;#r*!y#3bADYm4SM&5sP-#PRM@Z0roZkO+tY2D7mt@9&YrbAF zc4^r)@w5BqdgHad>IBZ4RiT|^BT$oOp{LK==^Hf+_ko$w)-^H@B#_<;XRJv8X*wx4 zzrY3F&~M>14~I6aoJ`ezh$p~L!CrI$yY-n2%bcT>5apA&iX};3xL3C`CE^HrH56bv zNGJ4PPm8&cu2c-|u1(~$ZOJ=tqT&McbK1W7q>|ZVrl3e2r5egE?)wy~t__cXUr@T0 zCH`G(NQ+*Vl=ik|`b_(z8}PpFx?B?U>D|pY3CL=Cw$2kQx2tNPA$eIp(c`lYsRe=J ziuL~nSZ7deOWnCt1}K;{k%mSPOMFTBM_N9SY>F`ASghfmr{UdO0yGp@1uQmsWqG_? z1+m)|7tWf{IvMS7Nm5~C_F^#^BQ{f<8}zbbf!?QpUTIOv<<=a}h{TGXldvVzPAw=;a=~<9)pO0{E)4TlHP0ts_DEqG7x+(}is|C^G-M}BeEk5N`^0m-d>ZOi`*H9+Q9C3(k} z*mpc5LiHPVXzGUyexdY!JN**Ut+kyKm;tEPfa6Bw`7EUsr$Gf(lyL2tNw|vcJ=UoX zZM++lH`O8**029&#X$}evNJ$KYXQS4$Q;uHcOM@U;!zy9m~p^=MQG{jMXlBjX5$<& zFfeG`(7`cd>zLF!a$jechBuAMeho#5E&LS6JGgNW4wT#B*4subI7SEpPkCP*!q<=& zZrCvSti`U3*lkLa1yx?uzoRC#5Ncu_r1!L0gri1Fe>rTfC${BRi|!4m7al}s8)E_! zo2L51DJ34`zv`rM%hxeMXwUdm(Rd&0v8^(CLuW+OR~V8T7YE7N@KNT)TNGWFM{^lv zh`6zsD+&0+X2dDnYnYvlB+e+%GAtn zOVAUb2{{aM3%#zTGvea3*x;VmgbD`Nt;sye?(5rI8G9Dd%dz7J7DMJOP|el}?ogM^ zuC(1n&Z-Twj=vVsqj!QF29ppS`}s^i4t`;gU3iM*AEg7?54O<>JZS>vtdFc;J42z~ zhu|Q>k5u=e8c6yEYHI;DcnW64cY=g>7*9>UO2Kq~eI@WZ#egP@S ziSH-nk`)!*XI^uTBo1|GUK<|h|9+;yX_`5Of*7evoD-@3oA8&f{vcjT zBE)cU*-OyyBWWfHu9x~_2Z}fbjfBux8y&r(-FzIGXnC@Zx^u_gmiO2?Z(=PUa&dY5%o&WYS=ss_X1Z4OJxmSLyT)vHdDW)j zSjh9Tg4d_0K5S^Hq@anlNlUl5zsbD@1j1}+3QMzkjna&M;4X|J)I8W4h_F?Lx1^lq z>cxhgZ{NP|>u=G`6%o%7*;bJs+?#08?XI5?(K638tc;8MV|c(GS-9u3W(g@-PeyzZ@Ce9knM>pj9|{3`$2><&-^wvOSHm4RBwyKw@^(MU>$GL&2ysQ zt)5%bO%AA=GA!@6ntyPFkGVd_-0A{7Gm`8Y*feK>WKB)%7@Ph;?9BlYXYq#~xL9xV z1cK+yK|N{7->vNK10Ti`T1mU6Uh7 zzWFtW7)nQ}!H8Z>6uH0c@H0go6qPC}RGPbaUK+$V`^DgOKi{8_rE9uPWH98T{K)Rx zg`4V>@vBFK-ptohx z>KTtQZ*y!7D`RQR7Te!WW6i04egFCd0)FG{C$(_gubVzoaz8TU(Dk(q{{1)yZ zWPfdCAqLUnBNgxS&%AhJ@Lbd~ITdvl6a01<9sYuHZtl1}-ZCjMrAs}a#pqL^Oq-bM z_u${0PX)J>h`ye+xDu`}P`L1~(AmO0qXxHas4q9#t=Q6ak7TVsmM%CV!50V12G{YW3TUU&-hPj)o5ecTn)u zA+ne$B`@gk`jhoD{59p~Uu{<;^t(iMQHET8y%xOUjcJHn`xW(z!JB`+1fhL0Em+t4 z!Mt84zm&)LTYI^y^OiQZ1Q2Hneuf!$bk@APnt`)@t&>x$Pc1MyJMP)M75C#JESQB< zM4M~Ht5)8R0ieFfjqVofu?2u%0-nX9lXQa_T13uQzDkn;g z^Vyhq!lUrOQojC{o?#@ttF7QW{?xMRZTnx1WQom#LoY^>jh9xS>fc}?dDdUsmnj`p zpMuic2+czj206qKhnxIeE}G0%rz(n0IT>RHb}2P@y)jFg+*YS))Ncx!CL^gjik{pb zP;pKd2wHjROAl}P6_<|_E-#M#P|1*3F!Yuojn%>=Pb5x5O)=YhfkEzQc#|QB)DX1U zlDVNQZ@PYRnKSSAwrU%~3x8qc;}2SPt9Z=r{=H5raqUz34UG4qiuNQJi1MpGux?Gc z!)aw`e!bM@Z3nr)C~q#ug&Tj#*|NJroDmht{WSq+$P5HNG~DyIvSM zo*Db+bi{Pn;$uQGp1TVrsbcr-tVZYkc+32mWl&Q1#n$W`S(d$Y`1k^BApMF;Ky|13 zV5ys^6^k^Rle{&wDBM(LTZ|sZC?8IS7TlBSJ?!7_YJXxs7@ZMSlkyhHG%9-49)pXf zi@41B8s7$OFS>imn?qs9?L5Q(<;&@MykX_K(V!$uOn_3uBw@K+teI&9| zbhuv48lAP7&O2OF9cluizJ{u7B;5?jPpzH6*6RoP*=`rM6HGvkzjvi}GatDgSgq(Q zd6!NQ#^Qbno%^#LAxW2<+S@vQ+)va*pjjNMo)I_LaOC5|s#6BKQ!`Ihx+)OEeARwc zOft*xqM&;LT1#wy%>bf|Cr#v$_#f0fg*CI$2s=u)2JJ2FT!&glgn57 zM(RJ^l@#>&6~{jOb2XKF>T$=@PE5O_4Zil12|TX+{Tt5P5J%xPES({iA(EnXWJHf6 zR#jwb={awo^I}(5P4H&O@z}oPJKa_{_o}~Tp38h&9#iMWxZd0xA=jVGtH*t-a%2dMA}n@A~>?%f#>`Rjj#oV;kDkS|o7hnTgt!6(lzi zspMaRyT-FGnZregKXe(AyJ6$)!0{$D{AYv;iPO)&GRm>~$KRJnZDxNEnrm_WigKNE zD<+Nz_?xHL?>%@Y;n`+TP7MKnx2y7OTi*6u!e0M!vhZ|hZ>@Ank@#$Z(5-pxib?I_ z&n%NkrgYr4`_~C^xTpTQ25-(B%;*k_VuNlo?|0C)!&W=BvHQB{ex9}b_GXMKaTnV^55TgA_I5T@fvJQhjvBXx-(*!>#?#gG_IVB; zdfCvpc+OJgSn@oOS717IlL$iJMJ_t75r{)kF-%{oU!=A1G1<{jHE3&a4}ur4c>nk1 zq0dsun#8Qc=uI+_|3Q_1uF8j8sx)u~zqm<7vcLRWPT$a7G0Qy~8Q4tzg$L|!|KL;D z$7MvSwPH7avA zVGc$iW?k-Nxb$^*i_o`J%U^zR2Miv~wy|CQo@hx;3{zS6S-@EqWyjI#NdWtEM8)TLJQYH5Jnf`7aY3_|FL2a$0hw}c;qM0*#kT~e|Xje}E z*2J4kWvRt(z1R&GokV`Ng;Cp7j@HcLye_!&^IkFmGa02zF_9s54!gr=O?EY zil@7hZ7keTSz0PxIzuiD3>QcG84-(l@Ao!aBAQ#BEy{mdR`2;YcD3?LZ9U8l7+BM^ zXm)k#u4L5&)18x7*W;m!NApgpyF<<(3$|bA0;3GRuHh1Qc=TC&bVJbB*X)s}3m!%w zk*s$MTwFs#2E%DyK1Nyv?dQ;Kaa7?}0k4YX*@@1n{qV-~;@KKrIDccxk)B!Y8+?hJ zAzetfHDdhrgY4HAi~2lcgp1 z@|WD$>n()7d^x}$+KDBV)2LVKEXp)8{~rLEKxV)1Q}`@d8ivo3rAGKHS!(zb9=y%U z+p)uE$0!6V;k}`L;MT~_ zaAjWA)$}eGW)HERdB4+R-Tum1TnYWT86UZ}SkKY0 zva_An?;S10GmQ6;wy`;z1NPn%;ROx%K5GTzgG+d^o=g^O^IC z@v_dJYI}-ND|vh;2G8{`f0}XsrBmjltXEx4cXZV7J|4czzjU5Oro&19THU4Wbx41g z#7|u;aze>b^#Dit#ayi6PTKTeI^!HQ{2KkGj`DlCGW%6mU*-`mFHd>nNGNULb#+hq zbzFITyVvSew_2rBhUaT_i$@ur56UwWu{^95<*#&o`7p<;7da`hp9?d|G!K{XAs5S^ zob#{?^CMTDdY(~#hV#lZ6_x&ep3`Lfh*rj$F_+tu<#(uk;(U_tb`(3>QA}^1McKJ6 z{^(-;uakARxLs_1W&e7Y&M!((>@-KQ;4$VaZk^yUOO48Std(z$m~7M(=BU=WHDfn7 zLmcI1*-x7e#`O8zX}Q02lI;s8wXSeso_6xtr(-e~Y5a+6ucO?2xl9R`gB_7mK@*ityA~!J3Z5{dTe0Wj(hh+C$X1uVf^i5 z+qf|2yL9|L2(cc=WMj%3K_U_$)`cy7VqMr$Bm5IAHJZ^sA=ZU$3)b`Q=~x#YD9XpW zu%&#g3tMUo)|>8QUD&pAnKiOl7q%3u)%tR*3tOs|@r8(WVN0=Ck+;u zHHG0Cr*sWvta0!bc^^P=@SeWXOJ&`9ZqE2~_w}{{0@2|@8m%J*d(@|2VA5mGg?Kn-Y6KIM$arDC?H=PTM#8NiTtSFWMPFq=VdzDZ4as>>ad%s^F~=4ahy$v`9OzT={<7A@2MP3n8(hc zcX*HS0WuvjcX{fZz#wy#FLB$Tj=LAoZ}itgvT&T|CGekhQn{o6FW|d`r2BiepzrTEtdoTalSK9 zg2?A73Jdxm-xagBDLpS`;k>v!>^s0T0WI~N){USn556~(>D2ugYRhAPbNWSNEAm5m z*~f#+t8}^unNx`n<}fNdaF$6NZr1Frj?2so-y{q)`4c^ei{F2x1knD=5#be^onGKw z_hT}r2lj z%Sstf_l}|Nh(4KWAru}b(_Pt?6ZB!JuC|r>~GZXO|+9hKR`i0+i-ZNV0hWB_|>-Syf zRorLux)bt-egWQuZp0;_c@LFc=LSXdFRX0$&wDOsoAgsVk1Q%Xc4kq~UiGZ=rM#f= zkwSO;R=S@^zja*ekN23nb{%_I{@x>FG|x>Lg9Qa;1BTk6e!XMNKRkcr-xQ5L+y}(jHI*|jZDr@Sr)>^`Xz=Il!2-m#wPxhtiGw0FzO&tX|Vv}LltnZ8~1M&=)k z|7Zu6WsIQ>kp8mtXqkZ_++R#RR>%?usRRuaT`6T^XBw`4#4K7z@W`>R3D|yV^j}>`!zj^K~F|F{xEIM&uGJPureK+ z<4&N2QRW9Ty_?(iP@ymT(QL+Ml5T!ZQJF%>-D*FcA}gctS`nghe!0QCfhy!(P-2?B9pkJ7l7U|%Dm7@!IC_kqJHiPg>C3Et!^THy2USIh6RVoAc z6lIx5%Ay$fdF~qXbAE2z9Y6PXIPi1PJaaH(weG96rd6%Wm$wg~%`vv&QT?VIHdUry z!p@Gkn6ra#C)3kLZ;-|!gyr8sbFb_j>pe?RCddbAs4h`2TL$vHjr2pv0O)`DxtO(G z&Ou=`oQ`<}Wwh#_aI9nPNtvn+U0-_%=YO{3k2dNL`^AZrF6!sg=lRa{)G*+=zO5^9 z-0w*HG)r9Y7fx#dE&67oVSPGp%K?9XL=V#Keoc#M_1OS~L%Hs!2lcJq2E_H~eT|L7 zeJ=Iwel4!{;rgS#dl2!E?rtQ%@C$8zrPQsj7}CK9?a?=T5%XV>U181g3ws#WfDsPZ zS%l%+uJ`_y0Oh5@kq+~x+VrZo{c(EN5^XV#_HBKeAd&D-LVe~)J;1fKVf9T&V+`h!8DhGc{804GUND){4y-uay8VKD7Ol-pkVOF`lU$sjR+C*F`gW z$GQrdyX$%pw;y~L!4DtPx6&K`gCA5^!*A$4ifg!LTL;0ovH?A)NA*6xOut56A3%L; zSw8T=3G{%M)FuzCQeS42ua@@rYRgi~wS{WSG8pKB_Wg;-jZX^X%V1l-fR3YkTzm2v z?@kvg(zjYiKVqG;mUeaY!SB-`26?6Sa$seA^NYfPpLI>BueSE0^vYt5o-g=$c6vbP zSLuO%0IV9jby*+zBAvY+SncKo!S~>oqQ21Re2?;BUo`Yd{8qgf{Q&g|yGKv^QMy+>;uYwmCcv?XFgwRdqeH)160M7V$XvZw-3U zOgbjYT#a4~I}&KoEIJ|TTa6xjZ41pmK&w`3-yaQt-UK=iguWK}^7uyN&t$gs3yk&v zRho$|>_75dVrtBMFi+Z5Y?afIGlcHT{ghtd-Ddbhzi?j2o@i_ef!|{QlXV!sU!r*U z*1ISsXR?9cEl4=tEiHNAtUHW^NcNxfVDInwS-Ol}L4zbP-uug#p4<5$h10l-y=O2z z!yV(ShJ$|x@`A3R7+or!-51e)dQ77qQ9_7~_>cjJkF>{9p1|J9(q`;J`XAW-gy9!{ zBap_o`NO{i?~$g`Tg%D$aD1#SYkaK}zQ<+l#C!J<9~fwfG;wwdeu3s2O8)p=U3+nQ z_fXonSELcsL*0*~NAEqc^uiu=yk9$`Ce!Od`XAUvLNEOO8bvCicjfW99nrSPEz5)Q z(cd2DvfU}={jMqRBU!AJ);DSWnK1R){&p5NB|bxs(}8UPd18*Kc`ldLk>5`cK7PaG z1A7VLyqD#}{qMIF2Yqrc)7Lg4Mg`X0eH~wuI=pYv<})G5hd4`{>G2_@gF0Ycbv{|s zeof*4+k_sJ=MGbzAp`(Bf*$SDr)F_FG4vz7%i{nE2kZh0hrU=n#^pb^0m^@o)QOrW zlaHt;`Y7s&{U=JJX`~&ymwVjaICmOtTdQ!r%VpmC@Bvi-WhOSr_;xb=)-$OVl{$iV z(Dsw)(RwXPfRSQ5@-WDDZTzi0I*F&HsSXK zN(c1N^nk5L4={Tl$wTx%xT$%@v+n5K9nS60`}oB)R~zGlL>Hy+I@vF!CFipVF&yXy zz5{KQ2m8t&Wy|%UMoFXlF`UzV!|>42S$SDTtw+Bh%Xgywth({Z)ZoAl zCV>Zz1JCVC59)NS$P8d-3wDTLcz-3m!1r2IF2uFi4>Fm-<+1n4l;P=zB|U`gUH9Vl zq3klbWPL`jrTCCbJ4u^T)f9yU=$_G1NBrMSdCt28(x;Z z;5zS>)q#KajB^=QB>E#i*5eralKuxawJ9rfK9ohrHT=d0Swq*zrR5bzWb`5Lf`$3r1viU zMm>NP`Okj6WH|M+eaWP^xx~HIV2cUXQ{7M&O|Q3b(APkNf0#U06YL3tVZMrR6Eh~} z`ff*j25hv!780yh;bxKa9yfXHBG~T*!%ElFW} z;~}JXw8-0f^NY6I0$JzgcAHJgqBb6sZ@z;1=KBo7wHm*aowMm3KrBOt?Fg|;jA7sgQ@ zlpJN#euVm}u8nh1RWI&pTV>tiJ_?Jt2&ei+|AynT&S3Zy<<&ZXmin7<)?>!d3)ByD zVblloUzZ-mPt(zHtPx^f+uX6w-Z zz^t8t{vA~HewPS>I%4jv{8Qh#H}i@1%kFLB9PVDBKf-Ch#C#aMxW2(^7p^*f;<9lX zey-jfPj-hh4SJv3Gyh&DvH|U@a)3x==8qhX-_pI5CLDA&#A91N=c{p_F?7Z~_K-E> z4Tk+Ah^u1(e(S>+*KZs(n#yjxSNT@dM^T>7sgI(aF*gCP0xQxr%g&w3hSNDwBhIlv z&n=`)?b!o3j|1&u&r5ht`ub>TE5yB%2nyP7Oz)KbXPI^xSlbQjJ`<>bXm=`h*5~mX zWykj)h$m13)Iskq!y1`lMQdaa(_7`c=}g$gDSf2b+ZtQr#pnLC8VXNT!B=nn3H1An zXa^pFy-H<&|Jtj#o#xI;Sqobcr#Ej4rH6Kd?v8e|_N?CRbj%l@5OXN+Qxf!H8lFM@ zAAEC=@B;YfJbHlrQ2HG(*vmAW#;r#9 zrdr!@Y|pm&qJ2)GKWfiDhIA^;AMeh=A1W#0V6LL&)p+`@FY!(`Y5pRY-x=oJh+KZX z$K$!z@l;kM1D=P5gWr@?HddqjcmquBzX+#Te}@Ad-=+pfo;}&I5$;VYbA7|HzP%-7 zs`@s{p}I(`tXt^%me$?!kHwUKET;Tpv08>hy4Om-P#Z6>b?E_bTdbD$bL6pRK_2TC zV3BW1mH7a&eh*tVc&>iyIGpc&CGusyX4(26g~u;o>tw%j{3r6Op5aJzWgpOQZ5daA zp$xzVcAbZ5)w}N?hx-nd7iGsfhtj$0I!EopH3R+BZh>_Qr3=xQ+RjhTWF*4UG?*Y4gNqePl?+|K)AObIACJda8|> zlE`$|jD$o1E63`$hQ#w{tYx4aJ=uc>lRd4B{R{Q&Jsk7E{M2~L=M;@TjMwV-j>JEj z=1($);XZSk*!$q$tNrNgEO)vqlrZ!+1c!ew?A^Oizf40gp?qww4TC0c{Su3dT~%4A@OU z+Y9JX>@*@Wu;JNw&a?vE5qBSni}#n%103tfhyg6WK8!qo+qBy{Iphx54SEYGq z`37ss7VRsmcR2Oc z>&>rZRhehjGaUMY-itgJ$Vc=8rfX2T=MZx8D4}Uj>(Yn|y%ymcH9aa?HclUaA5!0H z)_q$kF8WqkKM>tVXYF0;?=VJakM!yp?lzO}e8SH~<i`?7!5zKN0&qRpN(){s4a|=s)oD z!7pHHr?CEAs$}wUwi7NUJlRve zkk?O&yzbk6X_i&nQGCdR?)O#qEnLyvbI*zX+kGDFw`0!_3I7(hF*T-t3%6IJ`P*Qh z8T6{~kLj;78(9_%lwS_!%xGV`Zv3TSQ&ZckF8x0JhD@{b=w|c}IiBhOJ*_8y1k`gN z_O)Z&LD|qAWGb9Od$ns^K)AL?E&VSBvcAY0kF8rR{XPb=Zqb%0m1#@xz0TdO9BLH* z70g?HLj4i#*{JiDm}eBvGs@DXk>?}ezee_NfQ(&V*0y@`TR^xn`{ZjK4)Udz{t~q= zU)l6ptsdRU-GTORuznSoA7NYwzp|A6M09{Qhjt6OTlsoF=vT%s0CFSbZc6=qj#I12 zUDWp!l547y>ztFISWhF`px=Q;_=Vs4D2DGwUaxBO@)|vi27kb@#q zII|k%tY)iunq1w+x+we$~^D z+z>OHV9YPO`mmJo&jJ4p#5`&s^KB*j0q9)o`nDRsfQI$f+mYu$%oifx#+tuHdsNpR znD@3(=f(G?_(MGzU$>LefbY#StSuV@{}&S}LLuL9mM3E2_j}pzsj}Y}Q9NMFWN%YC zoxV{*V4G2Fe7_*?{!Ra5l@1urrN%e1!*eq|`fg$Rg?CG5xu=A4y0x6sQ(%;3kF0E5 zcD>j51Hv?%Vjmf7MyfaB+Hf-q_Pz91w8Y47AerrfLw7Hh^cES;NJA=Vb2iUd-8!y8}2ho3wbkNaGh~4D=@5uYI-^c ze%+J@_D_U^ja0*7PY1BK4K|x#@WDnnyx)-?j`$h z!8Q}@Ho=h2WWla5n98k@^iJz`D!oVe;RZWRuww*6S@cfDMl2u7e-u4vH#=rkI_@C7 zqA{?M(%Xc}h;s7S%jc1tLwt-eiN3Nn#W^PV`(kHXLpp33s=_)*}qG zVft`5y~k%$89UHUcToU@*+?)B&-vb#{lmJ*D0EP2yU>sL?7X5m49GPQ`VrdkU1}ZV z{~$eRQTzsuzV;pA3t)c~Y%PO5ESS}q-lo7v5plMownsW+G7eyDdx-^JxOCFwWDfNipRF*cSe3Jh~k)CcuF zng|GNxad&8P8aNO!9brq>A^erekm<>6U@@$Ou?|XO~d_4upbMCbgrdD@%>#I$FwZC zhXdGdrhHd*!I&19hbt{q9;1x4)OEpESJ4An?_%6D+|Lsz5%r{vH+jz{9v%^^JuI0 zsh*%C<^R+nP(8JR_P6q^d_4;Ru=3@gKZ+%9R}N7 zuqzCk&M27OcU5Wog7zy(dbYj`3idCPuZDZfV5>MuY7vaj!Ah3WC}8 zon7esZ;(`Isc3XzOef{5rvKXXrkC_43k|^IweG(&OCGPA zJfPcw-nM__+XH1O+7}W1mvlhk`+CcRTJ~SG|Iefzc3tC1(c3G*&Lh5pp4ND_DYpO2 zKxKn&NL9@Ezd!9p&~;7`{Q+w_Ixm`&aM0e`Q-3K~_iH+=TjJae#6^0Lt;l1rriXf> zf{n>fJSV|?UfTe_Ff{gV*W!8NPbnNY|B0ZEi%R{ift%o*#dgup@ zVTWW|Ehl5z_YCbH656k0um=RI)%s&KYnKtX+!`@>t#)=y<`?Mb=&OCRfeut$mV5nW zdj)+Lp$B>s%UK@hbWP_wgyRjuJwbg5VO9Yo*i(Y7XfW7?5Ds=C{K9XfhdiD&*z)v0 zu%`{Sl3++z<03zVL;i{_L;oXP;EK@@nUxQ?Vmf~G6b^Ht8z?Tq+PQ8ubD?=9y=vx? zVOy$Qd#KH5GFXE+2W`Hfw9&r|rs3?|Id5A+U$~v(g3n%|Z=?roetLl2Xt3Lesem0v z%n7Lj9^0P&sBXi2$@s$bPQwiTwF%aVUn1gwCXdh<4oczo+JgPTVCxF@kij(EgMxw1 z2aBGJxggR52HP~!wQC=cN5C-u&~VTpfq^%)9Ui4$MQvr<&B_*)8OUGt%E-Sy^hX~Y zPi=_yV0$(9OW@#x{#k=!9-Eue2k&5ANcpLe)`jxxtDr}eue_gJqtovq;lBe7|NUC9 z!wrV@H1O#G2D?zOpBn63!CECfj02#HwcAzd2Z_Fgv|1%S$k+FYcTn$vUMI%b9lCc) z3s8H2|6ZjB;}PgGQ0uz$OIhriYpvHMRqyJpzUo(SzM;!JQod^DewrToz|xXlHFo@; zQ5vd8U_OnuY{c|XuD?t9YO$`1^mY>ZwL*I6mm_7)V)?Jqb#|mTgV3)U+XHN5DmP+X zoNQ!5)3WkK{g%)k^^kwn@Jo>&K&9zH{w*xDYD9U3^3_tFhWzWgw%OM`InW)CAo+(m zJDG84%;U9u{EvrR7&1L(GX2&)An1#Dhxt6{UuK^N@)>UO>F8+Od`?28gbuJ9qONM2 zIx&04c8yQ zt`Wc{Si3a`mPdYGySo@A_>+H+^Fql|#0s)xrj|O&zV26=`N5kDnaq+H_JeJ}see*};xL>Du zn3Kb2N_BON;YhE)e5R28b@W8O{Yz)GA&KH_J|8}jte=7=t>lx5c{j$@*RyY)4~6gF z;PL%yWZy&`N6_bfLywLxn9~Ep9AB|hiAjOMA40LK1>0FLyvGOpd?2NPaKL^oSYLe| zQ9hlk+Vbr$<%4gemZhl7*f)awhf275m9MBQLBGy>Xmz~|?W=2xef3R5T=;{cO`9dI z<-hP{?Rs_;&Xa=N06(bQz@89b1Z5cJ=BgW1GuOBNKeezOv|4)oV=G~Mgzo*hLfRbd zuY2?R>c4`xt>&-T=;vn5K<$clsmA}YKlffn`Kmi-ZHTn*WNKr`amehI1$)w9WzR*M zzs1s9K^9rv4dy{AiYz~9re>^mGnWAstHDpsYzF@jH z0Qu^^n}X4cZwcX$yu+V!-WplX!;~Z7pxCs z?+eBmln=U79s>>x>zul82LIzxJ#aGpj{QR^)qDRC+6Mc(Fb|rO9{d8PHhDdhN81qL z&}Q1+m?vpm#Tq^C@2L*py$4J^))g%FTLfLe8+Px3Q zO-)bNI9uU7Kgg0tg9br^;DbyCV7!EFAog3ZugEZ>1?T}E3dl#u$!6JClIg#s=%W0v zZNiTmSYLh7TWvkL*7fbL^=Y)_9MWH7{W7Juh#u&ppvyqr!_;c)wXwch`Fb*R&nKz> zVoa&T7k{3N+nXgW?>!npgAqm~j7huGgHZ{;WA71Y!TLq)y<(ju_MU+b%oEMO_l|9O ze;|1WdqeCW8hrbuFpgb959IlQX1?@m!TRcNkMz!Mq4b~+sO`<}FTmUrw7^_cZFB?S zzaQMC3&lR6PuIF)E`&^@+ebQ?7pjNAI*uN^be?k7m{({PV@u2^$Cw_eJeNp=n zd}lDG*gc)U6s+i8VfBSadbK?7y3y0a*xe|5A{w1mwJu-Kp6z-A(J!l^J!H1-r?5I} zrTa6GUaRewsfCVoE748YI%EIC;NJgG>-LTP6rr<1?hX~*@kR^?;y1CgVAmL|mis-R zM}*GG{_U0M=+%tfpglgwH|7g{pC1M7n^R{+yWu>a@N*Ae|M2yX{SMH5a28*zyD$x2 zC3>j-S9I@dth?0ap3FEWs&?mW#kx!7b6Ds@t>bshJ|YK-UqLPWsz5*WacG6~?7R_l z)ODut>nrDX1AZy;>r)=;>$$<*@!w!gFZhq=-IeyY?)dL|k%_~IKhdWVu8d!4cl12H^_)e{hC9*w5EK~b23}Oo(&$?xp;rp zSMvz_Zr8o*`Frr{)gFV@KG_}pg8%s3K8)Aa#$CjJdpDVlry0lTC^N6I4AyyGv~RSp z&P^e6@#uY4mGj0_X&OprdV27U^-1{cO(c1ZGx~vTM!)g>0zG&)f&RzY{=hcRz2kHC z_}zxNcmFZ*HjsBn56@V7G|k267t&su!rnne?`J_=!QLk#Yh1`)B)H1ha~!E}y(Xom55f2UIBTF0Jl&=;x;U-A9MGf{3!IsJ}<}uJ0 z{4n_Vmg{#B@m{`u+83I;Uk>*AaX;LMc=aplLFhx+AAufN{F~*4o=pRJqh}N99l5ZH zX&?NM(nUJp4aL3?40|yY`$#a(oAaP8Xj$ALCY+v=gKd-2 z6Fn&Jr3Sm2ga@#lM3x}jwSw(quuBEo(_kA?ArSXwDyZhMrC|3OYy-i@8Eh-T?hymXr=K9=kZ)H|c+1|1{xNlyEPa^p=)z&l~Lf zg577ZO$EE%V4Dket6<1)l3+NuQ|WSwU`6-Nji7fL?o^6{G>$OX$$}kiuoDFsXWEJuV`lZxY`hjjI(gVJSj-u_B*E0}qM(H!P3b!$(iF8@#nJV*Bdx!WL zGJ}eewdv$648L25F#GOIM5b8i4kL&M@Q$Kq@lH1Hj>)|{+q@et?^K4_c)!TKJEtHX z?xg|@JwVH%`RO~(k7X9xM`^8kQh(DLvZyh1M4m_K8U}PI@Eh6>{wyfxMSui@{t4_i z8OGz+mqcf!+u+nMnI7j5QBe1dvp&f>%eq95yiPVa=1Q%hlO0ODt2!CREwnJ&cpGYC zh!2dzs(Wol{{y>?Fb$UM`nb z2J9OWu1G(z*b)-1fAkZU32#$nK{?bJvIMoq?<39c{Q(fQ@iiOCb;#h^>09TeV~Ci* zhMF<(2EleU*zW|hy5va86mbuu!AJ8rL$HwsJ5{hl4R)qrBLqX6?oJQNd4*{g#jY~g z4;QvZ&?Owx^K0bL^%B3i5}#Asll3Y?(+$iANBd19@GuAn@O;@3^u1=|2Ehff{i!W+JfC=u-yc^ z-C)}ZcD=!Hh91gd?RNxaSfkE;aIa>@8>Sw5?$)OUn^Eeq02oT>JXyw_PfWNW60SEo z!!Cz>VUyGJh6;9r!DbNbeSn_IBS5cJZw7Nmg#H2s&smKW@4gKa9v8c{+>m-#dmUv8Dn3v;4feV1F{9z$l{hDC5-Rdz8mMveKl&=}bL3>{5tWu9kbjLL%Zg2fV$IBZ{ zoBxl*?XCX~gdQ8?AkQnxj>$#i!eAMb8+jbWIP-HE2dy8(vZC8of|c>1K)$`NZSXn+ z{8eD*pdw}C>ty;38!U$Mg$T3nnr(doQ^S*FKKVM;1$K5|u+xJ^ZxRCi7T6quK`&5j zHo?^HfpC@il5iescSKn*m;BSPu_&S}Q36OmQsBMc-B=pO6uJocTKQKS~@@2u0_Nkj&H|44%Z+7G|K zOAVFBz9$&&o!4;d7GUcL_K<|5f73yt4@0*{TwqxDMjkT(5)5nCz_2#1nEKN7k3TT; z)-#lw&QI0Wjk2o$Oq4aHA!rh5r;nwbxPNkcLZ?96!4E`(npWz2{P*u_MH9Pgwyo)GZ^eb;8UCLIf6y`$fj;+HCX6}IV{?|r@b{84_GItZ#a~#k^S0G zKJ|BbpMInN0;|V*boh;tjfwgrcsKmU8rS}${iE6ah5G7mP>Ell`pupTQ6jQL{gi5L zU#vy>FfJ@DeXyD}G}Vidp6=l+TKg>0ReoZ|9A7tzE^x(S@{nb#e z-Gu+5e3k84ZDjgEmr}p{-sGRkNvns=BE0bqrK9pxZCn!!R;fQH$~S}LVe_qr{#Vu? zG5jS{>aTqR;V((&$C-Yl`it)SvGb#5*Z&4uzBHozgTAKnH1xle2Ah5w`X8?eR(hsN z=%>}u59?9K(fTR;aIikA=Yn886qv5NO{U-7`3~E&Mh=s-u#Sbcv3|uP<(;m%o`L}6 zy@WF8IVAedo_nI_rSN(gpYfyd7NdMnPJO56mmp0o3+mO;!TChJQnIu?Jl9^Qn>INz z*Ip->cgN@6*?33EJ8hFm)E3>f7oTCnYoJFW+)jL` zGGa5qZZp{Cg57GcEd{&5V8a9(XRxgW>)RPq?9cZW5fP&(XgQ1&WG$=u^F>+dd}KrG zZG_f08f>Uw+@8%#SIFN*hzGz6*v|kS0QMBs71)aOfQNy_evFjXAiAKx*mDWi7VIXF zif9F!=5B)Z<_}+F51mKi#(s>hbS~@968kX*_kIlZ7wOI39sU?8seEC75gw<0K>|$2 z|Bqz+zao=4tP|``@(VKVD#BEMssH(hY-hNV1|j$rZcpVzSx~MU1>4zR*9f+|!LAT& zUxSUHfeh*ObS@v!PvLE&(+}k*GkrEU!T5$U1B<fN>vv5PS1<%)p*FU`6LC*>KlOxE)N~QG&t# ztLa@s9Rlg?Ou}AeJO#_-ek1O`16{B#))%_`2GylnBCgU!X@GPFTYZBMiu*@1^8xr2 zxhs^m;zU5BZ~zBTmSIb>X|HUHJ3e2^;` zSMeSDng-v#CbX-rAvR+BqJQYVt9tKiD!UFigY=ImUuEm4LBHbl{@}lq;y$C48r{!8 z_0vYZXClg1n|-H^zHc(hm(n#bW|2(`dXwHsRQ8^tMx-CAvn(xY!p>oBn}?Fl1q^3u zs0~loJHMcJYO};!=(w*N4EsTVmA(6*zs}r1-C(ncx}mi?@7u(0d>Xag(6`>Bc0>7k zo3rcO-p&o`c@{*JFQq?$nM5XTDeczN-DqfEos$Ip1|R*l_NCNfUjX`o`Zn~oMm|vI-si!RQmODWD&<37?{XR5`yiM2a}l5Oo6 zLm(^T+_|na7!2pSVZ3hSbNj$|zoiE}xWDKQz;LDwu%8+1Ji+wrM}#|Du#qO*se%>V zZ}M{!PSY#8Q_bdkj-(gmOKGst5B;OB=S#K1c}wV9!S}pY4>=Tk-_>v7dXl5yk!Cqp zE%=#refXXPKQr%!91r~peW984ze@BM>wlJ)<5>UGv-E1c{uk@xu|AIeR(5?n*4HXq zKaKUt;ysFkW&JPOcW}3FtnXI02Qcjx)Jx-K|gKeJ%CX@_NU#M)|$aX zeLc4fcAD=?+}Dk*zERHhLiunu8R{10E1o<5#I)Nlg;qG%4XccePCv}22kY5wjZXV0 zANP+lrOy=ErF!aH!MjYqIfQ;V3ruCsY=ZUIKI`D!f#Y51T>qiA#+clj%~JO^SliMp z689a74}L*h^pD{pv%%IQOkO zn_Ji{tD|4oEa^_q3TFSbe4t+f*Dg30vPa11kz4=McBm5WV zOjYJ5t$vn4zsl%0P-|Y{$6cLGf3VO``){0s73W}Kyo__Ox}LvvAn6B~U)p`H`wM38 z+|_gGZMf?t+zu2UvsBDyMhT{SzJXmsghw7b(;4_0t|-08H>D!FR7z*ioSGx^c>--g zdu?m<1$%ypo^ulCrgWuCoNrP2`4;H=eLXj&r+vpruc&|YBsZElz39Izuh52&SA*?* z6|`?7%a=;}Z|DapHH$tJ@+IVp>VyNK|5YMint430wf++QH~Md*^j~W$z&=j!CicMU zd_RBRC;Gx060TX|qE7fg7k%B#r(@r7N@zpSrKi1z$`e69rd2)nZbpCOv0`T7Q`jX` zc2>HVHu#xoI#}t4K2zyF|LAYg-&DSYeo#(7i2lZXCitZszr^@k-S`{*E&7{|Q89l@ zslU&EiT)P-E&5yMyt|(L&8|!K!z`O)OjCE@G1K&hCJw$ zSJ6L;`$w~^QK3&a+qznmndQ)6T{n&PjrPU72YgUFKY*#Sw5FFdPo+g=tSe8Tb-gyq z3He+^`wj4|?7f86GJq^Q6xEm!LXs&tOCm7mDG1L)d+1y~a3AUcW?iURETRiieI;Yb!kLUr**V>9vhNLd*F&a5XHXgZiC~biisAfsgu^*^iecOZ zhBG}B>nS~qWf~4+9OBMyFq}IN402t=VcY|zev=pz;im*_MtU^dhl0U=s@OY%;U0Iz z=%~jmE_49JKFg(tw0EQjVJ@L}2nTFm!7ek{UV>d^uy!gW;{NY{JL6t0*xm-aOt2jc zcD-Ob8|)gv_A=N8q&Omvo2WuszO4nj)nJ6!4?*5g25IM>?VV4E!Z0dTU4;W7;Htso-)`f zf<0ldRRw$8V4DketHHJq>=uJC=SQo~oL5{`FA z(W7mCBE1K8jKNM2Y^1?X5$s5Vog~=N1=!(&p^eTJy)@b~rDkqRu-CEFmXL>#ml$h- zL5>0=@sjbU>gc{ zo5400%;uqSN11RquLVWB(_otjRut}L6K*RBcb&m7r$Bn+47RmkwjSFFHd?|#2b)DO ztAouc*c&F?T!OK^ly&UI1^c@Rw}fE-XRvPz_E&=~CD;oFTUW3@7;HVk?l;)Rf+_t_ z52c^BB`|Hv+fCffBrdlb^V5%rk-$Zu;VSf~4h&lX=0bC1yu)*~cPJ3BSqal{V`Lt+ z9r2=KqXjFPgB8u+b~ACWl(=?YrgOR@2|!GuRn|4L8_nf{hf6s*rv~4`_C)^i*&c+xp#R?VAYRxZQmVubp|-5|iH4v(_ro96~QJHV2>H>I}+{*gMCl1dkwaQU~CiQ zdSFcsJTlgV8!Fh}@j~jcq>QOp=TU4=33s}|MhbR{U`PV@0@y42x$Kxj7 zjRm{aVA}|0`CtdZt~cSdZb844Dxu&12u)uzG@Vbdr2U6q6W{YbfT~Cn>56nj6a=J6 z?<&$k1f)qx5UD|sUP4hok*?BfC;}oa^b#QSDuhm`kscrrY6677&HMBH-TM#R*Ce}1 z_O;3GIg>eao_WqpDlLi9ka)ZPH!!VI2rDXzMA6pQ0udZ~_EE`<96F6KeK~7mZbvAO zR%7Yy`cz;l8z~WNNhQbHT1%Kkh+$hpFi4adU!m=8+OhPMgpJ%iNgzQ;)l3yj)m~|9 z;2Oc0aqrrW`qYoxme=0y@*|u0tqgxNe$vb*E%8Gr+E!(6Y?eX#NQIKknL*s73hJejqU)N3pXYTqYHO{0r zDpLEFcla`5{3zy4pry3+Nx=H6=`aXN<4A|FEvF1&D+c`VIHzTPwO*3U7;V7@OFJHl z;@{H=vru*w>2O7%OvDvUe=9W({VHxwpK3C_YpqTMx7Xz97QTrSHIc%hFUAi)$EVf@ z&!pA|wby12rY?EAOclC`dlV-hV~|L6vlHK#yGtGbZ6s)6t+Ni0K1!-Snu_`giSe$u zTNqa++MET1JkO2#o1^_cJ2~o)?g_u5NzPorpvup_v!bB?erQXnO|1Ei^tlOIUvT|| z|F^-uY6tR)_n@wVu`ffBAf*=DodMSAl3W7LD^7bnzgKpm*8Adaj<)!z>|@^yVBH~^ zdtHz%J$ZJy>hsm}R!N?h>Bh}~Ia-BQ9654uEM*ES=A@x;)I2}=@G+3Xfw%DgP4M%j&Awp+Q5T@Gh9^(0m5+S@}8kbNO@nr5oVdYZD(!Gd@O_ z1EFxOg=AV88|YoyqU97Bo;H- z;$yHQvtBSGgIgLP)BSVcj>rufFctAhNELxb(&?tAtp zCdJ0tWUG#+Ek6?21=;rF*v%obxTvP^!7$%|h&zvB%THTb;#yBb8m|!&fvh?7Oa#GG zN{`Q5+}F^6=n^qzoT(tLZGWDVf}@OZ_$(FwtL?e)M(}!gi>mEtG=XtL3LUO|WAhi00^6!D+FeTs+_fV&*B& zlXb;;V6zHnXC4rUe3^&^=JIUVH$(Q+^2m7bbJ7M$UXdvZ_ATv+bq+$GSR^%*vyV>`|huFppO(gKt3T$XkTb zXR1>s8P0AdYhH|CxWcAfYqr{`)^SWLAbZy#_}e5m3m9&s09i}g?m3zKOj(0)`m4Vq zDf{7}a{f={bjyCF$#dvd2rlq=$TS!5SATZ%*N@GCG`sEvsYl8JEsUGtagws@N7eMj zO@g@daWffB%r&!#vM@$RNDrk=K|4+Ga?k3VfGgSu|Z=ydMBClMEg zn;my4`4>!>+zi64MUg5LgmR#|U**Y3EbF8i)L2-ylkYb1kfVz4JQXO^v8QuoGn*irEpV*62CE=pf!`a4H{hdj-WQ`j{c@$zSR=FM zZVQw3y|$YG^71g#e`F{6X|yY(l4el9mmBSs&m~SQ!$gbBFKYA!q=db=0+ZD+&P{iv|6out(YvxKk{S4d{8%CF7Q1sCYBIyTnpvr|G& zp7W?!;Xl=tC^X!e?*}73df`(A0R`#fvk_X>A*lq}O??!qpdmJ!1hKDDwCf!7P%22IVU zvuC(JIv>g~O6b#Xn>sy+;CbT{^7=VEm*@S6(72dDT1ZKnvaI;IyAJP_(fQYX-B=!y z%D5ShR@QCJxPs~;Q_hxvASX6Qw=QW&Vh5OTwr_jw?Q2?a9xH8h^`Q=&_Kw!` zW99Suw}d~fa?>n!9~h4=%`Nq|-qy4=$CR;ASf9U2HTU{(Oei^RyPJi`gk#mP>tl)^ zpfb1581S?{trNXVcfIcHs$SNQodU29=P%y78F>C`Cghw}{5jHgvn}gAJ2@pk*`yxiFwX*@j?PYUr|e#o%3Z-H zB5!-A$8qm79MfFfkkNy3+-5n}b^bQ09=snG@>fiXIJm~}KJSoW{b zwKw(46Es5?1zr!xQ=)zx&JrC^%orOX+1_iDYQeuKkL2Y^j&JluVsuc2h-zu2`hRU} z;diV1Yd@_uS?(NBEb-Ir}BI_UKigFh(E1(fOKWqmGS z2KLF9!A2N-W&PhboN;cl_+;~#6d3e28DzI1lF_UGsrd#We0`xzuHkWzP6xu{m9fsS zujpM29%`YcsgTrWYkZ9bZ(DT7@99qi2??^j&}f*Ju|ofGLStgpp&}bEV1*l*G;&{n z`nWuBiHrswTe|g}V{%*NukK@!SRRj5BOL+PpoL=s;cO*DW&cR%Dc!4F)HYd#`DxP^2#5EtE7m2}Cx>Dev7HF2|8^M&1l4X4 zA!((oO|F#Q?oF2V3|?|;G+`yAwvmSx#Ja8fZU&8bqy*2AjROYC(5&>fdc=9TbI$S2 zJudvWh@L>x-22udbeIWZv553&hEIc5McW!<=f{l%!WTB zb!lW1GTad5e6dPG@i2W zEwBuGbh)NsgX$Zlgs|3{EQH_113%N77Ey9uU%GEn2*7&0;Pyx`U*Mxq@qbI|(Tm74 z`Muh%j5uq=P9^xEki4usuFr2SIXuLJ{`R@h$hM(n#$M&O%gph(ucF{+E5$`1A9>C3 z&H$ii{LRei3U^{--_78Ck1jI~8h`~)?T4Ejpw1p;e!;1ups#57)FK)4X6a4h{hcy; zXJvx>K42dZdIZQ4W4IJruI%(*W&Lm~MNtF|{g>)FssX zmcU6Ju?_s&bWog;ALQIjQj0IWs1Ig1R0%a8gMP;=U4AyD96c>Oo=~1rl`+q{r{a&G zp`T@|HYQvG8&|JiaEAO?zDKPh2jPx&ANFL?+IL$eT&Z)Yb8Pvu9X`6K;H7`#E|j4u zeKh-qcjv|Qm$IY!9}asHb@h=ZcDhF%#e*Ae0zY=Cy&8?R10pXkD_w)Xpgss;Q+PSC zuL=ZyY$|uU=Yajb1{5FI+`oNrsckF0#6m&_^`)>fL#>(bmp=RiRZ6phvA5} zjfT1wHTnJ60!rd-ALL-m4*Fl9vMwnVfK7C0#Y}Xm;+u{2ZNuo~Kiqkfb)}ja$G6uvJ#HGC5D8C8o z0a{Y|rgq3MVN}AM14*X0Jc5J_anSfSbjbWAEfY>B%`*;Y^Jt**qu*(CCg|SQHG}P3#2L(D){I zgxp7}-mXsrnz6gecGx^cHr#|Q0KvC#`5h^DFi*pGA0bh<>+6BV?5+_&dUjW?j#m;$ ztqyK+swpOez(Mx4EAdd_z$&nJ=*&ifd4EA+wiMM%Kno&MMEld+NZ4)>^O85v!G}> zQs9MsCF#ta{y)X@8NDDudS5qJvh9|*Qow#W0e-(*jDz1j{UcCo6R{oZJL)Hp;s4ez zm@+f2cjA0Yah~q2YOv#u_ye;PuNtZJ$lADE8bNwnCH1p6stP%s!t~jXows0#`e#Y- zL9(CQQ_tV@UVwtWSjQkFK9@a%d^@ub{VO*AwDl7B zGXOBq;>j9k$MD%sJMf)V(X#vpHF~H=#1^L~i7O{X!t2U^4!n*hJRFd^04Gv6)J6P9 zfxG2f*)e_3)jo0QRHdllXA~i+yR~Pn>dRVH7&X2&g5yJ{t!V6hSq@j-jucrB$d5)j zGIeL^H=TFaVOdcGS9*^ar_jg&JLZ9c$E}9^3*yv~xT!;Df~ei#VN24w0<)WD6lmUP z)dtkL`*1ns=kb0Wy>ePALVN200O&r>1N36WeCTkHL^`Dt+;v+@Jo>2WTRYtD+*T@E z)}XF0=%`>W>!+)Vp~i!$@nqrFB)TyL?1ea}tI_ZHeL2J9`*G!^PFeu z8=O?a^hiY)9xRAZ2rDG24H+dZIWhZzwdr^2(3}6_7ReQ-fSOdk)g8y8zF<{?64M?t zvl~SROE~a{(xrAa#fkq+1fe;CFbC8^*Q!Qn$>ILBw^$auQ@NEk+>QU>1oLYRd zh+qKnD7KB?BRmovudW78h8?k8g4ItEhkIc!;DP;cjrF{#Tc_@6z=GTQe#{u1@LgVW zSO<;;V-&tCfK;cL2S90Piw@fdrIXFDnI9D{Q<9^m7FvxS#(;)FzPGJy&`-xE&8jwO zi&n9Y8g#?=pXNK2)vewS%3;`*NnA<^Q+@|MAun|(%LWcMG$tVi>mJ<%k3|?;_=O9` zY~N5{zI0is5Z%glT;YClA~#R67!G|R>!qVRM%7Aef!3%K1z1Yc9k#=cd@><&TlZ|F5eo#KDNc|H1^F<)TOkZT(jgLaT>$I!o+0-up_R#RW%! zAtVLI*o5C*#8!t#)ZnYoTp}}>jq0ERR7zvqQgO_&hvG(wsQdc&5wd7+m(r`PmK71c zoed@ne11o*fq@M229ujcfo$-@zzMisQm*r%M?-_NPC~{e|Z(vC3iR6)n&@f zVxQ!gotsL_l#`}uHCln{epadL6V<*HiZ=@ zvk4Jar>D=Z1L42+541~CR8+*vt@Xn06+x1pJLF431+;P3ENQ<36R7KpIxZK~8nI~~ z6Idd^FQE4NjgI7&V?cwu-N9DSWWC0Nu=01}<$X^nz^^(*9HEXkg$ElRx8BuTfZs`4 z=j#x!5G4Bk4XH}t7C)u6(qGQ)lWN{Q{2)}25+Eb!^`pEPbFs<8-#Y3@Fo1|u8;iPO zTEwEVXXdiNht7dBwV89JF&kS`e+NY9zd)!77B4iEfKEIi{G_}_{xfqFU1oxX8iyt> zA&uT@C#;tSo1;(cD4>j(jfFLvG8%=$GsAuo{2<9g@0anW;;k$CD1 zPxvI!=J`ag(kj&|<@9VvC1o_`CMl#5;s8Cmsn`$Pq>||bj@pwJaG?X;4Hpej(Dfpz zALv}%$^!t)i5i!CXSvX^@LV5|s5u$x6&qC=l0(m0Ccu%dZ{Xvt7#XJ={@yPMM`h`D z7R)#eZ~B4xxa>9O2Qh}xRplOk2|N{%6{7zlB3roig&xzKu}6K{9jn~zUNF5=q<)#p ztlze+%MPY6j#du$hR7!QA4s#JTSxO|3cahhN`+)QH*0fLiZOl@Prwu)<&eoPZ0|^c zOya?zOc%bnFPCl81?u%%N%`frZ%W6z#mcK`Vpt_Rs{Rf*%eeZe0lAuGWDpXjelZ@4 z4QSCahU@EWKG%dw}xIyeLq!fUBI z&RfG|jE#-7yBp+}6f*oS{FaSy{aq=VN?V9HZgXpv_Ma-y-17#7s!^*Xl^m45&>dm$ zX=(i^KI`h)eACk_K`>?F(s}_FW38e&+GhW&1bbS+D;mBub9B8hD*JDLD8C@th{>oY zuL?KtwY*1PVv2BRU*fPO;=!nYP%0zDI2SLmB~PCC*m{`0{`y)m_B@bs{C#xk`b4ct zYPFePD&quN^M!F%Po8%S4m0OazLe7#se5LDrJhi6`frnu9Z8bsI?rDspPspN#{QUS zsiVhvzlv_9e`Ozz>&Tg#NU>O_J-77pOFEfUEw@s9ex8oAw)9O_JdaMiWfE-V3!NcwwVAz_O?_cz=wDc@6K9Dhgw+n#ZkU{0lgy z2BxcrQ_CviTf?5V%2?**{>{(yo#UW z9@+6jcW=wQh2~Mfp8sEb8^(_D3)^*o3X{)w0e8c8?V&?A=Mlgz=L4>F4(*tYw;MYT zttP{9{H$-)!N1-J0vL7m<&U0@bi4t`)gP4tC^tIM;kn7@`Fh5mXeC(pl0RjoPXWn1 zmL3l>Y`PcS*<|+}2WGJu*Z=g`AVsx#Ni)!SEhynOixh3fi#991z=0eGz3BrdfpBZ# z<>=$QR(-kkQjIm^*z5btnysrB!%63XOOJcU37sm0zOR})2rQ*YlY=bmPO4s#TDGo5A3k?7m>%HstI zuxU+voO+vJj4jivY4iOx)d9CpO{cI=Hz$sxM=*kOLrbxnX+7zif{RXbN+S|6UPrw2 z_1`7_^c(b^zZVfC$cIN71r8qe_&4jeP)LHMp1@(V>w z^{+QC?`3!O2J4@|E1jti=_C57<|ubNC=Gt1e#i5aQn@SHLZ`?HH67Co7>V$5K_ujM zeGU-M;hH-VN7t4h3A4p-ZC(7#uPe^?5r#!pvpD*~zllCp$XeP|o(0l;bCW0-i&152 zJq7SDykOcRVVsZBTCs1ASlv6fvX`gbU@6^o;MXT1`x**01Sw;B>(pAAa*YK7dy8q1 zgWvvJ9SD2K-d4_9HK8ngCTu2mXUfhXbpP%C9B{KPN<*cOOIUDAg$34N4;tetzv{IK zvkgtzh7GUO$i4Rbke_F=|JJ9aC?s;ZFU`o}iWj!Wgs=KJ$;8H@bVuo?@+JizWN4|6 zU1`#fr}n$rvO(OXX&n9A{nsR6sJwc0gZKULbHvNIsxp-}C~xG8|MisAXh+NanWNg&fP#%4gGKK@dlHMEeYy{Kf(hrA4} zwF)$o>0Heow#4$x_-#4_cx6Otr=KjWYu_I!5$obcmJz@k*FR)SyI3N?{k+%~2V z;TgXX6dzDrJLb1(k8QlI`t#}Nyv#ai7_4ya3nf84DTkX+GVF`dH>j$n9(w21i_l%kFEQx(AKl^U+ zFHA7G)lx?iY#7!Y!aoQi5Bc{bneY_MZoeah2mR!k@w413EMu2+iGBP(H4Os`^croM zDt-s2O4ygITOa?sw;rA!6tm3|lx(zbqBFz3w5aXxC)icoVJ7&cMMrSWL`ONURD!NZ zYIOC!s{|DMB1rn&X0sYhiwSr|^RrB2k$*J&OlZ55K!0fq?RmKHk`#YvafhX9<7ML{ z0&XV<@raunIJV6m*Z*w9=^f~kV2oc4aX>rTB6~p{_gdp)D`N1>zN zsr^w@p1;dRPAZnIhYQeqIrRFCY=uueQrvY`X|1D2EG(^^rl=gxaJ91X{=cjCc7i7N zP4-{wOlXsAT$0hFO=Svta{7*uIWvN@G{`QXDMm~KgZ9@G`H3m_EjTMHZ2K?xCU@@yUMA+d3bQ#{P$Ld zdNrWkKs=SJVt5>j>+cRX23N{(YcXU61tA*gKrkETX$MXc`El-9*kRnS z;pqPx)A$OV5|Ugx%({)XWRG(VIJM5H{b`Yv-sSlu_KdeAN+bJE`rkLh2~m=(1ujg|>8Dz3U6mylX-=G)d=K)&PG#O} z96#uwX+s@q(dNI5`^{{aK7|kBYV)dT+5CuY+r)Sw%t}@tq4w%#mO%LJy{S^zj4SX; zD-FvSFt1B>)X2G5`ILfPRq`NaL(^jYGl5$6;p{r1I2f~nuadvi@d$HJ44c_rtTD~X zolSIh>&>|uV^uv!UP~Ur$Yx3Z?3qg?avvn8udELzMgB-|%+~ZORSjSTKXZU<<6{HoV~rNKKL*B;!OF<%*HR`<>0px5>=QbG9mewyu)5=|5rrDv33Mv74rD4_BY!#8|6 zY!xbBZYDRnx)e)FUIh2xhgS*VyphN@F*{CmQLLbu*C#vG2aaM5uWWhjFnJ%eT38j_ z{m@(Ys5vNIX>AKW(ek18DfekBA2bI)Z%BcveL8tWj|Vvo)y?NGEp$!xy&0W?O|L6> zdZ_k382M)Ra^xjXOR+aE6oj^T2QX?$Sy^$i>IONiNZ0glCRyp8%sYqNbl0jd!Nz@A z3QXvA5$Inu{FN~Mj7K{%!)M&QE}t&WeFUeAHtBI$vy(=uvYmY9s6vd?WoX>rbKpGy zARR!9O*a5Tk4LM5@A~YSGA~}w&D6zbMm4EMLM_*Lcg&9!QgK>* zMI&Wg>q@ZD3Hcp6C*KF}JSc>EN7ZNz^n*{Q|1oZDg6ZOk{JV^DzDa8BDK|2;(nb`p z1dC0Tkpx>kX`F*yRAo+QBd50c{(DMW>s$^^BxUOqGs{I;mBFSmuuKXU zaA|jjfB$tRg>2|x%eFfd@ySifc9<31AmXNtSOHWB5Eh5?bW&J9~6SV80rWN zK{L$D1fu|jkSl9Q$mOmw9jQ9NI@eJn#}$m%HdEFN-TKv39xd$1gM#1~E?k#6CcNYk z=Zaa7kod)y$bfb?e$3bIf3IX|9Ph%GSbv0sB$#kNOWWH-s(fQ6a@oSJ>2@7zHj7;Y z(**m^FODOy4WH1j$gC?845Hi~qo*f`zJ0_!-sXwtcnVv>4MGI;!{=Z`7?G} zI`gMjGb47Xn>W!Rk^e$u85YTNEj5ro+NT+1*pZd!5I`TXg10&5xu2M=@u%{KPkN6H zn^6aisHvBJ)N`T_U4_IiHC8__8sHVl@vxtBA@tsUOfs~{#0V~Oj7l@Aq!D%ZB|dm` zn9o%KS{R{!t^V7dEE^t{g%+h;Vk@n;;>Px(Cj|kpuNBtQt8)okbB~lOtTksY3;XJJV3j6^ z7ME-@O9qIwbdk$fioYPLYD^_tL}Bdqm8!V=9)c5lPPV{DI}d3oonbig^@u~&Il@8< z9NSv@l+Ub5q~sjcJYNYqo>hzKUu~;gD;6tr^uMi@bgfn$quiNhDqbiy6fQQ*O%(ag zPgGsb{!5l#_~6Xh0vkn)MCL(ww1fNJx*K(7yK&o2d{%dhY*3~_F23}m5XTpH6N|2K z%o8h;9k)7b7M^=cKJyc>o%kd|YIZ0kwPML96_!!rt1cq|r(OUj2D z@~7=W46a(!L#nt5F;d*|b@MsT#!g-~are8iPPAs{LHB}beDok*RLEyjYkT=By(<0DIRW!T>!rT~bJ$moXWO7D@ zG|`Qyw0qVGd3n3b9j$^fsR0S!)&^d5leQN6y4+7-y^u5ah9c&0K(D7RB)Vin{y&-~}ThKSuo-T7cGmCCQ2h=B<_IwYhH6seb z8GedeV9o^hZbzdpi1HLsnw-A}Z{z(yXR@6FX*B|b&cyPSA%@Dr4A1Vwj!=1SA>qop zXjGW}rV#oyuCzO(=j}N+-+ex$K#in$MP@A-G|YqJ3L#+>`nyFMB1oSy9%Tzcpe6rpKpmBQ5 z+$^H?$B`w}{o#54FaRgmP4x6`BC;*TPy^&8$RuTmV^QUO;-6{{3%WzL zSpWn$_d^%h-_Zsa7^X?e!&im!LBFt&O&8d1Wlj{f2#GC5HDb_J@P?JsV($I7o+@15lX5Q)vwWAax6 zbfl3qP_n^5RPhbiUWIa&E|{$?mCX9PZRHbjTpWGJ#UcdlSrrQV^hznmGa^V!qG(xo zpeMN2E6b$xwAV|ewc~-^eEQYf9zcbhYK_C$(A`bPB01G^>jmE=Ycsg@%!tbIw}JKG zst5S?ta}Oim#O)ljKq-8#E@N2Rs6uIBEdj~Z9sZ6JaDG;C&+>9(M*ty5Plp(c3Nm! zctFLY!-t2@2k1Y6#GWG7Uw7+F4WhpJ7oSO)5fTZ)5WPRbRH_Pn%!2r*Jwd=wfC}5$ z`&!=;Ov#WyL0t^ui|mCe(%sc`k+ny9`rZs0XR>C~wgaI>eK1246R74@YVeor)0zu1 z?^?aU>hf$qhMY?q_+JWd0M~FJFR%{C38QI?v6PU>JmP+U0yDN+uE~AO@>(;U91M>p zNWTO8o<1+of>$GkzS}A_NQqI3I=(m>*E6=i6CdH&Q4+ zuTM{SY3KAR2x<1ozgwm^R#vYG+!3s=!9rzu)JIS@xWH)QK>H=$L%g})Zuso0Pc`GBIv#pU zd%;$u0dv>7RyVYHz8t6P)WCBd15*73?hSDAh(q|nScyH-At~5@Z_g(dc~pmhL6MO0 zG@|iyRL2gsTei8n&-|##I~CVxD(_g?sT-OA+AQfE~gtb!r^G!7B%y;^84E8o7k8Uq#o{Z+|c`2 z-5LZk$*L4R#CFO(p6Ae{;H};-4ey_h|bN0;DBhQO_;H4w$vgZ{i0s!fE zdfRb|1G|!>0LF-p3El_m&Y0EEnC)7qJycXZM8ccztevx`n@_EA58UK?3YMT zpe(AA*MAFh+FkJyzh~J4a$IMZ@wM4_Cz(WMr3il}-|jFtEX(m20NJqj$qI#SZou~5 z44c&)P00CY&t*bGw^lv5p_$Y$-m}AOH`80sXjD@t&JA|1O$GU={GNeNr#pp5&h0Ao zXVC}by2Lx#xRAv3oz~DBgx-tA?4gt|((%Vzoj zWKk(t5T1VF;8yAc87R}MD$PjENLAh}966t5YN(BN70f=dBV}C>^7YXzLjf%k(Pe^? zJ+Fj)Q9cFl@W!-1^ypGXAeCGRZ`}3MC;q_#K4IbH#xGj$cwGr~cH

    ;&=Tv@=tAoushM;wm!%z7H6;e zffMmftZmivcm;Sb<_K}{`;*rGycT^14a-yxW2mb@{26rlK;}`j%{`0gT%x?Ga zf$i$2IwKLt>cL<732?Xk_{rYY#)t$WJH|%%@{wm2^4=XEBQHk^x|CL#gSW&g)0Mhy zs|F~a%C9pH>3mNQkrnBK46LPe{$-87eha@%DTY~9_b>niit{tFoCP^l7M;HvF>A`8 zBAH9lsm<_m&PV|+q5nxB!w7fz`qAs4;`EjM`fdRshcQ>`9-Ev`{(l!5*Tj4LHdbv2 zs;0@3{q(O;YMZlW$MgMeD&?_5FxJ%LVsLp)Gnm%AsUFZ7^q1u0E(*nbw|)un{DXCq;iE#Oj!BNlSiw?*c!fQvXj3YDKu?_I32 z8%NjyxGZn{-MT^392KFQ<4m{-<@@F)5A|S+C79o89(&oqg!J!BxxRD1tn^;9#7i^8 z*>5G$iy#RK_R^$OO*{70{Hmuz2d%w>uAt4_p;tI?4a%R41NuhWNBg$SXDNz(dm3dolb25`; zEn;)ea8eudoGYp6|5BSGvT5~NQnqQw8nr44kL)`*@S}}cbb2lPT-z%S*AIG~|HV;K zDYBVU4iw#OMc&vQ1myt!sa)gXUosnSDvMLTl1PO$@&_mOgSe9Y=iy`GvPB*?L0NSz zgS^1FGK)b8D(3wlo_B5XfL*G#Z38#=a{q||w4ca{A`@|A<;J~nbA9!B&g_xkfj%R2 zP}Cb#l)u)|_>*^C_r@BXY}`s7HK-0?W*CaQZR zpT|?H?Xu}TJ^2K@wuc#6|JH@t_hq!c!W})!79PB45I&yQ{Dpd;seV3Qh7L#{%I13F z>l*4gR47*J)h8v!v4bCLnGDdY2t3QGO|8;U`aT`^*(3gf0sVS9wMi+>I?zJ5`c=G- z(^zxy-QdjKAnp;FfAh4^al!7u6XyMG$4s_1k&9KE)QWeI6A@f=7>iCiGTd#_ zEWMeEg9anm`Trd9KlAQIRZp1#>2>p*uA7aStx)XKFr4Z%zWS<)Z|uWO>k8k0xR>mdj&rHYa4 z?ZG>&fF%!FEnt&0KU-uKIi4B@iM8Q|eB&+37gDO{<-vA2sllo_yHMz`%=gxM z#idpC|E^8Vd=^BpqvVk-uy=W&)(%szq?F%#OEO5zl_t1%MPDvI+6i17?hpLsBQmx{ z!l*zbbZTq#+%DR4(`fC&ik^XI&4pi|5-=>FaFCIXk*?WKj-L6m^Uv*rh~9wofVA0s zTz?E>Wzfb_iP5beQh0Nq;vm2mf_@$?m~q`z)RvL55cya#E_t@AnLil7!oQ1N=RZ+#WnQ$9?9?1 zJ2@ZEVB2Ba8uCOB$q@`U4@zuE^`SLpbSDCw{-iFeBw4wpkAzEE#+<}%7f$h{8VWlW z>TOnOU20!S8(-GxxHhbz{w0O3s1A^1N;f9!m#<=i!J)xnW%{AmJ8hLb^X|NF>KCz4 z-gX(rdJ(7r^*lLpK7y7Kr`YLBj$^TfT_*uUdn9zHUI2O$v3ng8NA z&`6IZOyX|UuFI{}eD>fmBs=hR0n?$m1u&)U8w@hctTP6;Ll^-jGvg#3K8dURnpU&W zte+`MuODi>?dfN=(0|h{vEsDOkBR5JF3x*6&`Ni&cF(saIRD?A`j*4e9#IeG=Ycpb zhAq0dryLe5+nhcCMizksZdTbLc#G#&abbIz)Fd`5pDPP=o?4L-nH`}|R?m>O6OA8- z6GPOeq^NoL`t;UZzGI?8P`bg9e`l##XI>MOgHnhkkRxY2z69B0sQ8iDcHTDY>U)RE z1B`C&s^kwG8IFA{m>l^cut6^JgLwRqRtxqmdw9F&S+o3;duFdedWf6wH5ZPN!m5OG zEBWTlt@O~$C_LMf77kRw6x=Y2x=*F0KLKEXQflMaY^vzQuS?STkr?-3 zvY#dZ>*;sr{IL%A%eW~sv@)F<58^#kI-;jWN#DHNwCsT^U?Bl<%uN>CC$@cXAzP=+ z;%!w>OvXC2DfS{oN#{cW?v?1sDr3Ilb$scgnl7>Z`*m@|=SwwoXPqay4tWXlzjtLn z5r0D6Z@`kF@EbS)X<&_W92kISmh3iozyS;_7f*uSWWJvVJ??G$?ZJJcZL?{V$I@PQ z0V}Z*$9(X&&iffssxr~-LU*B3EYRn{oQ*!hlPzamGh&xMb{GB~)YKC76d6HSS@guw zB%rS6g5@QPPUar5r+W92#Q|FD+qL#hUTvPJ3q-aa1b+1!`V*Sa#d?vE6Tl=M@9|Ax z9nWmtEw>-I;azL3=MA9jJ@@2?s=t(FV;#yfOyg1C8vXs~gk~E*kCrt9Y-{Ahu6fHc z`0_#_%s6Y{3^U8l=?)bKU30#7&vZqVg*Ij2HjX&2KLXT?U7(k{0m%S?Avd8b*`JF^)7|Df)na@>UG^tU z&WIsBu#JQLVbi+n_I}qoj>7^nR$3~ ztuM!Y9FFf=80W4?C%qbExMHg3>uY|=m79-)%|EY{QTUp>*f)uvxw?Kh=_UFA{w~)` zv<1~)ZUj%xn3TY&Z`41I&sRVdKF)-yUXtxnCZT4^h@TEa3j$~Kq6=Pge(9s$cwfKr z_>Sg5Kno*CVV5#3xx;Nd^0DY+o_h~NSX5zqV{MJI;-|TC2G*Wxo?V-op@*Sf zGH^_@h}~NX*sDwEA>r*B{e-NiMT^9G;knG1II3M+K0QA8nk%^Tg~Po^fFww20#PJ! z_qY2m_qD_OsbtL35uVa2=SI5f=UOEBogYg7VkCXz2OG)KJUM@ilfSF!kTStzMN_iG zZVB!}{gRkUI}iK{692OB@0@X2a^-YHV={~xk}+l3VCsc(%{_hN(d3|xAilL@Z{lvU z0<1F#M)seOYEV}3v%sCKvSeLm2UqUZm@9&~qX0o8zePRjvL|K&hjaW6J?8EmisUVA z`zb75{i^dX*lK}Ke!$zL941hRUiJ4iu?5)daZIPHL|1yLJf7e#S^2@vESBprEk{^L zSg0h8qaq=qs;a`Iv?0{d+OPFqbgOH`wREs1GPHH&kL(I3#&Hwib-0M3kdaSqKaT%T z4Bt{cFndm-dq**rn9Ss&({}S$S(AA(66(xsnl6?O!Dh#8hl4w*_(OnmYwk(dG>xtB zP^f*;$qV9n0s18D!fagg|Kt$Ry4=yUzpPHGnnI|YTgH4YCDts%tiSrt^Dq`&XspXN z$Ez~77=jSe8zEy&{wovPjaM3LGS~$7iXiFrQb9oZ&zSs+0vGwonG3!d!DZF&K|lT{ z1GDkL$zt{hckeSl8cb=v3#Z`HivyMsGsAfw=Ck+jD$(?8v8#~eKBG$|mL7U({t&GL zmN}GXz@Lmc%WdfIuUr4hY}r8`q#`LE)&u>#LS2_B|JML3BJbcb)7~v1#%{kx{6Dgd zeUs#-)37G=EzW*r4U2-jxk$^7;G%Vf4XlYfRO{j`CXZykyIpCe)0bTfv6k4lD3B6`rnR%=OOF<4Of)cu3cUEU-wSd zcjZvO(^rhrPpo&&D$<{;-P3%rLviD`%MWT6W^AKP)sl7jOvKH+AIWJ9%X8Y8Q@Z!cz$8Vnhz6-y2@$Cw{G}|p_&YsYUmBqH6Au=0Q z-=)e4MxZk+%CVg-90inowK2{2tpiAP6nZMb5v+gUCk@x*>&WIrPe-_`zSY5pXn0s% z9_QaE6j|>l6|vEAHv1B9eH$MgE{-AwIp0Xs0@B(u>Y%2tmX&pPnZ-IAQyNidGObGk z=GZ%4m$Xe?VZ;^rYn6)@f%ME`a*QHq?~rF}wy>u)wek~>y3g61K3|!dkBYwFS!)p; znt`{g;k;QTztm%%*{g}6nZN^gf*;GD!(W5AUFIK9&&PG>P7V2*`qb>G%DAYGKVWbD zp=??GP>S!jX3&>N?A6f)KmXVGm#=jv!j9=67F{4q%WUL)w$29HRr`LzvzjKL67J%sZi;K~IRMf&9=r z|H#BQmyNyVS`JV0g#Z{U@ezLWo>yx1<+;joWlrB*sWumGmKP^t9iifw*=TC47&rz@M3vkxeZIs)@zMz0W+D*V8>md+q6BuA>LVY zy?W8?e-K2hs*_cY!xhKEo)SK+YpL5d!{Sd&E` zDcNqQEg4F!+)`nfuhq8$iv{D`obQ%zltVb}t20~*!?(-7J&4jcikVtS!OjLAm-yM3 zp<7CuqrxI4=XeqqNlrTGj%Yc_nA{(c)R2ip^CI9}2SE2Z0=E2|k1-Ybp;96Y`&4M` z?CgSg15b;0QaumRq9`vq5~BQPeI_o(e$o+P@!=7SWJ$_ z?I&1w_tn1wn8gck3PBx@65{sg8fdNSa)D5*a+Ub=JklrgsEP#`xz*eojj&-o~hBIsg8pls| zz^6LlZO)(K#;37s(ALqV0^!S^k_e)CwQvy`sdMc22S?|*WcK+4X_%*M<{D(Yr!XGRKO zXC-B0V|o9wv%c@D$&$)jyPA_qm|45N-|N(c`Ck*GWB)Vt0qMVo|1B1#{&&t3_QU`& z5KCgATIW|JEZDdYlQqX0q%rBsTt-T7G3Z*F8@UBAMlG!kA znwPLJS4Ro`%gWnRd%JtZKMk}l{)W#T>LLmrJ>KPpUEVkC?Uv0&Urj_RqLd|;e*c?2 z?ZlZDNhacR!!mMxJr1jM(9N&p848&9YlIt$Dq-4qG|jGc9=V!%)l79K3omV-%YJFh z+yx_q9D=YQjo^q@*pC;fXI!Gw0i{;Uc;?nmDaH1@ZMI%}|CpaUs&afdIXCc$IvbZY z-{=@1H7N@Zl#X$n2ZEfLZgW7$E5>k7-Q_$`kpI(6Z()C^!)c%HxTZ6M(oAEHKNHQ} zS^WYni^oen-xU4W1F(KUyN2;#$(*-GC6%M4&U#chIpxV$XEMrbD4+8ec+};&UgXwL zXVTWIX?7cA+Z33l`80%UzB4py=m>EhTk)#lBG`W8veNgPbM^?RB*igJP&U1}0L?e1 zRn2}4$Qt!3_V{GtG^7Le*I1bB$Rqm)$fg>I9v-)@uujueIkqHrJGRM6SN5ycy8|9@ z^z0H4_`rvkyy%@f+b$Ugkeb%1=4uwzT$YsuI0SX%@p0t^5d!kYX{S~d7fZUO|m2d6~#00bW@=U_K*;CjX zD0;p@S-Ir8|FUge0TagBbk9@@E2XL(h_)hS)Bi01tY2;Do?B&~fdvg&ZOL&{ zd7e$P?3ztH9rVkWOQ+{-hWy9GM3x<~IO)V%*Y6FM($02cF4k)zMjg9$=8wACM#WIQ zR(0a-+Bb;fe9e^ka7Ldj)R29t8y|gR(9d#dj=Xx)UMcevB1+?=-8bHJr6QcsC}$IN z*);KiEAE;TeyD(%*(boctFPQiGC^66=pgJ?y(5ffPup|Ke1$(-Hti# zj-1%)Ty1;MKu%|D3kQUmsULgmm?#eyIL1J0TM54o?dX%UZI|`p4o9{{BCgKP-!P6> zS};B?4OwK2JgmnOyf+)toIt6%X|2z@pb=|3W1&9AZ)R2 zX7lz`%h2w+eg496S^+r@+N`T2y@$pHU3&x7K^`zrxLa+K=1uK{vK?RjpE{WNQIDq1 zz~VT$PwA3rTsPDGN;I7P#jo__FiDTCn~Go9`>&(9-HoWuhUZ0ZCc7?*nIFRUyF=FY zt8JwACVyy69l@4tqoo`D0k1*JskQ}{gVDdVdwy!2v6t&%{H-v!QhCBv8k39_acmJKXI)LEP;%bC-8Zxu5 zzJVOgpC9@w`8+#OU&Ly>i8*Yw<0Loo86nUZpJv^%*QED@FH6uEV#}1QwY4}}fMk*f z)VlaoAV1AMz^zzsY&eDj2a2Z)uI8-IrW)>6b`aDxA9m(LYcJLh@W3;0@bzd1W*>Cw z2RVK*s4s|ioKrGVK8zl3<~h094CoU{7%6( zkp<_LbMtCC&Yi^8f}@R7cH2eSm~8%(Ts}%9Fvg_ZpOM|1QB@$XN2~A5BgEoPL5pEK zyS2t4r)}|s-Bf)Y&@MDT!||wlAiVwxKM@+PYRkwFA|93M;}#x@0u+iG9NWi#gw`63 zS-B1P?K!`mqOkzt&dQ&7CnstBmwK`t1)g2oJa78>_Shp$Nmw~P)rVo@DH1?Js|xu&LuspPC%S(8;)0n!wK#xDybGI~h&SPz^NCkYZ{% z4j7A>L5WM}+~+++JA#hYa^V*N)--fYuTM7G7a*@y!@tig6WL~+Y2sdZyUElJ4A8D0 z8d7`QUrvmV8CivL=)8$-Cw+`on_kP8d`hnFved&IPP;3nG&8RrR?@R?4AV*kZ(Esf zlqP4hE%~yie3wcaO1k#+Bq5XXQC=$K#Zh5IkgH;zD2P*^Tup zW$4*@shB-5h4BZmbsW`l%Q#tE=gzn>0bp6DCN1+eVMoFCkjt>eu)&!|Wm>j)R?hiN za0c)xv-vF~jD0H3q33UlRDnd5z!w*zjR?l`#YhWMH|0tRhB|YGrj=rQ38$Ta5};!D zQuZ3j@U}HrQ}6uhv^Iv%@?m!))@bB{5JCg+zdphQh9Q6}K6ux-$z7J+3(bIQK&ZAAL#_8v}6WXn_DJdZy5HZ8t8 zYXfN>+hvJ>p-+|8GRRTxqU_z7soN=wnFLDk|4r>pPO-VwLFFdn;Z-xQeww5dv}nLu`|_7}8?S>twudOm|+x zAKoAz@=Rcyo~U@wv$Nl_vsvP^rxYh~iN1E zpZ>jJ0Sdm|9W2DY?dBn`>6#y|OCQAw+;lF#+&ycZ;yC8$bo4&rQoH_MqAe9`*8(X29+92+1bicYPTK0KmE4;1Ks;>1Uew!azhV?Nr=(uRyY65is z93{N*jqsc;+VBKBnA)-!oh~e+JsN0r^vdmE^1*?9%$%P4dAlHf55?Q<9G2BhXO*}$ z&DFWVhv9Lk&-I7D7aLY!ZRa2&qiJoy|=!0-wcb4%!) z`fJJ~-y(*DK~~<4Tl^hUlb^rq-Ex7?brlm<$NZU~-$MwEU5O@s(#Hd;cDjC#@|P>L z4oK`-oBgRwaEsF6oL|P*JZ86_r|j@(WiRK50o8s^S6zwRkJ3YHdq}x837uvX(Oe4< zVE+$%WurU789y;M&y9K8I3usMwdNy0xeU7 zUZQDLLRPsORsJ%kuVV(P{LX6u3x-Q_gALBjLy>Ppm-B+mMDvR#zD7Pa-%sb)+^hZ0 zwuG8+*EvXMFn3@wI_DrjnZH$ap)6aIK0o3<+d8gY&o0y4@s-}j)}-XJVCb(|x9cAt zPls1^*W^JvcOJKzo)mkkZX+zGfJD%{C``ePl4ds#-PYHd@kkdymN*D%aM^(Ru}A$x`o=5Z|U_ z0_d}pa8iKpu2p-)p9?*NW1v|4akB?xWzt`nuThYy3JOrv3Jt z*RBgcs8^VfoJGjq)@uMq>^M@cETu(jxyL@{)n2!9*(S9^EA|_&#u@*ayVv%55{96o zzmB!nq@)yxiu&)Y4nz8LKDUaKS+|f*n<$wGfmN`ewBN?v zFTFi- z@!3Uq*zF-nwk76ktiJdl(69CH*nd^sJgbH2r9{8%Ag%r?9(PZ*xAAvBBK)W?V0D z4$0b6F`Gh6Q}H|J+NW$Aq(~bTLL;PW>Dt>iiw+aach&JZWOF`FnM{N?6c(?(8rj(0 z634Z#IsABXpM25*_N#yT8@`8MBVTu|pKz@Yt-P!!Icl5N1tB&uH}I`eK7H*}+L%%8 zbh0-&meA7V_S##QfzC=eq}B-XYND2PkkxVJ!W7xHpG7%C`iYYve$Wts<0by2=HPBE zZwH^=y}^D4&FXIS6xwonJqYWfZYQfO7ckGP%>Vw*#{s-d)45Y~x1= zVJD;*I%CQl&vGtAZr$N7vnSWn$*)R$Jt+OKe%HT;<)p&ye=sdH$pb|$20rK*wLEp@ zVCKE;SW@g^-zlU5p1Ji=y?^S9-aY$|vJSLdw`(~3T$XM-WCx7qG2`W4zpz|?88`ZO z+-?v8xZk;ds}7J5(gldUHr#R4I~;jn<{A<1OyRJV0=%OQBMPBgvo#<^kEwXXjf?1P z=d%u(x7;O8z4ei+lulF0ZgP^E95OAP7rY1O1CKq&;IIWIr&j2(5lLr>=hN5|uA|Un z{dQ>0nMz1!<&@fO=hN2lo&&^?^p4LN){b(drExjZ3r4UwP1wq=@M<)eo?ByBf8>W#v3 zPKcS57r#ry4h(-IuC zW1jZ=rJ7#8<*%o;7bwt-H$$rPr>A+SQWCSwe*d)N<$H$+Kc7`XyV>$afM~v& z{?7M45uJNRLqd@?$!G9hwhzrwhfKW5h8{7=#~VL`1r9>Dpnc}m37=K67riZuVK?U;P5zL3{#N{%jWp(F0?Faqb3A)YGZAgcC|+!keMa!%`1~ z#HbT`L^t@N*w)SS4;mp+B}H+GL6$O~C#bi6GyJk=&k+v7eQlH?cdTit^B$_xzcFZg zI(Y-(1skS_RX-@_D*BP!03$Ks(>mo|z3(ZU$HiJk1RdLG3iyA*OSJ42nnqv*fS{Jq;*c*D+6XI!1Et;2m30=8qMQ6|7Cr@r# zeRnq;DWSIp2BZ8orGk-t$EXW$JkYFMB#qchA`3_UF&O>H)7J@fFwL-D!pX}FX#9!-|I=P-^>g88PlxPGh6>SivTkh zJei%tyMgFmu>bT#p_l-%YfoCeOf1@q&2wOa6gs_KnCxj}O{Fsm8+rX&JxX$N9&wMf ztYH@jCjwJNkoCy($alMJn%B1;ze3yBtci-8Nc?Lu(T?5n$m9HfMCxxnAv+I+VkWiv zFbdv@xBUcv-W?E=9FZu=WeSL7j_*kfKsC5M7#GS0G`WyFf_In`xZVId-9AuZ^NDyT z1N3=N?3jOfl-_Nsj?lb7p<};_;1W|AVF&OzMu5Vlv>OX`HCwg{0?Qn2-h>6KJ$nrKC?`ksqjA})eye}t8L0Qy-U;%RELrDcmha*E@h&~VdiK3T-c9{e?O22XM*FB`HtkzG6c*abCN<)d zy2NnQA5mKAwW~*zfiwGTHi_ z<~_n#dHRoK+Yf+i`Gu8J5*6F;Vm;{2DG+MV%nk0yi!ccVIwEAlU@u`s(8v6;h`-3> zoIwg3vbqnV%+2Xc#VTgos zR17&^hO~B*v=q`v9Pgv`x1(G360pWvcY1N)W z5rTKF8au4{7x@B>U_t1k*je(FzKY+X}23SJTH zK^)P1b#gTT28K`bYS3%p&YTNh8_8RIEoO`I6z!$C*Qy$R(}dX3_WI|Gtla>i9+gQ? zyT+5cu_hTizZ+UNG}oy)V;xh#985-(b8pnZiLYxoZqday%B9nPBj?H_-ZyWbirQIJmB-O$VT;c?; z$6G2r$`jw6AM8c@S);+VT?o>95O`m%JCDTM@2%IIF)A&ZMp2^aoS9*ZX_Bx@5Vy|4 zLt~k!LBlMn4@;=mL-?R^t>eA(v~|7Q28c|(@p%rWAR>Le=i#+A6ztZWFm>&vqS)mv zSuZ5u)RCEGP|YXh?|hEz43)H;_B+`cy+2h`zSR!^OFMXgL!o6_)$vBmo(}AB?i(^o zc8eg>U4*<`G9|%tUEaqxMVZ|$K8YC=pCj!hrx*BL)iDz4b0Y1%7&~0gLG_zr< ze@Wm?dLvN0v7-Z`048M2v#nWIw)VtECvJGP{` z&PYyu!w6kw4V_m`6;qXjn$@-;j`jk!ic$@9#>e${a7crGgS!0&^CF6&hW#;# z;K%lAaY)Rhl8=AlDu;K}372N~&7fRFr?cPR5tCg%=%cFaz(!Kg-^cb+TKON?t#7ST zdy6PNNpI<%HJ!WLN|;`uOt`YQ&}P0nuC}e7^@Ij~VHzXP$CLqP1{8Jw=FyLcqby{_AV?$4H-CD6CU_cdx|7ZSHY zUn*P!UF*J{_;Nq%&0s`zzI0FRbp$EeT^R;<6E1xb5H1qB_dN=0;HT-ne)yBNCjs$i z%v-s&=Dkk#z-Whm<>m)7dn7Vn^u~o{GocBX3b&nei|yG{%n=W`|B-9B%^bVmB*=T= z{?fc|*IPG8!RN28zny;^ndRZz@LTXwBelF-YQy7x7w6$++GCIXd}jUVkJiEBol� zpLU|juz3S>8g8{;lK$0c0M%dIF~<&M85JvA?$IvFP~$G-iLl7qY&psB2@N3XUl+vI&e0Fb-lPLs@=d*v)ElGtrKoKID4?!-4ND@x_N}E z&x{Ccdtjvt1KFsrv(6+i9wYFrD)lIEy7NegS_q*k_|pOmZh zw#iZdTvS3Q4mtQx%h~ye`{7zT71xYJOB8l6%7)a zb2>fiO#O-VJ{7)Y#pLc)@DXj*qSWoZbSfoYA@Q5uq$n$>BQ3LaKPW!HokgdQ%YW3W zI4se)piZU+@%@5bmfZW>mHm?x3(SYqAWtxkL^F%}D}LGr6(d9^_qUT(EMU^oVn7zf zW8Mj!TFkEh=2bo82@dAZtJP|_Q1hzoSP=i&v}2aYi?>~q-y1Ovs?cp~f2@#A5^?1T z`~5)wESOjije10K(9P#rrCN&tqSxZSM%>}h@N&!VFz>iYEr=>`GSK@(F-#QWxb2fI5G(F>8p{?~Mp5nxb&V5DpReml51)Wr# zPj$Y14$LdQDxVTTTY7z8f^l*{!K%?)D+)ZgBCp;RV=TS;EChFrZz3|nSS!6;Ho;Z8 zV;!P&By9PonDN;5L+B`8YM|*~5l+G{>E19fUTj7b&&p~$i}T@sc=L;*yLi+7iX6kQ zvBJjPqNB@Svk{QDf4_{mG5la@vbCgp86ot+WnTmrNPvU~NQVX4#IBF-lH2d^h_lX2 z*?R`Hn?DkY_bPgS>B5X!PO3rD25s*f3uw4LXjZ6|7iz;|!2A|FlAGTS{OB^5aEI!i zaL9LwYmc@u(}=swQW}X`V7iXlrt0&xuo&NfW8QmTgl{DL!@}VzsZr69P`@8i=Hm|| zie9@YsSn>bxaQ@Kc!^F#^^)B43dqWSOdvZ@7y#<^gK7h&Fqmk1Wq8ZFQ&3JTsco_;gq(u|5K*h0j&ij}&QG1u{FtEAQv-sq8brXzXF*K+)!;~$4F?F=&=Zv3B7w?g4ARU znx<$7DmtIkE)pH$Akwbk)k;!dyz_Lv9@QU0v)Bi-6;${hbPa}v_T+9S`}KJ4w>ANv zx(`zxDvPG_zH%de%yDTyFS-dzxe+i>Ic5}NSu^f%W z$WStVa_3cu^{;y>*x{dtcV|sc;3R$-QhXz;E!>$dCTk7RYHp*bhntpEykf>0uuALD z!Kfm}6a!WiVJ(zq!pT+P&-l!Z?wlVQN>SF5!g*Qquf%kN<&TH-Lx1>owz&A6?s^y^ z!-UkAz8Ca9xzpi4Dw|^=>E5VMmHfLg!6b8$&Z2I3iBW$#MkhpIKD$9)OFu$er$eR4 zXc*@2;yPYPU3rz9)@NZ$WEK2{{c9msoj?pWho<#NrHXHF z;JmrbJn)2_DDdl$rF=*MefI@!`4?}ae%jCU;v19jXq~KW2@-PJAl4H79c?3uzGjQH zm_=41`4Dqoq=E0AJ*Nddj-PLTQWI|d7x&maMV;HvH@5)JM6Y^=FK)RM@t<6;cvL8v zh>)vw!Ep3=&X$$~ZWr3QJO?0vklXpmmlsxfZgFL@vP?v-A>#&!}gl869F#!W& zlCzza!5?C;`1cr-NwX0B`lozla6zBLJHa=3Jf8yqiJq6P!}-KEvacJ@B@ZH}o)zd~ ztjf%Vud6#8dba(@ZiQ9D$a!64GeJiilqfUP7>3hmteH(Lwyb9$>QNs*%#GlcYY4k+ zm2Z@r8`KW#Q0u6y|9|~`3d*g*g7eETfaQqvO5$NBjZ62I`b)s(#%MHuP|(iy!F!RF z!9DIWgZVS>2k-h+J#JDMJ$ePpsm|h=7Q+WiF{EqI_&5igjdX4?#cDA<#6zu{tA(Kmb*iV&Y@7RAiw6gw)D^W)aGu@Z9 zF#QWY6Z`LQe7$B`z8{YBlMa4W8V~t3FH_giqE(!<*b$H82BGf;TNU27s-G^(&N?_g zeG?+1JfnCG%B{T8OmnYVf!z3q{mk*u>92=!bY3>{9Po`^;UusaJYySZx-9>LlM*V>OgFNHKwPhx zVzh~@2LMTkN!FBB9H1n`&!j~sWxtQh_*Xc;sLsSV0M(@}I*r=IvkWrsXoqWu^!lBU z0VNBKszl?pnAJ$Fp&ML2B<^ZRiCrwsU!4Fm3+XHUvhO2JEofPr!hE?kRN}i%=f~fK za9nCSnB~?W3@slliubsEY=O|4(ZUbh3dso053d0UyWkWr^d729i8I8w?Da3DwU3uz zjT^^sz_e@Ea7*ILO4+Uq*j@PdVuu*g^uK&1XCbfk@J>g{P%8@6Hq|d!B|NB!nB%01 z@VR}SIKf%vcG3K6f?r?_N>9!RR!MbZ&|$ojA33-{*O5=7=ko>oZxVds%pq@;mK3k7 zkFvV^TNlZU5mxhpLZUywU#;y`r|KzI>0qZjFqxdPl)u*)hLKW3ld)Eo?Y5#{@#Cuj zbdW42rU(GAMG$i(U*e1C`||zlmjL+{Adv%dx@x?}4=-aTC$DhM(%oOxKE-hqo`neJ zqR!L6DPV}%=KB>ppw`<)N-hLi+xTmg9flLQW{ zpW`980l)XL*f|Ch#AWen&0A}b8^X~K6F9Wz8&f^s*+XbbUU1H!YHlLm@3~VGQJWDL zOlR@=@k*z+d_=b5?{aVX{-&FYYlVuLM2#BLcNA~cr&yP0+0E5p`Yh}cCHV6HxGnpD zIuR$EKN&4+buCHtYsKg)2BzRww}~SQhkk)mY-(`Z#vk1U=L~5Jd7V^kR%YtHZ5Pq9 zY_Tgi61Tj!apAz7a>YO14D;pc3c?bRL9Xzck{~BujhZIpv#HP1({VOMGw*CYn-;qs z7UPTZS0JXsgA(~n3nmG^;<(xqctCD1`5`89#>@1QT7uc_N+J;R1jR^vV%LnEOF?Jb z=B+O5oxFEtQH{TiY-G}NBsvgo+ES)>so=vVruvS0{+T&CR2Z5$BPw37n(n%b&2qSo zO~VuGo9SGRAyag z(fo1Ss;lA$_xKZs-ct-Dwta^GQ+wS}a&7!xtcp#;euJHmc?tUiL12V(n90p&7)P;? z)JAQrgs|Beezuw1|Io_*O4UPh#y>5Z&077>B102RhY(QJ6YL*?bv;?yl{EVH741Nx zWR0zk$JEdaK%q?rVI6U340B*LYG9Ke>O`LLL}^v5mL3~Yu#-)xjl#E~c7i%bGN4{M z*O&hG;3rZ+UkzF4&kb-NP6Z=ouycwN=|^6`QegR5XI{Ovl9jjrd^0T@xgGr%+2IJT zbeBI|OlmnY1Ddt-WqD_xGA3N%+){u17X zZc?!`&scaes|<9cWLsd$7H^CfY2>IsORod$(%1}9*J;wQuKQtZHVrcsx1q!Bhsj5i zWPJ*TDel7`=f!|xPQA*EC?y||g4)|e_A*F38&1e~X+XP=YEtNqtqU;HSBHYdR`i@*5x7>6vdyg-E;6O38Mbh@e1KUK9fjao_)^4JudeGC~9u!BE<~Wfa zB2Wl6HoL8~bB%mpRHlq4mV81`ST_Vw_#!qsPO0kHA6x+ath}|5uMp%ZX-f z1X$?Xoe_@IREcpB!UV^%f}O}!=1;jmhp`8 zcdlXdpO(e{Kb|b{epLE+KDEkzwqwx2c{N6`fcbi?l8y?q4|-iD4Bwxs-B;7J{G`i< z1n){mb3Lf!QFBGu zv-nD!C9W0ngN#jHd>&7w!-$eB$FQt$=dtyO(ul4!eHHtZIi4=r`L}VKkPl`BuqIeQN%bL}(7N%KxvI5wjG z+f^)N!gOuUBT5&8_~)*3E=@<6ZT2dCc-qrQPc+7F;!?;i2GB_?(q>h51QojjW1(@S zPWQ40?%0{XujAUd-^*)73Q2}y)}*Aqn^7+i?n^D)SDJYK`pK@*8G2;!RiE-)+$kY* zDq_y+GS4;m%NY7Q74_*O|IB01A;v78P=Khl1qq9}$ga?a}Vd^lwbVv?-s5YjTms7}StQdmkr4 zN380)|5|d}eV2}@HvPIdRxOi&ca%v?aDb1H_c&ad0%w?d9MUPM~^{=k0ME|o5x(0lL;)|7kxuy zYrn)Vfl22ga;sbBP5u{I)?KO6gVx|r37uT_Y0v3@$aIxs0Dn?C3Cy+1VEJuFTlKh97(H~k9#tM%JX}zu!g*g#(F<-rRMgC zj)bi|(htzZ64JOUvSUMUMD&=i2X3%yi>@G0jHUO33CE6SZH+B8hW88wn(CB*w6Ut? zz4#u59xvj(dHUSw|DW4~6Bkf|*A?hA|2u@=Pup&fe#b(?$O3T`wnD^R+6P z{X-W0F|Gx2)Rmxlw5rjO1a3~EF8G+6#npmKzU-*vBiH|c(jw28wx>97^VA4Tz*?Ev z!YrbBR-V8M&u;1dL*X{&hZ+5a_X{Put=j$^YeJ`5k#}~!mfS=j(l~gQQwo4fS(EZU zn4zTHX;*HP183Ir?sxm5n}<0tCCnmAHoi*qzkub9bYr5%H39i#X$;M1sNXnqV9XQ| zV>WX-Qd|P5^yn*sT9{M6pbW4X?K`O2eaF=v(m`fVmtnUbEYV3TcJ7@2{FEV0V(Q~NuVhZuKP1N!B+JC0 znQ9N(;{DjQg|Pq=a>F5~?4wy-(UAcfj25VoS?dl$`$Ta9yW{7Dc(gx?YGrYEU$IDp zRF@92oBSzoZN!JEsdr1bK6+wt+Ra4{t;h{jfiISfOiXXJ;SZXs<%L$y(_ufMEirNE`u$DjV}#luaUecCyO+kYicF+MC-cdKJ#7%Cw8icwf$S zaqJW{Go^3-yKw3Gf{&7_BoX+hSoR8c_172sxuGJDu@6Asmm(5mDB#aHk3?HoTY?xu z1}FJ4(t3I^L6(2`wi`;7!}`ZRSQBaUzOuAnA?HnyPw&3%NMVKysH8h1ckMb1Wh_3ft09NA!x+hSr|yyvJRJ;-daL?K$D}GKVXHH$U85+@ggi$5 zA*X<*H8yI7fsGQM_L4O6YBf85^j+sg>h$vu+t&E`srS4<-TL*HUVK5!vga3_MsA6b z&{2$KB!wQm!2fGhcBF8Nz}gzvh_#*0KiSbI)|~Jdo?+qRK^2I5Tc~Dx6zqWwP&kS? zpcbudYBu-Irs=*2yaBiPUQ_X;{u?R;d>0K{vBL1%&LOa}L(!|FvQn?mOu^60tKrJS z5Uibxn-${9Gryt>W;Y8O-#jHMkx#1o2$Q*Ai!NQp8Sp(z3ArtU$RcYp4L;}77!}O* z;OcE}FZX_*XYN0tg2gUP01TqrtgHY zWG=(wNJgC)D+--Q+LD{`75SHJ?!i=sx1~H9F0nYl59=lrC4WL>_?M2N0W}>#>N5@< z+CUuevQ5tc*1qtIG+G|nuUi;hfv3L96y?Wk#yGUk5D>VTrqFd&2iapg!uv`x%r5Kd z!Xhjm3FfSR7Jb_MYth;aZ)>&wWs2~A8V2IXSnIHdr?5wuAKz5ZX(LUep*vk*e%I)I z&=s*6qpTdMpgw@Np($$gORqUBO3R@f#2b&_yTJO(TK3#C3n_z`V^}}H|H5`(?Y~j* z838bsssbVjC+%kqDaPCX);hl8;4Vt)!m*7ZJ z=heAI)cmFSU&|mJhR-nV>el8#sbo=_k|@p8{OJw^^;Yb^Zw~(m!}{vcu3Iu*(2teA z%L>)vhtL1RxDz~I!$-xI3k0hF$HQ=EJiJyleAX6Olm#`ij%z!y`a8098V!wd?EZW} zeR?fns^a87kti)e)@j_MXhrgwVT?iifu(W2R_<^;Nw@ZmcGMSWO@=X?XS8XEKbVzs zSYTt%#4shif{4gX`#-CVnSZxpV&_mtdsn~TNU~t|c3=d>G?iM`qj@lfamlNF)GG}; zl9OW0i;)O-7%MFt+OJs42r`W~Uew45>$0-P$eHsh%6)fdy2fLq))&o)jI6_{Gk7QM zU^vphWObxGj<3s*cKtZ*OV$b1FK|Y!?QRl<%aoj$z zTL~_2Dw@fZS!>iQhU0~AQ=}Ac&-K2-?1$-5MH2_xsWe%HaJKd7)?de1%7Jy-wq|ok z?zzR8C=c7ky`zTV3YrU7x(x+uV`Zy@Pl=%fxJY34ATFIDPHAw_K&OoHgmFVK6IXcf z7bs-{UX-&^BdGMBz(g-pPFp@L6)HDDGECavDCtc6teQc2Z*CGUtwZrJ9&HXZuG~zs zp`>qYV#{8#Rf{*yEblx=>``y75Eva9&w{sQcltA)?d#1nAC!49wtR2jmsIXea`wJp z7XB>Gs4EhJZBCA)AQ!5mlUz?XeF++5{}LoA5OwpO%6lu65p*Scc7p|hhBlZ5L@t2| z7wrQT>P`;W_PtR^AbbUQuBl%?mSQT>bOGM>H3WKr^$5Nw%fIYPnnf%cnAF>F-6@y& zFn&<~rEn~XRB4cX!U}Z|V&jO_1M@Ppm_2R6tn}}RUQkgS(q`aYh#^riOsL68>@~1{ z*x0r8$>{aKLh;%U`EClPV<6$gu|I(qXN z$4Y!cG^v#n?YkP7z=!4poh|$M7u-Lv*jwOTO-tMjQ?Ej*hoHvvK(YJpeutD z&tr0=i@lv<=%R93ahtO+w#w)XXvx2LXGj$k*I-I`-&!e2bQ65Ee21 zqGw~6j5dAHivLEM50D7EEHcL}`JFwWe)DWM)}h(&$%bg`(k2S;{)FPyt=PLz*juuK zJtR2y{RrE{oGQZkRjNj0`p1L%`y5P9?PX}Po@4VYwnux43b)X^0L|ggM?oG$#mu6A zaUod*131-*kN#sr2Mg|JZd8h9Dhx{8Bax6Goxr+`xG`2iK}^O#W{?Vd*}r~W+%KLS z4)MRffc;0?0J;UC5}(FtjsDcK6Dzy-Bc?P@6+qM9rMdPjRPVimw^x4@Axt6d0GWWX z`#&dd4){xKG`UTd?5fY-@BMT^cUTwVC@ID+9jDV9)c!W)^NR1-+!~%8nenHqI8c)? zBKrIL-zYK6zPW3Zl9j5uUz{~-bc+j+SY%zlf_OH3Am3FV zr+oMD=-<-BEitsMKG;;=HS&WEaH1CKM=y`(cpDMce9o3)`S(8>82Ak?kXa*I&91Sp zp~qdY2l#<}7FA+6)h6(_N;#pNq*Wk-?iwZfpOQfgVryC=;K#puKR)zpsbd67jg~&v z!gVhx4+aWrO*lNHEcH&udw#dg3IV=uWg(67aQbUwP7x9vSA*>?&J?wB(cbIqXR?Em ze?B3^fB`FmJ4TBCyU6@&L$B>uQf-f~2_k)sUkt6a!xe!dtW1_Dzm_(MLO_1+y72HD zfg?9j?GbN1erw!hK-Ibymso9V$gA?3-&OMtgeN{o% zDb`dEQKQ9=h4j*nTqD8o<4a(`rA)AS7OF z4w;ei%-7l_=$bS?Gg0MX$En1}jyQW4bj%$+9hPybMz0=_3NPYyAC&GLtA!iva-rE3 zcnoIW9Gxd3)E$fYxZKpvUp_6TPc=D5m-{xpHGMpO~onSOkDNVqKG zDplW9k9WO*pKQPH6A;rS11R>Ni#!_wS?D6X`%MawswtM@DK6hxL=_PjD2uy_xm>s$ z8=mQ9nWDw8uR{LUxT)7J@ogNfyz@*z2ch3s`m+0sWwvn{us;E z?O)YIj~sf3UV_Z#Rc+OMl8x6SGl|Sv6238Pr0oF6JYi#KRiNcUaJK!VwOVHclC;2JSIj=`=YMN2-#30#>AJyr_N4C*+iFcyIl>z z)$L8@iK>5^X?duWcQcXQa{|_91R92v{pptEY8n0&*7q8b`Y!pkmHOWmvnd#V^Sr3c zd#A+Vy4t9nO*!#lAMbMlv%+=YcUUDw*<@m;k!;C~J1-48B#*OEY4P`o_qTADsBEED zq|bRjO?mR4ZUL@l;KqIq zY~Vam_+R@)52X{*0G->J#=~R3;ne5LQiQ?i4n{wPgs}4)sT_b%GZR##Vh{*(6cd-vMaEGBRk1_4_ zU0)fFT*18ger-im=$LLv$1RT-m4iVxfm4!D+IThVHRD=C)Vi&0ZHX~b+m2;LVx(Wcrqn?)2x5K#rsZx`fr$juznwv#xm_n6)wbve*Rk~ z>fWMG#P?UdA!i8m7xvrEGO(sA1~>`NdOv<&==(>mUC84~fqt!tu(xAF*!SS*#Fz&{tOQqoum}+7!ui`QiPz2d*%z(LVXli}-emO__ffe-%Tf)g<$k2$ zFs>nQ{BZ;3b$oOl^H^e3UdnBK8)N>_u~ettIoJ2lG~6-t=7_PEr^@r&SeTmr#}7e< zu$Pu0YDtYhm*zwj+lTAJy*)Cw0p>4$6NSdGePPf}AlS`Ro@2G)M=Xt6m&PDYSnI;by_{b|kQ)U#tF0}9b4KE!$L)m1` zM0o{OWeTqU5oeDaOps|Jfg=*GMAWed4(ETk$0x*E?8j3i8MNa}Sg7jt8o*MUB=;&r zcFE31f5fhA0UoBCluk$W{ApJx1?Y#&cxmUMpAyG#L~hgqa&2)9L*0#HlEd(_Zh!6gDZgIc<=~{5n#K*bn~g1?q?wW+(q2j{o*|A z({~1@sx7-_!`^wBFi>OS`Pgm$e=F5=)+6TleZhy!M$v5~5!jA%TMS6}D8*%xfjfVHU={qyz8*>1>luas?90qIl@9Nl z+NK1~YaBdE6zMIWvNd9m7?Xx2^O^ykPBVZ42K{{estZUr?Zn6B*R;UtMhau*KDYPF zg1&7=&xs}_bV!Ul(t6c)BE#mtR@b-+h{Dl9#$&!skayIt>A$%*{un@E`3ohzw#?rP zveWV7VcM+=>*TH4HMSt3ecF8E!*l~=hiMrZl0veEG)%o5LNs+E4s)XItTu0-1Wgs{{W_h- z7{zn>sW`JtS-H5Z1*nh|jdIxg2Z>NbW2!~dE5r18W%z&kOIFR26%(48&fG_6l?YHp zHgJDr#jFkae>CjYyeFi1{J9&x^zI|KfWdf|U=N;P%d%f%@NZ;~Oxv+t)7sx4uJyR; zAuFdDc`Plxek9|vS<+}jPpj(F83D-6-h;zzF`+N?<8!nZcU0fEsW*=UqizVavUACcOOOHTR4htXVS4M z#D63=%HEA?DsE;=u-Os}8|X6d>M?Ctb`o_g9BGz4kNaI1O!KoA2N20VfH)1;$%_XNcH~Ag=-HC)<#yZ^Ob?xaKv7okHD8F!n7a`Wok`TU#zleYr_GA;6N!dHG~mM_g(*)q11AG z(Bi_G9D~V6fVst)Eo{QIy_(*GzET#iBGJ864#JebQwsa{k$=3*vGz-2{!{xLHV$C$(7{RzkV?YQ{yiYjT@Qny zHPCvCy$h!8tzum@%gP-4JjVTvZJCfN3cuP|`H_>?(kXHXOUIYBG^2ipBpilUwwDU1 z=Ki2SZD2Ra)T02=9Zyo&c0KfMbk_JFGmIj&M?LuZX^?h@GOh-EeDjgo>EKe%SwU-l z@eqIFdF!~_FBlfy)E=jMa`I;x^qdc0Y5%7_uU$o3Gj@>b|ttO6VI6`eFOXz0O!G`E6RY|`wgWw zT6NAP*!1You+E~wO`+)VUet0P6)tDWO_uT#b^?gBT_4j$1fdqh&p8zN8$Uqm~k? zpc&Kb$bcTXmuHzEKscI8FGPVB2qrnAWQ$F;0x6y3{tmd@muI%ss#64Zu)}Idn?TsL z#PtVkv5-*m&9NFF?Q;y*qcBfKtjb+2q|UAbIX$d`6GqG31e@0!%msy%GoMM>8D0_& z1{UU^kZM7IsALUCM1xUNr+NQbHjXtZaUYk9cmv8RC!6&&p7r86 zSbR+|wNqcpn$J|Wr%5B06$<42T8SSjl(n(FLqtHO+aJF6Mki@TCjgny`cHab&FY@h z#>ij=NNWOEsfE;Z5xv}Ojy?7)Oin!@Lu9?~IT-?x==n)&)mb*?fT4#6g<1TJNAFU? z=0=!mYs_3(3C6!XKtFtA`$FfY_ZYc{LlPgv7RUU9<KL?%uK?@S_SJ4D6s#skiHl)>o=m-hE-*tyS+1=!6)^rs228s%u zVVP@&*U?8Nx1|B050UkxP0Vl-SL*wqJ;WZj{M4Dy!4Dx>X#1}MH1#M-h3!YtBBB*O z8Q)|*5!!n(KqH&=<}3`K#-DQYI2JClX%RLPyfK!pDMO$8#f?~G`oPGaGaFx2y5dB? zB!^5Zs%Jl8mCP2#*bTuH?4L4#X=W{P_0@4GM2z%DZ1qy$z2p|(T7IqNTr70-Q(&J< zd`siH)*&{=BA!LqP>l2bUBTa*SIz|uoLQeREoHGbtw@8z_=m9JoGXCb&Vtdw4&Mli zK6=CX@~V^O{U1w?nmidc0Ub94LStjj?Easbg&v5$-1Bc*X$}qQNkR6A%t^ z6$fA#eafX<;`Ak38Se)BC%fqDF4U*Rr{XggvV)as(@p~`mVUJezlM*4od2Dse;~+Z zKhl@^9ly$8uRNW-U5Iu|p8^R={*mmzd87WJO+?qAisNc33)?)fRbK|#WAOj_tsH2i z9Z~kp*}0ej`VewX9qb`FjRaS;eBf%2ex)Zlp5E(I_OtwIaloPaA`qJp?`0B7Hnw?u z{iY6Fk;@@6@uHF*&l;#NkoAWg{ywZXw?qB|fF=bj1#)GJ^>p9Wmr*kZ8VY3HQ^27( z;ZnZ)NaD>V|D4Jwa{PQMIzya}@NQM7reeP|7}x|CCN=_JadoZt30Dbgw@Ym@Ye zXguK@lo;mZXlVYl@RDn9t#>kETD{9}bjC8@PjBL0pr%X^J^pWweQnv=u|3K zNY2i6XVj+V+gNY--4T6~Bj9h8==RPwt%Ug%Ge}*E7|#2uY+LGuqV7u$SgjqSuAV;zemo>Cwvra$43mumh6I%nDD zjlOli6P=&U_1YN%NSm;n-kN>UK_73wfu#_m@7XkPS$sbNTh=0h)56DCfRa>A&`wnj z72PMc5!V7c4g;vf(!PQW_okOF_4B&?mxImth{S@2Q>@k+VTJ>V0@R8+3EJ*0ECsD{ z*Go+09K&cMLTGxBfIDFP!1OF18UlFX}Z z?+3qFinYv_RDs&{dB(ai1US=Q%rndk{=tmi;z|R{VW1eWs~}RTseQC_T;_qY5~+6Y zgW8A6{p%#Mivr#~2Bx;ou5q9v>iNS#Vottt?zW~LvDL9?veT^51cyo_h$*VDO3LtInX6m# z@y1S=`)PRIV4UesvkBfz+WL@83D~=_3fMc0UOoEktjn>-x?N{eG5r3C7J|TaB`alk zO5Z=nNP-OdY#@;?;++7`4@K%3j}oG{tYQSxu=*@-%E4>?ib3+Z`sfP$!aITN6N3E$ zMQbH)UtPwE;zbw_s5v}loy8#sJW}RpuIr^^NOr*$ z%V(uaptS^ib}b9B88La|#X$wPpFp`-anULan6CS3d)6~{a*F*iEpSo^G^H9bovvep!c zkVPP^RR<8G)OQ$cPr`i*;zHGmhe|Jp8Z<{Yi2JhD5L`0}95EX1$KSCEu>E@%4#_YJ z2#e>g#H2|qP1;i#b`lGAIqLX`3MN#6vT7O&D!)`D`yt7)SmqW8lNttaf*&oAJ@4O< zcc+|hPAfb7@3b~C0S3gjnSN_4a4Q}oF|oh2d*2r1&$wCS)|nZyp(01Q>64+3rX1OG z_Lqp@RmDMnl6&4@bLI_jxKnhS z-Ksa70N-ZUw`yU6zGUvIQ zRoLKGO+^|VyiSeoT^g_%9+ZdfN4Xhnu=dm1e=UCau2IE55Igc+L|H{ZhkD%$nV0hZ z^`I6t2HC@&i{bP6zoXGuCjoy^3}oALuV@fh{{a8n_uF}#2Abk07c-s&GgpLg0yR3a zQu@|fV+`}Hn*j|R7p|?bwLeGQWnfF)kjgSri2oytn^}{P_$TNi=BFk;{6{yhxeE?)nTexlZTkLeel)EU@)6JHVQ@9_~}nWBXSdE7j?_Au8?_5=Obfe&%F zoPoZ$PR$CokZI$rFfa7o%46PKv#t+JAyGO^uXZNWb)gp<@tQAHqW;kdwks%z%R&+x z>CSUKY2Xb>Iw>R}^C+x;MOjDv1CVCwrdX<2HFiAy$1^0P%;LIdLI`ZXy1@o#w)|9eUKjBVbiH^N#qFTdnwHu}R!_ zEiA?w(y7^Z>yp`i0&-#+aYmqo7Oo(^Cop5G`RWg4K#7*~)~#7^A>PWVbR7~EBV zi!t?eC%!|c1~otNlJ{sN2%nQ)wms{LvA`86KQyB4I177-*kv8Jb$s5SzGL~TrPwd> zn>~f3SXZ`PCxv{+7k?Yu+HKRzAQ5Z0Y=rW<1W2h37}U<>{S{#$Ar-TS1JPpO%8OD| zmLZqoOI^RQWQeLSf3+#d6JSvM2Ey2*GcEIlYZfGf!P0FDE3Ng7_g_joxDHg&o%kVO z9Ipkfq3SMs>M3)B>m|AqA)!v|d*48hKkDm;e=BT^MxVT&R^gLw?Y}fPe)kvA1uJqA zK-P)(Ov~9TxX9I2G5qd7o4>uZ|hdMgQ#_fv{?#J?E;3(6$f>@=2g9I zz!!Z;%uk%T4$3<{+-v9BN&?3+HBa-$o|yS{GOWSMXhZ_}FhKe2T66UQP=lL(8)Mxy z(~oym1q6F#&L-ACasDg`r?4;VD)vt~IlXt6)+G}bX4$00=VoC-%1o158(H1XPovIv z{M7Ajgaov9PbPr6nQN0O(@HTQ`iB`6h5bWt8wZ9@|J+&cXYyPV7E#-^8tcalgW`Z4 z@0u_7Y79n2>{o7Ke7;k9E~ncgg%Tl`VxL> z&%i?Zg2+4cmp@$P9%u|TQyJhkab+l_iVokdkS@C-e39~+Vs!AzOxFt`#YkJW&fTY| zrpHrAIat;zP|*p0-*@JV_B8}n@vlt6dlohNK$-t7_RZv*H#7#aFHn$HnAor6z0_d5 zg>gnu*fTcQ{|&t?$f&;xDqJ6yGnx_U;;tCV){cB-kZa71&N5%CGW~^RjMl~qsZE@A zR>n3Ns&gm`823ye8mlWg>I(UPJDmhHgOxA8svw~V9ja$XhkWG0lPh$xOO&t}*xA0b z++a!>zB<6{@Za0rRq+fE!67R05H0_)G9v?YsG#yRN(FEr_CKl+KIrTz^;JqPdh0U< z-IkKLcGREai|l~#s4_RLyVFKr{}^ed&~wVInW&9*M@B~WiUTs?S%tIihe)LVa=x2M z=fy%uhq-ns<`wpUvNq`NrxiAu@8jL@|F_&tR(=;$MbZ%9#a1HR>*KXHS?D?a*13?3 zLzdJO5?$X-t-vqJqc&Y>w$AbYd(+nOFmc}qPWVaw{w=z0KZ1tUUT6TZhNC1%echQy zf4WkJgeD?#y5mYezSypxejH~4NFi-bY4{?Po5AO)5|QLo_3ZyA#x1rYuOlGSyC+;f zSBs1Q4I;D8%t7FfBD?mKUqqu{_C>hw4cx{53atb^pbG=(({Gx^(V)@SD3jID5HE&C ztd~R;Ko1ZZ8hJ1ZWdFg$2NJZtLWrgo*)!F3_&Pp_I%y=5l7VfCqWT>PUN$iPJI7xc zaZYDl!VoT9X}=TRBSmN9FLF+I;!8<@*E7uuvtboQbSE}LpHh6v%m2kJOyOCtEsqX9 zYKtDfPoOtYEj6YK0^|{B1CDcawI|5xnqcLnbQM-J}6!FU*AS#r_r)-9s5=Wg);!j{+U!PA{I= zm7Gfn!>C;hZ^FGjaUiJGBDUWCL?EL@Vk!5!;2%0scn&pEl|*(Lj@`M3Z*`zU3*_bi zam+B@3e!RwP6k>Jm*Sv2I^HHXM;IR~E7HN`;->$U$X*8g)75NS7v{nG$8s*_GY*fS zI>w^!BUjO2+zhU`A#!fMv7NNPiihkH{=$Oh%~P{le?+8$#HtW6NQ6j zN&=yNYX-4i5+o;EiUf2_UlGP$(b*{@WdO>c`31aDj%08FDD;z__R|*lcRpcI;MRD@ z+D?}NXJ17$zK1tv8u6Ttr>7n)34@IhM>n+hGM{zOe693R7Q1Yd{N7OO15%81P*|fF z0-GJhgXqlj5mPQ@gTp|f#cbubKFV0J%LnUOF; zz;ef6iI3U#LC#pv>ehvn2C_+>a z-)RgQ#5b#E8EvP0=(0xQD^KF+&d}i2_E)M-Zm4t;`n?TGPaaZff7R#`Ba+Cp;$e6r;4Nx6v|X%N z-G*<&XxK-9RlQ3ExD|m_ep4`10=I$3y6C}h&K%>bsFrmfZ%8`C)tqq-DjskY2!Y%;>A9!5Nf{u z!*iOLwwQBYwm@DOZZ^%UyA|aN)mB!Bj2aPd?sMTALA5O4YG)E|{yp(>d;^r$O0Q#b z!w9ej1VRtN1U%j>&6QduL`rmIJ%c@i2&in7w#hp6pinnEkIMYleLFmQdfDxc)CdeR z2sAc3?Hzz<@2O|NAUbA$RIRt`$9vCLpD~yHc&#j2si>}*DBx5@mE#E1aG}RfufsK| zpZ9fslKK69-gUl;(Xfxb*BPs*SC%uLZQc^MZY_f%JYhF0M53|B;(2zz_1z29ZS}v0 z$YD12m%3T&_w0wvG_d1d9#el&(G$&O?FoP?CP4j`luEH{J4)Ex~s3QFPcTM?3trW22 zOeJARTxeS+FWPaPj8=gdgK;Qknokb{4uS*WSgGic{BP24@A`nIg7VBdEcmB`bbfip zpwiJuh98XOzt}a{oBrWMvqiUa$W)#BRQ4;pliDRvl#h|*1yy;-q~`?-_%b#dd$Yq0 zonymBS)N|`&GZ5>;oyxSgWD9)M8(Zgdh-Std4QyAryLlE$D3G?%gXC;-dwxQ__QK+ z13xwj&m~s8M_T@RhQW;qWY(Grm*vzN+;U0Kqln2)26AVVx%$}_w%j<764nPjhr-mg zIgflT&!G2?X;G)TYqyVhKR?onzoC0AR>C4}S?a&iSeqekN?JOYwXdc&E6`f96hzne3N8%39&4&& z_y-1E`U}=ikZP=jlB@Ie%J*SFpu5+mrNu{-;ZMt>5`k>)z?t+HF$$M%2#uI8MJKXZ zhl}B>KQwZ&QHgYAA5xLQ0wh8GiMtKm``Vi`w zx~teD7Ye=@d&6OWEs!r_KGehY;8~o{_sCJ8r@KBN(76$e^lrKldtWN(ANTPlLWm^- z%e@IcD5z62mJk*<@|=BLWgh|8lq`KkIvT-D>yujVCY0XWuLGnk1z7M4W6!~VP(Skn zdzLIPF(w9xLnXI(hM1M{J!vj9wq_?V7OOf#NYdC%Lf3t!&lYx%bVn8R-=KFaeX7y; zz$L$j33v4@l?w*w+pqnzL`~ZvVB&3{{}P75U~M~KfgdB(Y!tCY-W5hy41t1wb?4Lk z*6Yphl0F*Jp6F1=wO@prUqY25X5pE@JLfn;bLtfWzl0-<f5^&K69SwPml zHitOttlsn|k5TQbG*M5dlNU`y?Qu*|tCb%A&_(VGi8aTs&uJ=vvG{Kya&2wFne=QR`&07|0BR^c%&DxZgn03BP5yL zy0IS2-RJnNwqm%s_}J+v^N=|{!?Yyv7&Jc9wj@E5bf7(r&}MTK_T;;wFEzcm-k~wL zunHH_^rkNSsYP88RAyaYUL)iQ>&quJcYn13Y|sJ^dW+2@CPUdbGx0mynN_Eo( z9y_^WPla!^EBY}86>wH0&2Zszz&7wSsN1?4Wdd`fH?n$evf$3KX23Vaz}a|YM2$}x zV3aT28ziklrKRwaId&s__!HLI(ag++9k)7yh=8YT&*^8;IWa=zPPf$bfaVwjLzfty z;YL~vc^f#gUw$&q;WM^%K@2b^&Q-TPP6IGpxm{=lwn#EE>%Lo3klADXwIP&z1`5Y0 zY_K}(&OB>n&zhfSV>~O1ryXnqc9aoNl^ju)G6W=V91c4>egvv-$Q=?Q!}WncKdgdf zC*Ksy4Q>^#Dgw&{g2VzprV}bxf~h=Cs_BSN5D}-z*bn~H<{8Ar^_@KgfVPHL%!~qb*tbz<+IZAnzgcHyXiyFe`j2yH~Nxij>7ZtoOVr!+# zd9Y*0*=n+$UPo*qCiFeqV-UfqF5gY2kzxHkifj{d*Cc{&9Fl@3HbFO43BePsCg4db zh1*3dx74C)_ceU8^xno1n;2c$_3}{lxi1S;W%9b+E%fXHKe^WcYa81K2jU%h(hyxL z?(Glm*+~5|nUm6M@L0#NETTajSL`+!))42PNujZ(D)ja`yHhCngRITMPR0THOi1iw??SPk{i8a<9|D=WYIG0>sf~ z)PK7is~Kdo{=Nst{#~3AdKAw`f(CDaKNV8@{KM@eeb{Zsd=E$!tLKO{EzV{^wr&=# z9iZ#(w;TJM8}w|{ary=~+tw{BcA4>f7O1NiBHgRCi`&BxpJ^M`Eo`W$Z4NpTq3NGz zW&BaLj77H>Q{Z=qdXU;WKfms|xdK|{>SM@Y-eKx{@oFhsi^HBv-u>xiFDO-pJpn2# z@pbqPbweHvImEMU2BJ;h3Rq3WG7uZI#oI^BrgAF6^0&i1N$5Kn65i5*xX{r9xy{6a z!+MZmW{!IfVxacEO;?s$k@~*_cxooQbU@F?Z-L9ToR3&+QwIe%RlCSTWj8+Sq{sl8 zFLfg@>9Tbl!0{T0>lRO>w6hjH`@h)B?HcV?M|0feCiZ52)MoE9>6VK|m&(;kma>qW zAm}UMp4TM(6kRERViJH?T-13T(`b0oI2&U?q67ddo)G`LQjSQ`K507|nke1E1{1izE-@N+Bioj&XTUq-@?zm4ws*bPVK3mBH5Noi#Ll=J~;Mskmqn>LH zOhK|mZ2)dGeokf~4T)}r{Jz$i_t)wdGU!G0-<*Ysd;FPTlhFl0{L&6=W0g7wGVGr@I<1QSmxBd2H!S><0j~@tSARKRVx3*U{hlRI?@g{R+-*hI%meY zNhHmqSi8fv6v#EsZ+;J6Y^;H(2F$I_ojt7lM9t1_eXlzE2)MzTmS^7XVdtd1&@MKm zHJx-OJb&Mm{oXFs!L0-NL1d8AkxgaN)0skp7F;T}r4e}2eU=;aellSvYT}JxR>>*D z+ziI;^Pw?jyNAxD31VKqJUO3nN?Nw?Y3SX*e3@Vd+@d7_1(h(qEGkQ+3VV}sWYKg; zbg^I*P6DI4yshrR@$-3Bx&iicShHJBF+qoELV$=La zlk>giK3KNiG`~A$vo>3nZfdONtfJL#-cL5Uo2Tmh>?`|fS(?hsty)?^`n69TC*rs$ z%9e4`A{fzZ?nU#$_KyENO_vzw=b+RpGG=x4ld+PEr<-bKW;7K?hke4zwBd*Q(?cA? zmoL6ndPqFdhc2 zNj03lp6Hjpul;B=zpG>>EO{<|B6I8N9qEqRE?2P==-9s)`E8S2g6D_3jmPB8zHx$G z5^AG6v)5Nfd{=$0*5OO8&5N$jp9&;j$C^zrm`_Olks6~2O1%}aG?`JWPIgm=EL3}8 z!QNt+>_QfxABk&ffj*h%pG?exs8v|KS{0{69!c`5_q(PF#)T^<+Z z3E0K;>2+wk>Uwq^Qw&I}NaBBK=V?%DV&No2|I6|f(j_no0sRj6yh zr6-xx1|N=-l(57Xo|s-=ZLmVtUXG@jnzPWHH#65*THEy+o61+gJHxqfyFV%j;X3ac zMdrq7L(}bQtv;Nct9mT)hoYnzdG$O57>tOGo#NYbb3f`HChc#v`)JXod@PZ-ji;>2 zNy}%{o_d47&XFBuT8?(j!1#4HI{qa1TF~}HO@mW7%{W+8%7P^LQKlI8hr2f)zD+Dy z21$sOn9G>Sdx!E?%9+bir$i^=;@hf82P#E77d;Rg#<=fJ+uK3A)TG+wt)2yW_yi!M zdDn-Svc5D*veuf)cTCmyFsi$c{t@pEZ@Sg0iccm*2$9Xiq zEMb&_2q2`m!3rw=J-OWm5+;}0cvOUtVOGa-|Kt>-2ur!=F2c- zS>|2UKQ*R=lOK|O4h75q(sBwz|Mel+^nq`%s=jrY;ozD95xH|nkh!*hbr*+AL zYhiS-w72GhW#{`t@6N?ue?S{49@Wn~wRnjR5&Okq?d6B9lOUO;q1&8G<1b1D=z*P! z)+s8^W2YpV#*~M9+A6H%sx$${2{K|M0=~(Udn4s*DNB@?7w6L&!z9YV0`rrVaYUbs zOHpFF-_86xde_Z^OtEx23}<|;o=K0mgkV(jvgPOp(=sIVN{Vq7x}N?1jupG{q=m`; z%AE2(ap+^0JUU|e<&-Xp0MZZIWp>}8OoJA>LoL2UR8mz*S|?mTzsVSA4z|_TuppDO zL;rbuTin2$_eWOu!`HucBic4Ui0Yjsb(?S0jl10?RhxNn$jPI-u;7=0U#(0kwFno< zB}mQ}Cf?1UxQkr9-0!~cNX`{>lj#3+MMyT)oyE0tDw=?r$Z?wN$WKyZ``kR_?cyc0 zTUEcXiCJf5N(j=2Nm506m}_I^1bcQCSeJ}Eu)F-AN|YcA#4R5(=-P8{#9QFhJ53%C zQ4+f*Y@36S8f8~vviL1}gX5ABoAd8h%QYp&l8uFZ*P@qTc)?$)3^KI z%DOt`w>Z7smxgbJ?7DVKS=FwE{eG-#rRSgBxD9ZbjMdp@G3HWfJ-F$YhI=!X!aJxZ zA53h_`O22WQE^+yN&>-j4fvgswyF2d24@o;ec_16tUFf9p@{l!XhlPE?#%$(bg|;E zX;yq>H}(Bj-pg}$?SLyRm!S^+QO)1kb+x#CQhq`ugnM%XIqbqpnB#ES^UwL^6Sh4x zd{S*_l=5W!10s69dMPK_7DbQs=AXLfbZX#&#T*mMY;gqSP zTbr28gEYR#EI9@EXsErRnKmYGc!!-a&Q*KQjP6%q(BPS;Q1(Pug0mz@nO0Qa1GKSu zn1S{k$8kdyMN$89w_Vic*JP0EzGrdlP>q3svwJle<}TMJLJF3dVJ+3o@Z#EQjMSz=w>O8&f~DYvMuzQo_^1Lg*#-`ZMUxNMUD}u$I`?B= zxrKcO^p7OTCnXf!`dd;#d`!Y~=cpj-4QtNsBZHdl{x7?!I{`g&w$&+aJglu3{;kcE z+?=hmIb>Ml=;jmZ<`c*}A#0}knBx@%QTysFUdyH|!WF7BBoll#4&Dj%WjEHg`5^T} z@7PJ3)+hR>+wvjroS~MtHuHdt3C!`#kX`xw{J<{`Urj`OujMqnj@?i0e))Vxd#3(d zyM}(q6x!x;c94bNZYs4l=EiIn%0C~O-o@~m^-!)%z0yr(;BC6AUHiduS9CbwWEn&h z9uI)o9+LI%M%G^8X#CD7=#D6gCUrJ`zrH*ZJIDOrNLWmzbOlfJGqRq!Zv$0ZOl>JG z_=qh?EJ_}$Cc*??bw8fW@T4 z3G`aDg<>W`BkW`1l-(&`%*3w>0khbzp9FlPtLUGdR_T-1bEHJJ z^OgqMF_kfL$n?oFBD({;zH%WdQ3eig*V&lSQqRx5N6Lk*?t{W=BZs|{-mz`_Ddfpi zg3Me3G^fzD6nxe7`=g(%m|u5G4y2sXdPX-^jzzr1$eA`p9-|1{yRMJiroIeLTKuJ{ zjKPZ#OX$58|8J=qug`XozDGC=t8VasJyWi54rm>lGd0 zY@3aYjx=5F3wZ(LN0A&0+O>aZ?h{DZec5waxu96BwM>PYQ(^DPy{9ZKG%1?}jf%w^ApgBt5Deyp-mVZvgW0JSKElJ-h_d;@{+jYg(Lzm%A)MS%D8}nGpz{t%z(e++Nk&T1I2@I## zV@1Clnz5?wsceg9@7u-3d-~f|{zZL`uuC#*Mky%IgLP-@ujgXkTMo%&|B0Asi=rMW zpl;v{uFfLk5^in~2F;v@gh*Foij!!5LjQ?KerKQl9oLsLejs|S#~)|y1nIR?UFG&r zZPk3`u6vVK5Mmfk1-w>0;Lz6UC>bt1u~zc>%3_IU^#&)xhv>P*e{LsCnmcQ9Cc;-6 z(n;s8a^qGW3a4R9LZMdB_K)}F(v4HS+kP_2<<@$s*!Jvle*~-Gzoj3}NzNXw9gpo7 z>dD@JxEBT$iXHAKShS%>FKzzPJ^gpCG{nHl04Us-)g(I&3E|JOTFjA4DRdDyj(1MS zb?M|{+4!5^Anft>mrXV({h31LCPeaB=CS?P(!@vqw{W8T3(+ejTcs%-5w8G$&5?k-mtf^cV_?>>FVuys7dFkpGXt_ z2dMvZ7WJwRh>qDC+Fx@w5eqhrkuXh?%c)sVKK_wYvwjP)z?2G0y=^-=?|(x%V?5*N z(VqNm6y+7yw_h^K7{_w4768H^iyR_59wAE?Y|Q6u*6MJ$H!hp)v-tQq7VCxzj@1Qh z`-5C!vM?yu#D>%A!XyEW^i^u_<12Ct=P{A^-~H?IGhc>pQD4re9j)UDD8PAc=2C-| zO2f}g9(i%$;+%;xK4huA#DG1By=J0A;Cimb?l&9Gv;jxy<%p`Z?q;0Y9PwOUVG^M~ zo!Lhtu39_W4{8$V(FA3cwbn!R^`?0gO+;axE@giA8%Snev^W@DoXvZ@K-&Am!4m4|JBfe&O(JZojx!7dtY)a3TKQUq*n`)X zl_-io#2d{}5oA6Z&HR~3cvn3VQ53+h?H*u@8w!hFoZqffj;zTIE zr1qrn_)~OuOOBQ~iO|O`)RLf?b}Nl8MZ3fEeYS)t68vUzyb(bbgfYId;hJ z{c5uvTJIG4bK%G8EuHeM0ajwvzj-PvrJ?!;MhtBR7woN{cOlvA{&rnBPQRyi*G`ic zJ0+E{ccEans9C}i*ftX7v!5wn4({5Xo==Stt+_nTQMmIa0# zeb?1D#%<;$20;&-YP&*yYQXIoJlQSi5e^CUA&WYQR{iR%Tt*jOLXgkD*-LYLYvSNq z^t(YG)uWmN0bw6QO7o5?yj)Gav=JR|-hyrVX2c1t3!zJCkgr4a4SzMwsP_s#UOv-H zE5lXab^q%{3PJdFaXfNO7{9L;79UIU&AP|@A+&Mnd=;U>;?;WK;Ac)#_{o8SLdr~& z7lc#|Y{~EYn^7sK%#P`-aBx(NiUkbgw_H=httSs>PY;E!isH#y^(QO`oIjp;FEsSx zA~mQlxA|BAfeqz~pmI}FZUY}e^J|HKM)T41`?gYfxRKkT1_$G_-Vsa1uQOMD}AWfq*8K)2Zb z5NP(>)X_uJ#8S-!KU0<>6jKiwajWJqzc+10{LrUb10$_aC%}f7CV%0ql&7Pn1@wq~ z*FdGU6YyZs)Ho{@K71Y!JVXID?8qdG`Ob4WvC3#o?At`(`z^(<%hi{^ka4l_(UaUA zqa_%U`%=iEgIOHbYCD+2{MCLDlsFo$ySH4C^|wM%1>rii$QERIc+ckY1>@?Fw3%`R zk37E7jn`XW@g?S0av^x%rU(P@6+{<_p)~cP0RjWkI93N_z5tH2SDMroXw$+?CO^DS zar82>+*$89doKOs+3H>x-S=U%f?prVlYVji&R|sWw>a-DkLFG*g@8G^gs}~GxJOSG zlHjEA7}z)$+I0C28MGyT%c%ZXd6_ek`6XnXR-6O*wH<;zbq=(6fDwFy@iok(-0<{j zQZ^{HHXJ;L#KxZ_k*fU(0}fB)yQTqE9hX1uy`Ox*GDJvR^s{uQXg#d~}aBU#iyPK2=!shnL&)cvi*dM{8PV z!HS|;b|ctn6~$$t?#P?2e4c$*pB~ftflmfM_^*%bO=KUZ{65nMjRBQkV02!@yG0$X zzKd>wY<0Q>rG^w1 zHMB$cD{ts~lCK6fhgp-nZTJ7ut_Tg)EUo7{c3KeQTX+~pH;T7k2yoG7U_};xYJe;S zVzazN5R!tDcXm$m-aT(WY<;P{634^g@iOpd4q#Jia0xrAyTGzFe$YFl_>=;){y+vP zHpwoB{Pl!9PoCqL5PIN!&dh2_!aE_7=;GxDtDy^h2g=Our8krb#Bke*TKaq#bJ6NPz{F>wJ9qzn&!QRf_QBXS(Xfv-DniL`aY3NJ6@gi z2&}h)CU2^H=;40bsWlsS(}8N1`3+ikdc zrg{mXF=M^-I#zQ^jSS^uV?f8riPRjrhHn*D1t{Ya~3 z>I35+&Hi>}Xb;?z2kI0DT_QHgzFPU553(Nml@I<%7F-k>0W-znKDvWWm|RSVR%fk7 z!)p=;H1MCgj~)I4C&xr@JnP6TtwZnVS@#*k6gP`BIgvm9@2ynewB{50f+v3;zYcKc z=!7M`MOBA)kxJDtiAZM|E-tzt-*Z1I?9s5j;C5A>&H?f&3`O)fd|%Ja+B(DtbT5%b zOxzE2OO-L8vLaGn5BF|!Q#6w{Nd{uyqWhwh)RMu*{q#K^lg=;mX@z`0toQ7D$<)D5 z#=Z4#JKs-U(cALe++U>k(-u${*0WFabm#`9k|L|KrHzK{26s!D6iCkpjh44z674MK zQZ*xO{4}$}^x&4OJ6FYdSS(fZz6#FijWtm4*iqoW#wbEUS>Mlmv__N>hYqq@c!hJd zg2j`LDK703p^wuc3@n8l^cn|U?H|2Q7P7uCBHZf@{rrDe`tES5-~a#0>X=3L%HAuZ zP8>qn5wacY)G>=}SvfMYv&ZQmE8*acvULdII958x$S53p9gbxEUVXm5|6JF(u5-PP z*L~m5$MZ3s_Xu52!8G?Gl+IcBX4b;8t^zw{{@U>UXI|U`n2&i@asefqs|VcfV0%7k zB|ArhKkMV^VEI2ZB!LGwadH^IRlNEhP(tWw2|J*SCiWf#ezX-TvwW{+2Lz@U25iue~sYrvd zUMNl~E>n=p4f{*lUh!wKSJf9~Bit_mIV>9*GM&DtMtE%2E%w~n5WbdXwt1N=EIuMV z%`6jWtgH=J9-4KtH$wDm=^SQe?Z+Qv!qlbL5>!2Nd4(k=r~kaV*}uutZ{RC zp0}AR^h5AD;SoOhlcc8IEq>;${x{?n`8!$nE^?pAx#P33{n0#|w36=qzfKmCX>LG3 zRwId#RM@)X(@+{{@)oB&SmVy0x_YptFV2oy7D?ciU$tNX6S9kmg-A!9<~l#EFHXE^ z-!2P&3-Mg=ND8m091U@BGYsYgXn9*eHQJzo!o{u>+9s?M<3M<>?n9Bqm#=*)(U6#p zsqP0*RyF93R$CbY#$<8D9qPfs?~^*0IBbX9kLS zCZG}g>u?r(U`zVeQM6&D7KXn@UaANY1bAtCRYCK6NmIn4s`otqY6?6HVL&wzVni!S zbe)~6W#I~qEAe9Fyr8H)8kQa(|1 z=*iUH9p9fz3*0nF3azB90J~L(OUkiT6OU+@Tk2y}&kwU6sQ~+@!BY)g-=tOh`yddb znE3lXot-g{_bu+!+YIZ(yV@! zZr1!__Ol!Ei;U3|?K*C7GxqO|3$20DhiazhxHA@yblR^KXe6v|A*caA6- zbJW6)wC8G-z_mBV=LpxwPY$e%=68JE>DXKsFnm{^W18?BwJDrWS-*@3Zw={BTV*t+ zwvu278Tv*sV!z>ZM(J7h_3?;9`m%83&NsG8el#T7?egQ!A>{dll17l*DM&5PVApl` zmpsa4oTTD)TaSFAX+(Fv=~G&cFtmh{yovgg${A}0dK}Re8*#tt*S5@pU%b7W7Iu`@ z@a)3_KXv}Hm`dShrY`eA6UkgqC=&pP7DPPyyDbz%#decFCH{_iu>SKQj%vBdZeo(a zuAL}O6nYz|1>7R>LQCh3g%Qr1O=ANGF4^=A2~10R_fA{iZ4)gnwq2g3m@N>yZ?9YV z(Ml|7BZjkz0}|Ee^&NVz&6isBip4+>JD5Lbzgp`1otd<>Ywc>agKn2=Z$E+@%{_!8 zN%Lh2iRq@6W8+o#z&~&n8?Y4m5q8USwgP>ky<(-5ret)5C!8$Nd;H{qM^@6|A2W(} z+m(R+Gvncu>)@(4NY(kv5U*6pM$sI8;@#j;Op%>%X&9}l{ zEHu8kr})!<7~A<@at((sm{<|_a!z_1o|MNFRbKzPqO#BtpE+9vjt_qtEn9PzUm4yb zi9el{3j*s?89g_&pL|X>tG6K@bxQk$C~I+2fQR1jKz}#f+zM$XG!zjh0?!n=SB}VA zA?^bmnv5QF7ec?ZKk>BsVsWfks0Aa>IHHU5w%iD#>A6lKX@kp7SdRLD%GkG+Y@E8GHA+DJ+m4#@rlm|NH@Y-#0hmIgU zcOl0TaF-CaD0j{n2ksJB>V3`I?uLE0QEy{(yURBM(a3@l^et~_I53);AQ)icCVm#b zoO<-KLMrYg_AvaTPlCfCa%$*kV)ArWym%8WEy^<&sT+?}rm(`%jq^P#mRSwyt}LCO z-=T|~^PNW#)_>H#84J9lVX`0oQngGj4EznvcAD&HG9ohQ=kqpgypORDs9Jt!ch!ED z3|IeX9Qoe4N9V{mJApWH9X6|FUUq0R9Q`yXUx2pt1~oSTuz9XW}!hEgSc z)VZ3I=;m^Rx=Cbo6wOPQg=8`PHHc`b=dDO7;DdYmYc4`dYvPKH8Wd3ZI;s&2*YCrW zeWgWp3U|1N*ksAPLR}@XSExW;;rvEWn6kpgc&Xa($~`Tgd=Z0c7vJ+c@~>YnC{-o!C{c$lUla3T zO&QE0(L@OVv~$lpiZ=q+GDzgD9ogakzLYW}bwabxS=@AHGInXAJX=G#aVp?|EtQTH zz7rAOIE5<;@SK>_{5tk41qME7ZaVh*6q_=DLjQK0@onrUsBX=$mXTlOGtpI0EaK-< zd)OE%fsCwws;9^9DLnh!T&qCLsNXMuItKl+vzE{f|C0O8>_FK5XH^grSWN${Az)9E z+1B7nzcUCFQJ8iQGW}b^(EAo)5HTPbru$F(W2?I=~8)F1`^)CvAoe zobo{V!Nl@({1;_z{s2ew0C!7Jci!=WQ7M^Wo}|cncRHFk+)#RPJ-6*6k8lx{NpGlW zgc(f+X+|5RvQ^m@Q(DSf__M>6llc8A{!JE@72cwMFC?0yz2^1CqdDmXdk!snw!UMP z+y^#p%xD~I5T%xkq*6~1sDz$6rzE@rI0y91=uqg-hMiqH`!1oQkA2XeS-D)^3>;5& z_nJ1);w(log@3e=ucI$m(6{5!{a5jchLUD)y#mt@{Go3`+ea42JZwt~_U@Q4lYhsG zULYNce3sZBFR0_X=t6_ap1T0&sPA~wpSy21bsv7#>fuHwHq5fP(0^6xJDZehmR+7U zYEHRiC<$Xp`k@xa^Hq1HRv^D710?Ct+mlwT{3L1x0+VC&pRGs5 zOH=^kjNG`k_$%l+74f5=T6_TdVT`BTtf9yq{K3(q^&v21wHu6k@A=2UsNo?a_BrN^ zBp5OmP$7hi`LJN$MA%ARS4AXJ9J zho{#f=m(vB21>q@DyZpnxvM6Rdb@L?pL||$pEue++Yl&A-g@*;82o#N<3_O;vZ7zU ze_p20X6(Dm-hHT)&h7(k+Ck{yErx)C*+=dJnkA%X#r5^$RGO_$Z)-aMW7&uXTX*rvIN4nF|ZMqZI!fvI@fx+xJ|4JZ@de54$6=pX2%^c0?K z?03x4sXWGB!1oTC=D!qVuq`d_+s(^21?0<;!%hA+snee{%}P z9bE-s+}Y#+&Bi7GD(U;_^8Z$;`okmzU{js!6{cN7u86-S3H@)$N}Ic|Ml1fJ^6U6> z?7K(O={}6>R!+k@JnFmpU44`O?K~Y`{LB;|58)9p5owO(lgXV)kewAL*WFjhriFK( z*M60x_A~gmZ;u3sUM$)^P}i5G+U7H+?g=ZMS|z^g@jA;MX(MN3Kb&+Pu;s}zI|kX0b3T-^DPJR?j>j9tA54 z^xaDu>HC_>hOENn3J$ioco*+DZ>SkZH$?%GnlIY#0p75;+x%;{vM}_779aZBu%pdWR;myIffpL@hl-DX^^bo1Suh$(l&9goG2 z%9p%@BhGZjo8qniQ0U`oQ=wfta3FUM>=>+bEe_i!!Z+59VIuX+{F+}%4B4uI~Dp5}{p zKmWI|Za=hO1z5H46EPc}%j$BtL#g`RpWOlRK9fN~SyO*bvJx2!l(yZIgoB3w0f*W%>sG% zpp`bI#iDurgVGvuMNF%KYP*aX84DZzdM!RG@(Q)s+NDB+n+!M&)&c2}=1GKMgcG2v zbELen@-g!AZobVN&w+$*yPixBqd+AmN}=J(9+8gE+>8fWcq%%C#u0|88vq&~8euOzMT#yHb9zY@p)hF;=ob8fz1b&4fXggAKR? zVuGSU$ehk*%kg~p)}7jio5!Ad?7CbfkN~D74?ma&g9L9FkKcvq9~h+rgoeQ8n%~96#DF)lTDw4t-&T?km@Z>3~_?1mL}t-foQf zFK)l0^q@9j=b7($r6XS`&O?EwxbhGeO2wr(erXC38o9Yk%{r`UGim?Lz5SVXE?!OebXLu@O#Ayv1ArZUWl5lO*xlKkv+J{{V2VaWLG#itPVTh1chf*F2gM;pkaV z$tXv_A|7{Mi4VXQ5*}E5QK;=DP4>@5#&Ffzd)l+Q<8IJth)RSfe)r=4GE_7exaIv| zTIvow>k(@2om4uL?hw)*glX9pLZwy(_;xD&X{lcu%{4Wq@)*f$a$`4-Jeic44W;Bd zOV~8<1qVpqTx3(=g#o?ua8HBcroZsEqb?`CERp=>X<)k(`QPdtp~^@8{`Re|K{zEX z{R;#m9&q!xaJ*}8;L$qQjKcR3aF^YBDc4cS1h3 z2gUo+hwj=U5M%A~p%!(Vu0<4pTD5)Lg6T$@$8%dTC}z^2e^TfWeO7ov zZ-`Atbag(72c`1^0fdp|pB^`?T>dU_Y-wzofs-Sse5z}@+l5IHl8Y&Bdb+tG`IMFP zigKJc|Y#NaGs+O?BC=ve1OSmCH`XCyzI#xCFg4aB3Rn5vY*7CD|n2MI$weLVlL? z1y;>|@uxN6hSA)un<`y4a}wTHoA^6xmfr2(38~z^QqM2g-Ngc8LPD~R%t1l-weNQGjtS4Y`sAByhwzb&k9|Hg9q{-dodkWGpI0F^ z{;rM&85FLpK7ySXe+=j1Rj}`1URZCP6Xqa$T9i)A-sB)}i(XSm6D1Y`3Yrn&O%(iA z!(s197IlYnlqj*aynNiLwxL_$%O+p5=(HI76Paen%y)4#DxvUIl^}0P$>)Fwxix!6 zMKJ|hAN2CxKbax0`1*j!rUbz_EB-8J+Ze`mDHz$n1$A-uOEo80jGVIK+AXQWfD>V?XMnPLR& z{BcS1-4*9xU*JSE#IN2+CN~B>W(Du5J|#5MGb`{1h!BPrDvOv-IJW8?eHyOHNBo_v zhbRa6XZL#TG9?((No(9mJ)Ai^_1JXqJB{e>9U{yvZoTPnDYZ&gTXOP|J-wQ13uAKo zQ!20WkVD1QAV$qq&CTE)R(l-~L*r`b zZrb|PI?xP2lcstId1hbE{DfNHoa9P%yBWhasJ6gztLh_^ES$3|EI>mhN@^pu#j4ijk!00hME8Vt<$>aZSNz5#*~-h7_jxUV$;Bci-gj}q zA!~j&EBttQUK(?q1b;Y_kL6frP}~YhB&iMKaw1BTcNLIDd6=e1-9AozbBw!e){DL) zeS^YR=h^Cb52^3LlT8UpiXJ<&*VD)G7;atd8__cjT+X;+KZ!0E?$B_$oI+#isK#K8 zuB{MNWYaG?FySY{aACyH;iOrS*J}Az;2!f#b)nDGo6lg8_fr=8Z@`^LD%#`^0v2l! z4t@18%3Z(Od!7B5cevD_pqSgS+c7V@f3upyDDqSFWXhE0xuW0~S{YxqO*AtzNKr+L z;nd?xSo80IQKCRYt_CE3*t|k)$3;iWZVC&t@d>iMr@LcqeIiM{_V=Ft(_W=Oy@hT) z+ak<)V$tRQsE$mKRElJ0L=Z>&eTF0FoIWq}feD7*k)f+Ab+-cQ*1HGz79nb0F|!R$ zoB{&DjQ_<&13>+F`qduvz2-Q=4+4m^!M0?d(`-N*+>g)<#dXOX(J0yD>7LNxno6D2 z<;a_|=aq7kl=_*`ZyPP)cof}NlqM_eVM3t?#Hna6k@=y|m{z3?-`47T9x*!C*ftyBUj0svUtR!Ee|V=&S7BFS zvB@<&?ZxDUuZLgCezU?kR6HTnx0_m$IPFdWn;G^9)TU>!Vm@?c0NXN#Co#x-#UClm zgJ7|G&7-poE5-h284bApBq3~a2P9MB9eat$>@>v2&h>X8zN&x<9P!!H1eTcfpQdz6 zW0E_gWU|XCsj3H2NL3v&P%EIQ#!xR`;s$P4B%c&^B z5w7U(t=nB;FlP8Qd}TXiT-b?&hh%QDd4swEyR_EX>_guitfEDg)4*Uh-5+MW`NE(A zxMHg-9%6Oxz9eS(1H>)?GS3>?xmwf{XL?wexL?pj zlp%aWx7W^#Z*#0NAVtJ?mbXg1e7as!+EV)}lMBJ&NmIVX0SbBiuT+G=9LSB@DC!DP zpgvKHWxuW{QmC0cqO*sq#;L0v2*tD%f;AM}`e&soo3@2y-aAs~_sN1d8gvsOv*2iV zm)%Aa169%u_kmSprAE&AkR***;Z?kL0_t+|r^x4*7z6}HQDf5@FGlp<=|3S4kDham zm~E8@*dQ!mKq#!d$IdoejgiCdQ;Yw zTlavzUodu0efY@(;sHo4+`;y_4?V6?S~6>^3^(9o8YCqaB3uKbai{f3@Q z9=>cvwZ0GVp-FkbvwWm%ZjC8vj9llMdfZ&s!}gDl_HFE_=iR^Y+0G|lD2=SXQp(jE z;2-)ti#%enU?PGYLe+dlW%Qy-1WLMB$wswje)l9u-*utjc`3s0GPL(d#D0pZ^|XfP zK-)sx_<4)vv_|Bs_m4AMAWWPX)bVHLzZ(A++Tf*L!96+G4>mt3czeXze((d5ASvpa zEdfCLOo5!Zn#l-$w^n;we&dxwwn~fr*AP`FYzm#Pua2up9r0>?92L@x6Hr$ed}F72 zI?CGYSpp3fZuO+EIJCxgn0JbkFOB`al?dbM**rGY*L>Lf8lvHZRp%BaCh0tvV}*yQ z{%c91r_Deqi?(I5eOs6H=}HGe=9z!@wxHzF^Hf=pvEdI{d3r4T0fSD~x2Yzelq%J- z)m@B6M5v0`CD87%E^NXyq54J#L?%umm^LkzML7k5j=WL z`=+R?^z6E`Q713gNsQi#dL^sTGSt z&lz1;eUj73-ihRSO04_{Y*6c)`QJLO1r<39peX9NwgmDav=loyOGqYoR^Dhe)^|@> z3*unRqx85YXC2679pIx0Soom{^P*aFr@*Hi!3R0JZ}tm_6b1u1Tn9` zz>rq`+u|ePXd$24MEA|J#Wh^W@F>$LAxEL5b*RZn#>i#StO48Inn8n{d8tk$jXhd@ z>E(Q~%d@vwB1u31Eya=nZde3!Y>IPk^ z-pMRccxi_*{3GS;$KOEb#{7sLZ5UK;ll!|Ht^sl{#7^Hm(*xdBOiI483LkrH#wG0X zUb7E^oueJwaERlGJ|S)ue?OBuVeuFFeL($|z6`Q8$F}La#(iHQDCY%Xi%{eED#V$5 z3Ck-RuM#v$ofd+*T>@(~QOr1D5{ZZVgc_&Uzj+xu@CxqtL=eV0L8vlh>2i6btFM3O zhTr=(dw8wxbQ=d!h#{ZW!Lo}U43u`!U-eWSFmr?^{dWDtR~R?dMed8PU0EyG2zzRW zjancg*voH^!(FnuKZQ9pd5CbctM6&G9bLgo-aMjqEN7mo$*@Ox|yE ziU`P&^o8)BR807{Ij#G5b7R_~e-89!zm}5m@{;YF-i3KNZzMOHFVsOV{r@V=BP%KN zv9+zw%Jf3mM?QG!-r|-sw(};(k2C=Gpre7ld`IR;Dw)&$l@~@v&8YeLy`Sm#Fbhik z@i81%8Q6ZDA794vJ<#b@BDZ`|o_2^O(&7nm(X*VxakGhK2;$n)Vt|yKi0ZQ%J!oO9 zHMNK0Py#`9-k#zz@SLkwvoS-PS8W`3|H`XM5nWlqm2OAoS@G4m=Q9OtF2)b^*x?~r zjlg7Aj3X;g!&@xcMt}UUV-Qj0*UKw4?Eh|vF&)j2fPB2PuqhOr^(|q~k0V|nxHb4Z zj0%75IWS>D$yNR71HdWabErr>0~0Ojf!hdk%(Aqdm*@`#$27H{4&x5GV&;onGF!wL z|HYx8*J|NWOKUI@Xnuv1ekul9$JpgP;d`9zJpR?YkZ-R(rwGd zSKVOqjp_^BCaMX#g^Gq6;b0f^gRxWY`|Uy$q2N^}-}$(=@ZH(8lrkJYEg%b+a%HKV z{IL9f9H4hk|3UQwRaR(m-V`LYG7q58IR971-gq}UlV_Pxnqg!ATF1=jhPyq|%Sa*) z$TI<4|K!tc9#;vHI%cb-;zSI?LVwV=IaBho#|7F{S0B^nN;aBmFyL7JdfNJ^!Fkv= zxZgcFlO$36H0F?T{K|3gZt;{u@y~HWgv*Hg;=36_oNm1s5E1HjDxNC&is~<6c4Acg zlhM{x%{e8bwv#NwJh#$wTB7;{W?nH$ZQbsMo+i%o5y2aOeC;hh-#oG}d`5QCjY%8o z38Y)8re0wME(6AA<>>vBI>Bzig$qiKUu%Il5xkW~tvuuPe|w+0-}(f|zO$+`D8`hn zy2t?Tl%;M|r_0FTazvTJM3cN7-7wC@*?z-g>sTh!V7GDJ@07*FZn9~nhb-5n{@vEP zfz3m&5v$6;DhEo8``Zse`8fOJKhPd7Uk)KmFY*6_?o8}pPeeIlqxGH{<^+%oLgK%1 zK8-wTYlvJGLYxq_`PQ6v1xBm%v7fTii=-?A+0k8zOF`cn!Ytn+?-_>H;bGq=VMm~s1`ae@SNgybaQ;AhMACA6l z>QWqpb0|0n%qk53e5$-$-^+b)8M5N~LQ&^txjBRli$#6YgaGhJ=Kt0ejHPF@#T11Z zdIeC+CcO`fpCL)(etKhNHanjpcvBjL2E)f&Acn91`s6|sLtH@0EbzogW-sR=NJhw~ zcSZlH{}=s3A-J>l+MFsK`F_q6e1s{%oBG_wakoBnls@Z@LRF3w3* zz*m$>0wk3!G(whKw0Lch$4g(A*IpBitV;#e;j}b#-oe1lh z+;EG{7LHqz&B&)BtcBNQx6>@04;~v0T0P^91)7$d51= zkTeMFx6`R&D?b4_S>@8llQHn>tk@L5rlq|-KGB=op*Fg7>a3r$f)^8kDrPxa8I|-; z&a0jI3ls~K>A8(?uO2gTXs9Oo1IvNoiB*)4!lQRSg&6l;Aw5f@1A!qeN5+u%JWcYQ zG=2`w**1S@N{1%Q&PWZTGZTdF!$qcEt><-F2}fu8Ho^z=NkjzTQ9wz}9O}R{KmjYk zXs>w0SEbrXEkku_M<-@pZXQZ-P5?oPr7~K_AjvT{CM~2GqaoTg~V{(!^hyn2VgOwE$Be< z+wv=0dk{h!$G2;#&@Y#VuAmZ1p3tE3)bbQH*`qi9W(9ju>=EFXCkU}+Jd8{2%I%eY za|UXGNx?}Boc9|SOf-}C)^k&f)T}n!-8z-8 z44=n{vJNa`B;G?(1m!iFx^W;REr`v?q)0}K$VTW^|dCFg&(~wS3rkX zE!byXl8r^NOhSh1*zf7GfnyqHQ5_f)Q^{7({zk3lNjC%^kqh|Lr-XVn zQg2*H%Sc71T)(Iet2kFUdAKm%!G*nJl^mkE``PvEmmXk&t)*hvVL^&6S}~lH+m$md zu*OU+Ffx46?4sJ<%}1hjWnT>7vGMm+g|2JZ`h@;Ux{oZ8oOWdR`!8C~R{TbaeS7MB zYRmXcac-l;@x9A&OT{rwsukUNSjUa!iqu6GeE+O%Ni%Mv^3?qpZ4^^pAF00;q_DlG z9z8VX7wm|*OZ(Z5V|5+YN5=A9xbdG?m7e-QpHYoW?C8lx8aE?vl`CDwECe-ue{qp5 z`w(Y!Bjs{VcI&e~vVZ&ClQWW~giH=yY?XvmuzN_#(tc3PMk3%QAda)eXSc4stL#`N zpj4#;8iqBzGsb-ZX+ft&U!?BTN$fQ^+z4082+@L{3?B8ebRXqR@n6ihoKL@wV?B z->cAZG@J?N1ZDH(Y8WtKS+uvEOjt9CXxWAny+Ujx0^J8VFumXY_g86eL@at3UhpQe zQ~Z3&=F~6Y4>5N4EQRl5k*D(sG1kdXNXQ1B%BWKCA|OQ4y0)J!i!b%JHlR_;`bO}s z;MN9Whrc_#`%c`k=Ns(jh=$#nF9!h4k4M@oVJJcg1blHl^wBlMk|CmBhcr@3@Jk?& zmWt>g@-9r-Z-)hepCwf}I?GuWw;r%7nf~JnNr@=5tC6+P(%uNbe9Tuq_l82+VS@*f zvd@kOLMYBDg(=tb-Aw-J)3d0-tXF=a{SPnVhWCvNHzsVbcQ(2o z;CV15lL!sR+Iz=qu*SIca-dH6CGSrLwl%8BU5Nz9rq$1N+ckGT(a2IX_rnV!v(xuk z=I_J3_$_xIf9;rfk)8bwT>0O^-ItYZ76w26$9zD=uR^^(9TP7}$namo zjzBKId%P*$684`DuZ(>O;7;Bs9(N7#N^P4r{OLs+aWJ!07ta0p_SNGn=3|7M?#~vu z`iBK1KtAt^DdctYO%cN=$C|7=Floc~{8ct{1XcKl-5IE6Nwr@aW7Xj~@>R6N>VY}w zLeR9|9K9A$iIb%$l5Kc*M0wro@wN9TOl3y-Hq9lMcUjFCgVKEe7=-*zB`ySz=zjKfxcaEYDYB*p zSE{OJP7bs``{Kl?4RjUUrEz{*EA2HmUf$tuBZ0k>-|?f$0k85K40pzHpObULC8D?r zd8#P972v*1H-9ky1}8wh-A2g4DK2=8rr}tR`bLre?fW_h-VxJMv8!`G@@LLboEJAt zYo2V<;+k zq%JC>vrTdwu(yJ^-@W|M2u72D4zkMDg7ulE(#jIe1vz{E0BHR-YpTcs6kqWGPgfrN z@it%^SH-%qWt&3@8r420#u7iU?&z>I9WQ5W@!dw00Z&S!uu; ziIhM9Md{JpidCn{<+ zD<~J>$gPRf5hR9|C7B|J7WMA4Zs&4wk4lUBI{XmuMQZ~CSooHNeQw>sf7}lXXF8I+ z^H8mR+UUrGAQ1L&^@Atubh~`%^+Niw{xAoH!X0)KRBb(^VMya!Ih$J7oZJY0#}I5( zB*Ub&cfmgKG>vuYEzZDlI~ za>E~j#tx@}fetFdlGg82~pSPqV$v^{~>b;C>FrNX%0IdV5e&B5XNYo!zxj>4P#e`Fdv&jTcS>GRA z&v?DE`0sRo+2wT5(rmOeD{60dm4R4mc?%!l`)^!ARw)mkw7soR^1i*%4tDQ$>Q+k+ z(dE-|95H@UYguI77UN|HDmVCfjcajQw^^2%3)hK$f4qa;uUy4=i2w$iSgG%l;~jtZxq2rR=HC*3`7GWwZw7uwIX-1N z!fS-LQw}(5ahc=3LJ4woMp{mso|^-U;cpvKT98);X&r7c-5BU%P1-&=a~V5~kz@n5 zu_H^|hbN2Ongaq*ITq;7HFN`I541&ce9Pve&WnJgzmJX-@gY>+%;5R!(COL;y8*dd zZRC_(#MrS)m47^PLs#fq$j5`KLRmIhQRREO7WHu_Fe{&}0=zgn<+u-kn9^i>5%Ma> zFAq&s<0oueIiQte!DA+bjoBc<@IIbX&}N7vD|pP0u(9;-c^WEWW7VaVQkk){k8H7@u5&>jwtVz?CuDzg9Xk}0_`7@Z z!8U)*hNu)Kld0*jEI`gm(ZXjSA3gNoMCN}>F>BQAf`E@Pv~oW)TWYs#ju22%rPZ0q zn;m+e;9GFGu~UpOkSoqla)3>Mo7&ZImP5~v`+K(|bwDf~ zTD@$8i>8Pvt>#bHv3=c#X2r)32yc3&w<}%xz!V@Py~7H7hm37}859E_tX!~H?yG`5 zXG*7`5_&l1Lz(eUp38luP!Mf10p&`g0LYV#^`gWZ;rV^)$Cvu|HClWfI6+l+a!B7# zCW9Y7i0-@J3m&G8ew~@gCw6WMo`DUUQswxaCY$b`G+%9aHDRA}c|{#w-ntn#~AnQTvt#WnDtSaoBOQSKgtpuvRUi%My?m*?Jy zqb7wpfKKl+bhtQojBI>?ffT4+0N)Weh8rBIPLeRZ4d$!hO@C-R6}Ncq3^wBy~mDr@4QnmS?@P73*fg zPh!~{VN6%8)iz!AQ|P++k?LrqUQi53P<)c)0a=-xD8y8`U^^2-lYqd$P~y`3r3rvc zQy1jTOLnz$N`V{z2lGsiiVTc3gEaLk*-tC^d+s)Y`nmvX#-F6p$JF?;08!wIJy)OF zU;WbE^!v!bmKev4$1Eu!-#S9@7zHuVu=5A&6D7y%T+7qDg=e!E^{8v<20qY&B)^J) z>QI>7-a7Dj{dCDIDJwB6cvQOTY3tgGF|Oh@(X;!ULms{d*j~WvV_6e~oft#A@8VY> zTX09JSX{C6-wFjU$*ByaU)b-0F=t@Xm1I}V-&}CBBgUP>P=p>xF!MAd>E6w4Dit|3 zX|IvY^Mi0Hn^EcI-l{B7BB6GUkix4e>pCVV#yXH(!|vuA zVf7`qMktp`MhtU>+9YN=W69>n*f?JQti;oMUZ$nw?7dG|F!6`n4`6bcF11EMcw1@F z_|j>!Ry4N=6!{OTqs*XFdls`*3re=y>Xp}o?e!-J&o%d-M63v&iG8krpe5r$R^K(F z1|p>)!E<|M61hU7Pc{L0w*6j)-zgb;9H<02v9HREcoRh)S}xdA82^0gdQcv?yc7o7 z??6=iDo!8&_a8fEvfW|b$o;tl{>i-daY0G0M>m4M=iQdnon&8#axivt!%XO%c++aX zcF6PUh&Sf^0S{MhFGNa40T$!ysU2n>UO$APvJJ`FjK7|=IsqO@I=~*qwxmR6F0GO~ zexPZL!;idCDkwQ>8#xROF$QmRnvklUOkfo;cl~bFinGabwtMV9d{TaHBe>c<#ek_w zhA8XxMI^n4Y}=+bmHjHT_^R<)ui#>D0kO;0{(X|8megGh;Jo}ZDw2O?ll-e|{t#CC zO3IuD*J6Qe;GqDBE=p`Jxe;gs3YjKMrEVLdu&%`t+ME`Qt#h?&Ij=!&w}R)Es<8tq zR8TO>C(YnrYFW^HWMM)wZ(DBPCmn{--rOx;&#(7o8&Zp(PA+@cZc*P1OmhS*0;<0X zJ|yO0?TUVm_bT=A^~%BtN2;?E8POk{9z7*5Icbc%8UPOtfXQM?n@bx5rlI1?xXpn) zUt6tHzl&A;i;j`137#oU27m#*kP-#vpACUoL2E#s_atN`%)W?|%y9DN5hM4ErU&;Y zme$rs7tP$x$urU3g3Z;*Y)MnG?|G9EY|Q71th@qL86=NU2aNe&PCes$|J>A?D6U$lvLg?!b$a&s5 zN4J^RvkIYhfbQ7-DL6vaN7I51yuuF#4`*-s2ldp4b;hLS3alA@4)_i>%Y%DE)6Ad!%k(5D`SMx&n~xA=r_ zlb^qB|FndgGP6a=s6>8ti?gX=5+fO4v<}97 zqB(rR-$2qD_-doKcszLQpy>{PTH)swpI=f2_6{k(Jfj2;*_Bt#6wZb)|OFe6e)NdD=B}!^L`t2M7R0@2Trd zpp_bm1KuY5bg=zJpV8;Qfm%kIq%q@UNu9{Eve;RP?=pnL2kWgpv+ijt%hzC|`#^xi z&2=XAU$RWm5@Yyt`hRaxKg98rp0bjXc=wi*s1C=4R;unociz)P>Rij5N{IhB){?)#y+6dST7sY zMeO|vW1Q2LFS4V{s_-mdIeF;)yf`H}MP@U*)pW)6BNJ1&d6!5ADO9`dGv~PF{FRa= z&>*L^itild1rRgI1)aS7Fy))l<_sGd**))e&)TOxwn&4K|GckQztyJWMo`t&yV_V$ z&rb>UFoD^|mAsuXl(>J{40LY)n7(#9`GHnqe$6LwqCcii49L^X#=UrcS~th~&b91( z>~61Ra{3wsMl6|HAV>l@G3oVQNDmsb)x#^g14r!L59$QGPDWz}Y|c=!mgkxEuWV z-*A(tOu{9<4kz?AY%P@^t32y$cfeA|>D9FssD->ArQdrjJP(EJ0ESty2dis#ntcZwnJ3zp0!S#G#=8+x#$O(*foqE z^^FW>D3hrn^5obY#>j}ixz(4y!}l3qzL|f1(bnIb@TeO{yPf98f;Y|s}R{FeH{%lt-})&#-% z7k}r~;KC?hUk6KJKONc~#b((QvfwxZ*7?sQL2WL71^*GCm${PKY4VX8rf$J6z`yqu zch&x8e$_ZS*f;0x9!E^w01$ajp-^cxN?6JJdVZDGfRZZBzvK5*X|29RgX_qmd7|CI zHr2|o@M7To+3G)fp@hvrk`3ipZD?-qQM~=IvA{Yw+B&$|`p>h~5At$!0m{QIPWpgb zChmfk}|WpP0FwR$}Eu8+xcnW08l;N`d!^298(K&`6DBCOrTMa;XYpC*)6TS3RE@5mP#q ze3rvv)u^Nl4T_s9er^iBrw!h&X7}oQuGS2M4<5p-SO?-8L+<8vJ=(57VDw~LQ9wqt zVQaK?6?Rk9$&x``DYX7i$RQAmceDYJN%A|s(Esm+`#d35Kmk|$s@#r1ynkt}vfGrL)C0ELC- zSa)YBfg3Dcfk{E?qURyKu)j)oUEyj%pEr8;l4jAjvA>=RB+JC)ml|e}c9v|;H8Q`+ zCQr#-v4TZ1ntYzJ?e67FVr|E2=&sjOBDhW^f^*bVEvRc{i3S9FATY%z{rxjaV;bZ| zkBi~gJn@&84B0&pyXs$1@?=@?!92>;jrx$KMfaf^*Lx+Wkk#qm#n_gqp9BBJ%wts4P~B6_w~rHZ`sCNQhLMDM)OP2-W9jytSSblPH{ zY5A;Eh3?U1li%EB)Yg|};?x;j_pN-;w?S}EcW{`cbQnuw@a3Em)yJ!{Y^|;_zEC#! z1*089>)92flYw+H`v|^KEI2F5VfdJ)xMq&Sgk>R|`sj4V(Cd|r#&;OJ>ju^}P1cvb znGw{Ajj&#sdsR&!c|Pu1aw;PC$+Cg(l6HkN#eL4IUwcSi% zXPryEe_ckn6IMQU)Jr8inRVOZBxfBw+3P!bp!)T-e=loia=5GUJS-QSYK!-73#{llssr!88zufVPMamLXv^f2CNEQD z@%p3&T&sb=?ff4Z`)PP*HwO2I@x_#+gIC=DHQ)zQYtQu92h57yH--Ks4kOi_u#DHd zy^eD`Zy!jx*XdmPWgttklEldH)Jk(KQF*ql^4~=CySyvfQh3)P&uz(8n$A3Obhj(f z+NG`_=)+szbM4^lVgE9$a#U4)SM2S0n_1|fQCGT3=F6n)$pw`zLlkeC(Pj7D_MR15 zAWe8^ljf-ZT)#U8vxP}j>8qNtSEzJq|L9!pvdfZYusS3Vcn5w196kMEaNu}6EjoG2 zey$>`5BS1ekM+s*&J%uM0LXN7e@kb0{zgLJtoV70$><#YRM+ZT!MKW!1CbK_aUeqe z8yGeSx}4$1YucOqi%8scy?k^^TrzZKIW}9!fl?92cQU_|4$GOjaJ+JF}Uia;y>(_bL@_4Zw zVu#P)^ymR^4{!H2=@M@|p~%)pGoI%?;JZMcj}a;*q{w`5L3>wE9d*S7^d(fK-Fflq z*OD_}u`p+#6d;X_*df^Vqr!fD9IyIRpjhaH;=N>X1mpxX$>^=Dl$l*`>-7(~x3F$R zUebSj?Y|`KZCh0@)KucVa4YId^~WO0xwX^S|L%n{=~)<6zO5DrlakTfPu?ZS)X|Ea zCI!9&5Te;B_f9D2k~#>I6!L6l?yWJ$${l6Sx{yay{pf)I;$xRYuToT>##)o6=qg{{ z`^5XIBHRC+E5$~-{r^nxEv=pKv+^{N8t{fwhA;Qj+^gA~;y|W<=~Xq10VJgFmW*sQ zy2^T7TZb}cYVk^kHFR6fr`{%3nm;d~CwsnL{SMVfs4?lX(b>`Wdgz#cFox<)BmOFn zoGSfk(+@wZEWi0Ik;QvJ1YjmH?8*89e2Nw12pH{?+yWljjezyItq`J|_Cazy-6ch<11m&MY?@g%zUg64a=|-*V4q8>9Yj73! zExuy-%0+L!EOn#8deR3CvzP-SDo-`-ps{O+xa7(ko*rO*T%cU|mqT7u<4u%~3kU`9 z-qrf9ij(8=-{bQ<>CN_7+7XLxU88mg;mDcF17bbGo~v7;;p%43wuOLT(!Q&Y8k`bq zJ_%O^uYl@8fXqmvk-q7jt~VvTPI^r#a9Cu?Pv44C-#T}fRVKqxN%P*Gh{TZR8y2It zO$j160wCCy8A<)GrI*Rupp-noN(fYGqG}9?_@#deN)%I!h{fmrVPCsapI3~{?5A@zXxtJ!*wosgRlL-iFtDi`reb(CE z{NPOzAT;-EN0@dBORVh!bGHK_kZR|Xt3XxJDSF~}Rrw0&gi3U^=de)8dax$+)5fr# z=b3DrZ5)7HHi~3nZdt{+ak8#5@K!F+@0SNKn}bBat+n*@cr)f7`5|qPN2~|LGQVou zmjgt@N0lvae9nB@_*hNmwXD8p>$r;1tYVvvNMUY9y31G$&dI>dbPNiFB5&GjuQ6*; zQ=_x4%Y7K}p)x_L_^3m_O3~A8q}s_-@1T3R$*I-iAE43A!*I#uB~rpll{yzjHhzh< z&sLUPowN*8(5uXi3~8=#hMiMyVh&2mJDlRWlL|Vd6No!#{jMcal7wg;k)!9EO*wnA zia?t+bBOJ^T>KX|?evnPCrQGDF=0l6gv>rXge>#tx7VD9Y_0MzgLjxFq1W?h^6}_iF#AHpVLFqRRQ- zxb*_7sHEhV+a>RaQNJTSxNqsL8b$Q{jCo^*ig$c8Uw!^%*w2z$m{W-#e9wp&FmJ(a zfjRFjNhKwT<`a^%WLn0}@t`ita*^>heCHa8Qq_5}Ieyw4e{PQSI==k#-pu6uhOv5I zvZ{b`EoCpeYd+0~hi}_?)#qJ;EZ^$4{ouERNOC}7Bm1PV-53bs|G{KqH~%W%rAFwK zcob$$5;TjCt`Z5FPC2988wE@LC>6S7mwwS8D*YGehx!r+QPA!O8c#<)b~7>nb$3n5 zmmMt$Wn7{M2{)tr&Abf;;Ye694ZAU(q@VJazY-CU2^x_SkeMF|?70gxXyLX*$Ks7i zBj43){*ciS33}USBBf0pG#kVWAxVjP{1-Cx?b)-_k`21kDl!!uf(+@)Z$pmu*wX*(VhX&!1znwGvkM>gtC=1d;039o&P?(r>Ll~y}p-zvIpd( zWL8>hDsu)EyZRhjPttoGZisVw9DSPVic%`mqxW{VhGG=AaE*I?RrzPQT`%Wd1DA_E z!R!(Gg?1~8f!dDDLc6gM^BRaM@juV)y+V7^Puwy+X_t31uM5jj2eLq9O9!gmQ(&`0 zdPsZ3&(Hcyp!fVY5H&J4g;^%)-9BR>!tF$c02r|3Oi54nP#sq>r2qT2fcgC&JjW12&YP1@hts;>w6BJ+Q_~G%Xa=lgQnFd>}#3-g=T2OUC_Zd$R!NsThBoG$#!GbHJNFKoIJyw^!NI<>p%*M)7e^lZ7p zI>i58a(h6^u|YAApxxbboG@*kvIPWpLMHj-9(^*>gO-$yWiyq!L=plvOg>GC|+VnP8;69>5P-=oY) zI1@?Ja)&2l^r~60xX#seqf8rLhTTy(G|RizkQ3>jn%KxQ=4>_LVCI=|WA56Ei$4)j zkmBU}BJ3FyNC*Uj*IKihEsT}W}It&v{?91Sz_Bh)lP zeejc@`E#5uPTBC(*6hZ-q!ysGquUT z93@Rh;Y+%Nr<*TF6fZKHT4NJxI;}$p%|C#Wc1*~U`JbqsysmCtJ{ce29CXj~FN%&LW^e}!d$P5rY*{V{_J z5rU-^+1cX!+C+((@wlkQpQrT|4`^PV0GYfdq@YI&fI&y38uqUGd_6D64omm)4mG+_ zs8NmsnWw>7cY+JAP?K*MOl_wx7zlA0{EKK73|K6uJM}*q?gM{TrDUT`1j%10aTsM} zhCeHP?(`a~SYVW=oUCeHm`pjc^W91!nRIgMIj4NlBQkNU3G}*k@zekfG*GqdRbF0z zikE*O(9q^fZ=()qRYuphQq-=`Ly9whlqX9-4A?bm&3_6V8mr6qsCgBi1hS z3%@uk>>|6Nz6a-`eata&3ueRR)_w=)0lzR6fTsmhp3W&dV<-Ksxo(ZW8V#)2WL6ffWYRyFBI+5&LX+FYHx;9#NqON9%T^kemP9?!wV{Y}PUOU$->3eM7bV|Rouzgo2v zvpk|p@|LK;#Iei!!_>iaYPGGD>eY4St-TVhjxNcGB4|;r!d)ZRBd-Pi9(qSdMK=+~ zbD7~!j;OMB$>g|R$e8{9B8Q%QpqlFHmERcy)=PTg7s(<`GQNl;(`t#aArv|TK50#= z`TL<@O+4

    !9LV3R*QFM)ceV0PRVmk@4d?V^Lo+#LX~qm|!`D=wG*aj*9 zmq~{fsiz&9C6}1SkQ4Wvaor`3NgO(_?mibikad2>fN#nvU}z;TL!Jl(sBz#d+uiAI zud&G*Jw^jMfUIP=O^D6qv=msoCqwioY!WQnLApxjF5?7ugD`pF=0X~Ab=na07r#v}1b z>HrZzqz=Ch%}e!oT{@r4n3W!)|P$6J5+VSAlZo5=!C z@D|OE>4UuyHMQ?Jq_%23?N`44R4?-g{m(5Y<*V1f{8{hr-t8Aztr?5UrcFW6cf1}El$|Q=%QYmmR)5Us~n2fJza!0Y<_xU;` zB}|GvJwjJ0VxRz-%;v1;N&}CJFNk+Kmp&_(q5m;2@F2j~;t+$ply~lHche2tOi2;x zURnz=<@cgG9W@f8Jk3jgG>w5*+H_@03Aw(Dg(_~_yMMIlm`n_d9|KE5IsluukC0G1rb};g(TguL@Sc|CaRCi11LFHUKHXG-SkmQh`6!9_@? zcSFg6mFZ#;-Q;lfO!iiVgnUG=ls`xGr8bIaqii<61mr}%rze@hNGZf6y6K{JtlE`} z`{h!wVx)0?3Uw4nTIpPl@y0`TNvb~PSVcbZK1}2Dpe!DHd{cO`2?0M+ zvbPQ-q_bO^r+dh_PiyC)I`NV3xg->ls_!`oWdFC%E+gaJAhrBt43BRmR-d+bT=%I| zyM%M`rdvOMdlJ>`v?vcd z^KDBxZ{!vxdqvYk3?m4zbG@mwFiaq)n9ELab5Llx4U1kEsMZ zy9I^E9|o|Oe2%tSOG}egx461VudpILONeO=E?@2XqrJRJQCK~qj!SjuZcMGWZ)P{` z4mGmtL$uLu4dfg>bt%S7b<|sv`vMo2#Y;ta0BErgJs$g@Gvs396g+M6u*P%)#V~5Ld7zKM#|HP;1-@zhRlZJ;9L_90kAt6s z(vPK6=UEHdx6koIA_&!!-0!rHj2_9rh;-fA8T0k?@;<_IN5N~A_#wWL8Dqs&Px_Qq zM^tA;skMcL^VtO^B#YC;tQ!2aB1O)yCYK0>N``Y^4=J6rGw0QF$BVbf_!h<;;uw6T z?>da}tF<3(X1)xAzO!i@|G7w%jME96tzl>v_Iy!??1V$l5>Y)|lvbOGfkg|o2r{P` z%BNO5$kr&39Wi6JbC{e;Erd!iRW~`0oI<7-44%d@3VQ>-0crAL%r7=!@{=t8_=`jf zW5P7XJ=-Qh2C|~YhVc%lB8SCrZ9?#N#)dZXmo>V#SBZFRkS3HZgX7$Ul+)Hnz#}tK zhEAy4ONq&;jTsyheH+0#qtVmE-WKGQnYXPeY_Lt5uRBt@Y(r`s62b;*`HSKoU8zai ziA5?CH)bc1#aZ1u=OoX!R~-?2MbTTc^NnvVD_b_cgmdRJx;-LX5-!H&<6z^PH4$7G zyfauyEjMG@jS}7ZIVKxEvBcTx6UC36{SaOyV{t#6v2_f&5or{&zw}F7LR$>a^w=Fdko}@knN@j?6tU(? zd3=+F^}ZC}W+R!nTkYt3Hxu9H#HHeN;fEB#_dw3Uwodxl?czJDmLSgT;$1$$2%$0? z&+%W*6)_>y-OcZ)l5>u)>7+c%KG4aXv>)iwuEKnq3Y{T0WO!kMsZRGob}3-o439_r z^QDN*Ll(K7qn*+kPx0Q~(fep#i<5*!k}D~)B_B1G%jREI5gR?h2tM)BK%M8kxx5Ln z>2}(M^1-Q(McFzHVn2Ln$j&$8S-t!?Au?9w*$K)*k8Oz9X3SKLdRCA$-jxJv)!0^m z2tqzLuqM1p3a`2f$D@vpy*}hZS!}qYyG1HUk8i&)#ixQq+$zuUzZTQOKTzndfSF9B z_oJJhkaRt!Hx9Chh`UEpWFIRv{Gz*HHAvW+DyX%(KF%(~KjWTE<@5-G>`6LcHO3mc z*L|^!XT-^@1n6WoG+bIw($Z^e2uuKu=1+)o%@g@5T-9f9baTz}d2*VoUeU*^rivxs zfVX~ZdQ0=|Qtdlda*G@`j4GvWU6aNxv^Vpl?Ahdnyn_zY#R~aI4Q)D~ikwym^}6zn zU06y|CMqO3y2_1RzLcccs5fk>Gyp!$jhW6D_E0BRG4?byoOQx&X*2*n%#F3sS#=j0 zyFfw8lmYp>D~(-1*K&G`kW$nsRkrjwu%kT3%hB02V3M-kg;J=?0^y343oN>O>W+a@ ziRQ~7M$3R2w|&6k^_a^Kg;HO1r9~IRCWbNmKGQquMMc)6fRkjm z4z;r1+hgh2x@e6M*H1o7iT;iY>e5QHe2N|zeHTOfX!&?r}T747G0Vhx;l4dtUO zip!jYNITJydj>DTHc9p9lXOv25av|>IW>&1`x;@b#PCa&M$IF`ClD492v5J_?03w5 zz~klb&WkwAdLa|vUTW(#f$xc$hYNm}b1vt^#ACgFkS7}VsK4wbJRjpAk1-~{IO`N& zi09T=ZJDb^oGS|cTI>3PJUOxhniAAos+p;Qo9*^zzQ;QwXt}|f0WIfBb`P8_2q_1@ zWU@Qfbqo2eKwNMH4{9$sntxDGpT-Eu)O(T0JR!vN?rZT8(^{{g&pEUpH#GX)01x#LjScbfeM!-Y_COwvlDR?g=^ywmeLuE#ZxwNrD$`ptdhD`|V zp2~Ul72w!wz%kjv{YmkIlBujr9<(L8H&xE{ogc*&xAoy5i2j;yz!pQNq5>6K2ULr$ zS6|tMwtl+p=4qdo*4tGp{Yt8(Hg*5DB+Rw$X1eMTHHQBdRR_w8$Fh_Lf+@M4mARhN zHjWISJR3mbO#N8BX&k25aXUv595acbm#7Ne!!cmiyh0W@A9*~~(+S&@ZlWVJ`1D?| zt_z?W5D!_uDBxD`$zHlMjQ!U1UuLIV)I72Y%hdGby*Xd7N0dyt@$2`A8-J+{j&bLn z7mA-Wn+vJRE%yy!*Yevmtjyo5)Q2V5Bxb8)+GszS%yIULwYm2}S?O!(BH-lxzN(Q2 zBHLP;<6Sn`*vckpXxMEggAo|w?d_UOc=wb9b4r2&zQb0%$Sa~8X$SRJ~iOcc4L z$sY@tsCw3Benz_5$TQ|WtFHrpnBK|VN4AK=d^8RLGa_{{^jn#@t>sn+t2=R8HT9$0C_+j0 zFyqTvE+Fy2^H$&<%pz61zyX1FcxOhaH$JdPhKFQA0f^+}&lc_)F{$X;;)A*VQEMo% z4#D(Q?`H#G*`{N;Ej9+*dzaA|-<23asL5vkz!{zmoMx*f?P={sfj@yYbhWDo5`Hk# z=RpTCkO_!zDVe^gWU87D5 z^JU6zA({5_jsNTg3ip4(a-Bh4rhIn;s)KXPJ$HKs*B|ur`h`yCHh{!WmoS3h_KdFl zXTYkOi(NkNlB%Yr!MNP54+<>%+OzL;pVf6U2x)EiCFAQglv{+r#b;9Iw8wbhfDlmj z%q8uy4mcnw46SGf;`qH zJ(0TY`Sm6E^(4Gs_OudIYi|NtkqL6?gNAxp&Ak!XiH6n`VThC3Hr!wETgTAaXM|2A z-v14$aO8B93lhV<5H(mpQ-p`bd$#^YKEP5l%8v+lxU%flg`I7yvH5w5F!E8AO;@G$ia-;F!lsBm)t z(r@go57;lhPx93Ft?NYgeKBAg>a}i=#*TyKz?QW3 zbe+!NQetacqI)jJ7kBzxz^zqhp9vc9aMaLNGpG`O`RxZ@8nZ!FE7Z$8=OgGdjWP;a zAkz8Thn~t!*`->N#kgrwCg1*pBe}%#4w+|;E~dawm!d-7;mDocd#(!5*}Tgk<#4% zUyYo3I8=Y*_ibTn?9|vpNXQ^#nUEw~NY==5$P#1E*p-^bPAFosrLSF%ZEV?Q2-zy( zgsdrPCL;Ux=)QmV_57~q-kyKYKQrfjz25KF=Q?w)`P%H99C}^4NBEeAQ%gaEk~ge+ zl%}umth8mPDLdN-d*%!7X{9@jEK7FmrgU0!2=+k3$2BKaVlt_p)EsA@j$D3KiDp?= zB!>~2(6g;C!^2W(JKl~x>^DCR-{|049X-cij-54@9<^)#AluRMnqMWE;Re%WX52kl zWKAB#l*|?+@tFx9$PkFK`M57SV~v}MkHTl$W8G>c8yKizv37QZ`}iBK99uV}N7XIM zGj3L)Y^&_OyF#Dx{7`EDz`(@VaaHfCiueJ?-UuTHJ-+^fwoYqsq^#cDc5hu-#wi~= zO&Rltp70Yd=OhtcmGrQSX)}rEV0;Zs8IkH5Sc_wC&R^s~5nTtG<^&D9M=NgC`zK~h z9kP~Qwp^XTiNBzn^LiZTJb!8Z?DdTg=aOP|8VXvNH#Noovdl%Sr3uun<{=ulo|WSS z8s{pDI!%}FtG~Ne5Me%gMr8#^8&y(LR{Dr~8x)Nsf3cbz@Yp_)#W5iY%myAX-+L$8 zt?4X$Ga+h{8K8MJ(A}XTu6mMhIZ1%cIn%x58+P<|)33)9y`PhuMU(dCViP~;UeP%G zm>A<%*Jt|v;_Eswu}Us=iIb{oSz}VVJy2n6nR!y`n`^2!_!Jl1@AN+rE0i}&$~wB> ze{^9nb24vtCOtwjio@3eZ;R`Rb=?PtR5~2bP4amxPpzFD>QV6&W_Q8{6t%GWXikN|3{3CYD|5 zyA213Q-wwNjh8yVmLF6$e4eQlMz4h^BbBhx=d1#)yzUnG(5dCHAR2!pDLMa$>x<5; zJ$eg)Meb25YxBzSyaJZ`^U|+ww*5?6{}IkpBP_!IwJfpnQDt!L(HFW^<-guzQ#W!b z`!c}V=*BdIj{MtSC0!dor!0e+ne62sDV9XG#q98;nh{EKj`3GUPw$^l+l^aczI{HI z938T{Qvdkq*GR9fBur3Mk@Ao1X15E|V`ujH7r(gKC64Hf@V$_{7@1?fd-YlJJoaIa zTiAxEBN{glzkWAG`t_~19)sUzX%W&KC*SCx>HX1cK54v3r}T-U;wmifHu#&rB(h81=OZCrVm9Y0 z)vF_MsxY+9ZKH{; zLW@c>q`N^ufjsTCij;$*S9E|W&aqQy(t??lEdQ_yN@VpP2!c*SQiO)mBJMyP|TV6=bh>*J9s*Sd09 zzKs;!zhWZ!`spz_Q*nD4p7SdSj`N8FysVQ_Hm%H_%fB6)y2EC#4LNRJa&t8;L}RN5 z)JeFDEpi=)F`op-1^$RWcArOb!zzl(4l9~m)Vy18*z;hDPQWG-?l~Oc=H?&ip>@iF zypy~lpU`kpr;XV`5R*%j{o%=xuCv^=+;lD37}U;yrA=8M+0E7?jF!sT=rs0fnydCY z+OPalU9DJX4V~33_Q1BfD^!jA;H<+~&Map`Y_uqpP3h>;m!X2#9A9IR+Hd_E-3{-H zn%@h5yP8XTcT%Yo`$zkwPI_rsVnZ%pw~scM5^j9$ld9v6==l*P2)bokI0d`ubPCIwx0s?%CJ9_``t-iq)M z?R`wmyPgnO{;@j$(ie83fe%(-9R=M_{K#x_jC{^NcK%hNhgssAj}uW@l#&Jm-Mapl zCv6H`x<|s8Fks?Wd9Mn6ROLwZJjR}hUncB*=nn&B$SSl*ef@mc(&vqji5nkJ(T<>U z?BTbXr`9-II`s3mZm0_yT=`lYR_+y z!4JXhiKBzK@0T`?E#EtN$AwH#K?ei@>1oz*xu}!=-+P4UPIJdn+hmtW%$U;Z-+?@h{rZD!6sL8r1$YK=)O-q7*zxxK8g?IcSehXC z+rG5=l@x@SQ+_+g!Gk~HfHix{B5*VyG0O$#=Glzro-NnAMz&`)c4uvCd482F&txjk zJoFxTed-yJtH(smm(9j@?~bF5ajAy0-FJ~)1I@A`38VBjz-vu;oku&i=l6gkXo8c={XEjm|j(&Xk$u!qW1q<$Tx3^-Gm<1 z>Cy-uh(G_3aDu3NqYJhxs2jn3-A?pt)lR8ju5T!hQdgG!<#oS8P1c5DLCrpc(rp;X zA-mM;f@X4N+fU=pVc@sBrOdTeyj-6vnQ@&ed;NL(%@j-(&zJ}*zRu0#lb?MX?X&bx zC6*>EpZc-IIwr}AP4vZQsXVvDo1z8$4~asDYRCPZjaqxLIMsbnc?RMIES!erI|?BPPeoS}|Zm z@qTIF&DIzv^xieI^Aq#@_dVY=B-|`;dGV_9uxdZT@(fzOySm9UisdkM3MTxmTuu92 znUaf1MST$pGUKO~7+q3|IWbx-r|ev<68VTXZDiX(QNnXrm~NOjy`LLc(MBh+XBapA za&Qf;u6}+`k~HYyv0<87CiJQZpWyo2+4b%>0u4~=yi;>VU+;34lizJ;%tHamTuY+Tn6`;>e} zH?rHyA(DFaQ1RXS=Fg4!w}L2*t7mrQ_x!>JY9Q9#R*5QMMt(t?>dbS=xeO8(8cZms zbgEAud`HGrGj%HfD0uj5t$(q@_&U?r<~z0K2ou$+AK4JEQ;|X2a&Dbovf1Yl$qj#} z3H1QvWlVD$XB8jx&UW3Z_CeGKgNVtQlF$u|HESsI0jxepsZZB(v-0&m{`XSgit)Rp zB49L3&GXc>)dg;9JB{EWTU+}s{1S^Fz3s)Rt$S2s3accxh^x6TwxvDxGqv-lR4fZs zkv95c|K~nSax;G^I=VCfat&sSu@Q|g9@wh5ai!s>&yXDSovp)D^4HUtEx}w^w(;~Y z5ev#z%zQ!XVSB6QlZfuqoGy>WTIaXf_Eaw$$4^b6cuR!XKgF z*;N;gn5`_mYX-KCb66pwCVi{i!RLj(w0o)t5-&13d@Ao}G_Ek6S9sbHR6F-Jqn*#r zGb*R-{kx2n$@U;&!Ji(_HglxRlL8g+(VntaMfu3QTe1>yNDr@hQJ^r7=j-avC*$cL-^3_Eth)3e-?IN84 z+13sm@1<`Ts>&?z*_Q{jul32O$i-O&_?A3cCbP!{8w9dF7ZubkD@|u7W&rW9iEdDY zw4qpj38KX>z|cM5`F3NimT1H%BV^pps#wkgesbLAzz;~a6u=3(kUUI9XT7o|KNnumREtVmxR8pU{WSlCkWlHy{<~bsMs5U+Jev;JrjJiewZ$J|ye} z8I>|+iT65#;U}ZmiP?ZNj_L@V1<<_2IM5DmOAA@L_kD)*7y5@Gh&ZqXZhH;Vz_V}= zbAe+dR-}vxq=gUUz{UVxI6+ryX+Rf&5=IIrBq?Boo}%A?5~L(W8660QA7RI)0yQvn z7kCqw?FwBZ4I(j&fEzC=8w`LG7!g0aL-0m_`JY0dj)9rie;(y$)@TSPT!F6iJiR_? zE5V711u|x4KJ;0^oAn@WyxnQcIr1PEDiQd`Oc(@fa08Ce(UWKe$_1bXfsF${!O{BG zaX>fB(+QF%{mBkk(Lvd``ncLSleZFailYfirS}LP&-36amLw$M8Q9nR_#m2iZ|+KoN}4 z1)AXoTp@ju2Lk&5n0nISm4$ux);RG3zuJRHeg7SDTNen%i z$U#g2Y+)0fpe)YI6>=pJkr*yu0zsrjCj6qp`Nc3yTui3kUd^j0wYYuGfxbGbdLNYZ`h8YTVPcvj|a8ko?;Xv*#?Ftf!jDi7w94>NxnQ5 zoQE57U>^WVxa`{yrXBglL>_bUDS80R#AO2zoHTgUSm59GmAQM{v8nzV1ANn&lYG8I z-}HW&gd#;0FhgLY{xsJFx`59X!>Ex5>F@!hOgP$TVdXi_O<*tRf1qO_8?&c7L4Kqx z1U3N-fujwqrOB*Zqi;ZMT%bJVG!TLpJYC)n?&DbGF~UGRFEIlI@Kg!RF*25e7zdzX z{4NkH=?#LI4YI%|u0mJwUgCf1%0+wx5SS<4f{8dUXNZwRRKz5Jf^dFuj3n8TgGd0# zI4S^fk~S1D^1vh?yaRfHz zU&SX+-k`&4*d1+}x%YqQ=Fxq9?g1;zy}8Ilc48(#pe4X3W#JQ77KjQMu|F-10{_<0 zzd;rcNHb3ifBsGFHH#fFcAc9vp@f^dVmSz-fvMxsVH+1Ps%7K168_fhFcYkBFmT zyf?A9s)IKw^v^(`V!_yZfNBD$4{yGZ;*TJo=R`dMPB9bSf@?UVBayZvf4U(l@TY`c za2Pk>1WEl_Hag@*-ISv$o*U}~opA%skSXb_B83^KfTOQj^OIRQM!Ud=xPKUw9?L!M z9s}$aPdede4a0r+tpCXeFvLz|0x(fB$%yw7!-$cIoWxkbmU*Hd9Kd-2kOb-f$?Ry? zU=zKd1&-}WYA|o#r;{;dNDY`WZ{oJ|7&bCl+&HxZ{m%L{Qv!yDL=X2_u54i zNJC&@-d}%x{f9hh;Pa73`ZW6k+zG_jR9-k*d*OfNiLdOwV(Y3%IRhxc(OUoPPzGeb z_@4k5#u4~sJ)PYq1imsvM`j2aJ|OFK3{REB9A$Xag!4y7k#qGpU@O((Bfl%6bsAB{ z1D`l%eaf*6LF7UT^!-spgEO2whAWt2#LtEeb4>Ig!!s^A5&lWAM<7FQKo)cF{~PFk zumr$_NSCCXCO0voJ3$ob=xp~C{F5tWiXRZ8AjnzF=s}PhkmN-XKr=W&2fBkFI75Mx zUD>hez%UHm4c_^iY)R*lnB%}HUQ{L+%U;ILI;D{Ehd%~e7S**iFydQ zG5?*y|K-;tuF?O3APp#nLA7;b$D@vyETawKf+iO((}tjnqF?lJbRM%Y2;iz{ zWx#v+%TA#qE|2)n^xB})Jq$5p>CZ}J^QR|t)3xa7r9U}`qF-TP82(#R(fN-w-^>W< z-Fh8j?WCuEDqcLjp}H_bv9>blubeKPA=9~CqnICw^|8h_nO{F2uuXt-=x zW#8Mduw1XQeNAX{+0gzl8|#z%Qa1md=$6g*fSvT=Z}R&!C4^d`X3IU(s)D`kg1O;1 zlRFF@7iVPmxI5}Ng?DZRS6JIr&5u(|cdDudWWQyTIvm&O($$^>o!6yVi2360{>0g* zk|$Ac(fw|mZ}qn=Px)j!X(8!oL87V3@e0K*&-~KT=@|g?V9A+xJhWPFS1x}*ZHRlp zTDqI$*Bz=xH#a!XZP978k?aV=`8ZHv=4{njX`KzP}!;9A~##s;zaap?J(k zh5f@>4tAwPD~{r>VLh2y-e>O7$}y7Z%r{F;6*-l-mI+1gv7$$yrlBcC=aKjtfNCu(Is8KAB%ASpdzK&GuU-GYl3b z-Q_w(pFO^Eqv?R}d(Y-yt_}C7hN^1S@!eO>XtMcKk%V)!oCcy869QR-NANUXPO#j@ zN$qfoy!WSZ9SLn_FCD!mHm)V|zKksx9VGlI!*jGZlzchb{=HVv`nX;F3Xd4LD|_A+4sUb z+Hylbc3Kwd7PD_9d93rDWIeucd9T^N*BD<Sw^c*EEKUcF(Luqxo+A#-Cd5|rpD(u*^2sYs+&f@x?4sFQw8gxdd_~2=N_5A_-jY|(sC&M*owX4P}GoP zU5XI-M#G+fQKC%L>GR^D`<2Ba8w=;D1-!$)(VVjD8){XZmKFGeN~iB8&5K+F4JmaC z&+kvYY~P=6J9Y5;dHmH`(tND|c5#2!j+uj)zz<-eH6Hxl<HG;wu)j3fxi3+Nu3( zkQAci=ib&^_+r&SfWFa;O5HPsBqyr|d@w1B30y@9een3n#LD6Ynx_nAh??hYo&c(HiLNWoMhh z)W*nuPU+Y!Hs2~Hhg>0VhKA0E3*To4XoglDuZO59WqA-LT*Htmx78YG&kO-;w4qJg zp{MRL$lcc%SV|ZB@xHN~wRLJdB222Of}3y9pnyHA+qcCHn>}?xFxJCAA2}xQs>@uG z`zfj7&hTF3-eu>y-LPG*&n+fc3-M5wRie6mE^u6rZ=Ca$)jVsp!pg&QbGMpH6(rUGeH0P+Vx> zxJzVqGfd7@h<35i9=k8&9Jb0E_U+b$CXGw+w?{Pv#aHigRP6YCsT6vGH^PW@%?>-w zshv-@X#MVQn5Rp<@Y!4>QO5SeCEDA4u@;gCJ^aU_&IXk9mEL0URZO!d<=lTCU*=(u zp_P1B^@6{az?!0w@3C#RtKXv+Z@GW>zG*P}>hS0RL6XziIC!mS)19zKOL2Er&Ix}CXE~a@&SK;V0|6;f95M9B}f0j-@rIkFaZk1WcEm@fA+QGix z$+=H&7kTgSlEaJoFmYO42X&>GhY;>*bwq4_b6f7i-W5tT2v zY2qSPykswYa9sX*#lbV{eBGTPud+`??bPOtju>kLie1v#H+-p~uQtExaL40{mDvO1 z1cP1}v_I)@aMp`!Os8DjG8kZZfT$6bekkBP9(yOQC5eUHZk3xX#Pyf<6Y|SWkK>OQ zXKOU+u1d$zz@$ulPbxQ@{E}7f>#0ue7itafc7Usw%8mwj#VHE){7SI8o?3XN$12TC zdR$9@?_#}WaLL?eU+L_n&T)Cq)U2wgk0IXL^8mXJn!_zYU}S;1s484WVU;>rR8OPN)B%_t%U z4|1dVf70s^I7-q}YS_Z+BGcnzgL~EbQCb!Ea2t1L-bAQyr77qrZQXH@alMB$bxczi<7WkmvD8(4_0kqMHTs-Hms4QiDi1GXvSTruTvE+0ePR z7UX@8{5W?BoYxfKHe8`9^`80n7pauVsVA{3XL(|IcNvebYB6}ki3^>w%o~La^k!Z8 zsbBPlAyvdGCasEVrD`Oz{2@EY})vIu%MQW2TZ`h}N=o`I!?U}-JZxzL5`(0eyJu%@=3{u|-MJo=P zHyJbZI?QLhn&svcKMsbei3d*l9KT9>YhPXd@uG+!W_?v&`jxk{_R8Izg>TDwM0Z-hk{8V) zd$5>Uufg%0f)FmSG0XX7jDCq;@9X5N0SR$IVyib+hhj~{r*HXaPO#DQrnu-yAh-^g K_u2j^;Qs(C%dwsS literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.logdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.rcfdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.rcfdb new file mode 100644 index 0000000000000000000000000000000000000000..cb0c02bae16cc73306c8fbaf556a7dd1a88d90b1 GIT binary patch literal 3160 zcmV-e45#xH000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*EpJ00000001Tn00000003M90000000000 z003AF00000004La>{@M%RYw_~%WnBlx(Jj~3)TA3nzV{{zc>8b?OmcNfo5rHgdCbS zWesYmVHbZGbAR~5pCoGhDu@M}mSV*QTh?MlLrtrYmbkTmpg`J+Kq?58LhWwH=Xu|m zxpVHh_jY$Rae*Cj_PpnvnRlM|a}IaTRHN;7+&*v_T@H4*<;L)#_x0bmbY1_7)}+|GYSja)*1iv8YK&|5vQn*Lz#NNl7W(vG~s7Ef_mD8oy|9 z=d#am0bI+Lin#gJyG3sP8e^tl%;N4s&x*z*2QoZ1B_E0(o0DH(wDyBwRWVI-GG}Tu zy)}7uShW=4ZAtOLb^Tvi*MAQrP~m@5p*CktY0wIsV@?GX;D(e+q)76$ro5Jwgtgo{50 zX+f1HKmN6NjrL?arcTCnXZPn<^D6tMXhPW@QJNG~3ME+<3+zx_g&k{JswL7z%Mx-yo}4v( zs)P|@VR_;T=^aJb%rU>|HYKJ9k1-35qqA7k{HU6E zDCg1`OL$EXEZqOKVrz9UJ5W(v1Uh-{$zcc{bTGp+EJ3y7@Q6Y{`odTTK6o(fK{Cua z#uq%{F$fiBJj9nI;jvcw;FA!KNBZg~Jf~5IB6d$sANS<6aZvp35YKj=3pxOc?xQk@ zY1_S|GfcRvv*7Z_iS!jdj9A9Vl&4@O7fE4>uxHA!SYHWr;d0AyD}{OCrA0=><+?2A zq@UA*9f2ud6t=_dH`15~_sP~GJZ?upl>i~UHOLre({ZG1pWb&yd+XT^>K_+QAw+q;gnW2k(qXEDQ(DD$v@_Fi|R& z3KrR)40MXP64*3@Yk5!L9$DZ@&@$3&?CM+{rkc=FgJtY-@;?c-aWCZRh?5C7Tshe= z5wTfcRv!8@x*{_ z0B7O+g_&&tV2yurK)ltedx?|w?irbcu_3Z*P;nlNh+q@t`Bl*9HJtU6mzzF}XC z5bTc-9E%W~vmOBtECLqJ*)Y;|^01U$duFYAh6ZiL_?g5b2>9}qN{JrRPz zfZ!C)T6Z~5O~$Iv*n06)PS8wu2oxDT^>_-wa;&~B*Z`-bfHMqv2knQ0rw&?vk;3*H zd%#X}5}&h!ptZeVUkda-yEoAL;ZHy2W%$|C2XVmU=_6Rp(??+^JsL8G^fltO@1_v* z5OO<~Rh!L@Y^I2Z?X$3%BIZHXK}gq_AbJPb5WS~~Ug=?Ye4o9}@L*%e{t@&X=@>%B z>~q;uX9huQ_DnV}LR-zAQ}#{!E6~iC>EL*YZY#QH(R*04o8s@b>eSa=O^KulsZzFruW+#y?q}duw zJ#u-ft2j!l{YYz6(AK5KV>}+ZrQ4(6`m?%H4^NGDlpYLFWErW`LX>c_&lUD=4bZRfZ%C+7?DMN zb5iT)OJuDN{q^|&$G6dY8kbBCe|Cam#pyFo+dre1AExmb^^l!{%FofHbMoz0NuDuX zh6kjyWe^3T%dElQ?`636gS#ce?E!a3hT9A7rVN)m$Ic9QIk^6W6r?mD!~LPMvBrEA z+;8COGv7kryNEZo@7Q;s-EmOHeBWLKt!)D`*V8`|570`+{M5b{G^S;YSY55;YYO>w z8H*LfV$?2f1+yJ%`!jdnQxI#>YH=%w57)*l&;>&;tjuuNgG-&`RuH?;K5;9E4Qb1C zTYyLAPaiDv7Bp3h{m@>3c51PW_B?2958E?=egu)``CCz4JpBY>*3&jUc%WovENgc?-u{J+J%0b1Lm*f~7C4eNV)yFDK09riDQRzK@p()yMbv3H`Eh4Qx-z*vH?O)1RzWdfWpYxrrUUv05sc|=F>@v@=BA4fo z!Cr4Iv!xGps^tI2gx2-9NGx#uoOu%dpW*EPq&fcZnf5yxZ50qC7 z{m86KCq{ppfNC&$R+9O#H^XJkxi!OG3GRUm_XN0SaHTsQ0*8BBn!5;(yTSbr00030 z|9Ao7Wng6BU|?WazN*j$NHH)0u^12sIlIMs`h~a#xj8z!#)k(v1_ZbUF){1_%1<<0 zd5RIJ1cZ5@N}&pvQOwc-N-9GYAj}H#4-IjRclP%S4heDu3dj4ohOjUM0M+IHcx9sv zq(KHtLiJ%&&B`DFlwFtf+0+S0I|DH%RJEU@uPYk^3y=o`AhURZxHPXgGd(XgMIke< zgdJ=HNX1K_cR(swfjBuMv4{i3{V#!{DnN`H78ouH4si|OWY7RAl=XXR_5w(QjFf_E z#HySN#h%+hrD{;+c*4oq!{5`{l^g8&Q!_07Ed*)-*#xo~W#q)3jVFk3g7p4>KF zcxS;?&^A%WU5 zt1a}oh>44gjsjVln_8G!fZ$gn(HB5qOLLHgh1r%{nr~5rE66P}{vycnLS+0_FH7`8 zB3k-CC5i6sKk$3Zllj3DCFehSx9hO_kllIfDvcP(M=G0BQ5 z$p~qC90G@>pMIID;%kajd(^Q*l?@SXydZ09S*qDX?;?krn^K~&-5b~d8<<9pX$KAh z6cn;TgL4GH{95v8RW6ap$Wy&b*Ol*BnwjM`J*yXDppDJf&=fX&6x&y100Gc^@kSA-vXimLjV`#FQ3d+>j|1uD6Rjxz>` zbY?DFkPl1-!_pki)T&Lh$Il4W?Qc+QiWABdVOcx-$<4x4t4>G?O*+;tDM;sftUaJe zF(+!!nIj%yBpb}x?peR6+tEf~KV56TmE&3aROvK~is;#O=O(uhzs7<{sileb!XkVL z!HMy<+qdiBl`f1%$+8|6{J_wwbX0r2GL-n!xbOuSj0F@<|~i0|Ee zaMFiXeQxND%@zH75%@#fSC!<(yQa8GS89Zw9+7ymSO#5_tY>0p3n6g?x_1tzNhvy~ z{>cg*e{~fX&zljiuXm3p^44eGtPjtB#xFKWPfjurlRex8m}=(o^p`Im;|GxxllM4L zZL=k8vk{ksJqA2nE!)bbz`;K|krS{oat^4QaVxV)zW{&c};~ou%>;O|5 zg9?XGUHM_Db{qaS)z`#sT4E_;l@Qn3DKKU~8G0L6#Upue8W;(AeDVR`;9C&fJpmJl zTMH1ld$GraHTb+y=6*~9qkpWGHJR83a3^-3i5$G| zOe!M)U_lIeXeIaPnAL`jR-mVv0plZZNy>P8N5o@=Q;7o!Zm(H3h})L7aB zJY^7GoS4${>ik`9peQN;IpecOwQ9$Mn>U_=u7k=^FmbwPT6%rVWJktyQ?YB&`_~+O zJ_*ZZFivOH&+PieJ}KF79?o^j*1P=T5DEeP`>n~I*kSjxioN{Vf&n?7PP_}(IapEt zenQHCx#c_qhX(m+6_VoJ?qQ#laL`r0J!OGB7}vfFu)DNTu8P(12J2j^<%~8Z)ds9P zxW^2^QgG4RNC%KgE2vd7Yo1q)9|2OXTvsMniK@_-iqK&yA?Cmzwy8J{WHQQjrKp=v zES{VvBg&g8pNwjpRKyQL@0?eNU_Rv-)86+L#!jiTj>;Ki`|mf(32(?QSLpMOPrXG7 zVtmy9T5-)Oj-osoW_cd|^>qO>rbSP*`}oZ1967y!g`KSt&w19xH(Q5G7uME*^H39T zU`{(wnc-5Q_27^fDf)=W=dfem>`US)IC4xWLt)?Qf;rR`RhRUYL$}(i{cAQz9H=~8 zajN?4H)LXhm$rBNJ)+~nLzThpBiRV`42s$#`IX3&D+r=X#uz8DGK$a_tH8y9Iqvjx zoGv|iLkHnk`CabuQvS0Tf6x&w8}?+QZ_(`~_0b@EfA8v&Kz1P3HZzM561`b;(&3M{ u=w2#B;aOUt>BHb=KDAJ0C__f2th91tr2?btSy`%>r0*i4ZM{jGoBsk)*~P{H literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.dpi b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..ef4ffbe0bdf12f000c75d6b62424919cd3da36b8 GIT binary patch literal 805 zcmV+=1KRwo4*>uG0001ZoYhxtZ`v>r{@z~^@p;7#FNIcasuohM8!L!H*Q%P3lNe&v z#F^u;A??S{7)S_&tlidbjgW|+=X~coKRGAp?E91%Ga@3&gVT~(h9!dpF863KIV}wb zmxs;LnRS3dEF_i6CbA9;b)~>^tg#8>4rVE!!LO8#R{pKq<-bvZWwUG=7cpg?(WpSf zG=ch6pt`;JV05S?hV5zh2y8mYx~9RHCsez4WvJyNkU_H(0z4(wfQJa^yDT}zQ_AL6 zYuG+VU^U2EEONG5_pMI%+P=EAk1iWc*lHl4@vLd&b0J55h^24+KwxvY6_qj)Ww8X` zg*C+mozMWYk#^T76Bau*d_U zP|I>Ltbz4{+hoeY*6Ooy^4G$sBe0lT-?(^0U_C0x^!9zbQ<$CW6ZbKSr}wxhdZ@T4Al9hjzg7u?k*Oxp{i{D ztUZLvlzD;#d2{kPRmA*#PxWBXXq3E=oR*}BN$D3FDLRz3@s=zWkMUnp#zp(`e-eiI zn!-r9d`i>$-AuKXzVXmFfnV}o)-v`U6&8`(bg)%a-N8xQcQ#h}5nYhk{DBHVm79-1#%gZ1_A jYVkXLGo^P9z9sr+oi+uN19|zyv-zx(G~+Z9&*|N8OQ&sV>mo&I|D>({fF>%V^ad;Rgxw-xjD+5PSO zeZIn$%~GyxL-YLRxqR=|7GIt_+i2Fss=V`SUaPH1VAcM%E8*@v-^jCBVcWB>l?sP# zXcDcC-H`Ww*_t%d)wfMw@8Z;sIM}sUFJgOnaMt+KDUvuMi zQ)#t%s_E*;yXzva`$in(3VTy}aM#}1n`T{IlXrd8S)-vfQqz{j-7iPa%fEHsA9ts| zyxPVuzMh?d;s5^%#+tyreoT%6a&;jhAFdq9$k=k(^&rdE7_nxSbLZN3$t7$*w9!m`Uamo+lvpZn zp_`}fx*fe2k3TQbTj^hvuFz*^{`2|mhS|Jdc^|KOu-Pl&{;iuc^cPp_{(JdU ztNmEkOwXAMayXV3xOCYtmWG@Qk<(k!xF*%|xT^G>jV-T^#wm+nD-P`Y*B7UmKJbF@{SuU_5^@EP>-C61usV*U$ z&#&llXU+EWvSk!}HF=6i*!JsJzUIB(^>+KEEYHUuZ&>!W@4KJ7{mHq%&0D1Q|9RL6T literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.hb_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000000000000000000000000000000000000..8210c55998f9226aeba57897397a9e541102c45e GIT binary patch literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e515c3b229aef0dfb458b4857c1b063da1deca9f GIT binary patch literal 24851 zcmagF1y~$Ww=I}JaQEN@f?IHh0Kwh0ad&rjcXxMpcPF^JyL;o)`R}{$n{VEonWk6m zRqLF+YoA@GX{zdg{^iS;O1Q6}?Uyg+pdV(CRW`J`N9`t7X1)>V#M3SZshD1UJrVgOK_uSzB34y2aAM@o4!vC@$gQojm+~w=JCtQZ2 zNaBYNN_|Bg3I+y-NJNRrh{F`zH0h(mQ%A~4>OD7fP6N}g_}?SQ!6K-N5#QzH81lly zKrgzsitsUEMMWnp5~_bMVa@02mO4-a?5F)@Mj7YRV`p<)8O~3!Ey9V5XDd(bO!w3I z(zdCtwz9I*)V;E@tZ(MYQwsXPg&G7txtHFHUF+JzRbb{Pej#?SHXKd~z7k~f9=REp zT|aMLM~z%X{(V5u_D$n58S}svnRzbO{+|_+Q&jE^^<&=RvNBk{-K*_3MSMW3L@Qu9 z!ZGAZ4_~=@Ip}QL>Arf|s!l2a;{r`H{GzSVM5Gq;Am1Wvl|ZR`8pB*p`k0&Wk&tiK z8qk@Sp$gu3I&(dWw9(=5kd{01ciOU?0Ni^?&BI6j!2lTHKNY+k?>iMR*0vigj;Hv- zPl|+o;H!KM<2B?M8T@H_669#TZIAW_h?0?IJGD-4la;vca~Nc;Jv@MLYF*NGO7era zfVXp4^FQ$SJ_8o5xw=+_e*!MA^t2t?@-%HK_W+j{oZdWmO;BqTr&l^_#~x@jgbz=G zY9he6EBEh1&EZ#hc-rBX2dep1(IBe-r)KvDpHN$=t<{GgBp*>b5W&O{svXtzHp4*ePM; zBjesZeP5EDB;Ogsz~032q|Go2f(yG*I4pWCczPwp8O`5)R03j}h*|9}H?jKKo$uy; zw-nyrZ7OcLJ%+)r+_(K-3FrG5ohxuUAGB0osg7`WLrg>|P}qoS%`#&8y085ox1q4! zUNf(IyKN`B_nJl<5T0Q8R1udnC2Rs9(M#KKconw&*J`+1`uAk=x*?9FuG!2W-u>{l ztU@;^Ten+8d@Givfa*#Qa#!9H-WRfGUNuQrf>2TAF9A>8ec>dcmbkBEPBb0+DO_?G z?fvoF_Jkf~C;r~yQ;qjLEeM}OjC$=9gpEOYS@qg?%Qq}0Yua1Rcke4jBjN zQch{2Q;cLm>4tC3f zCAvLEfUr(~(vN7y(4ULvfrfiK7$_2$uU=wzzXu6W)V*IbJPI3yL_5x2%2 zH;?#dyahY}VH?W?-sN@&ArQrPxjH?C-_6Buj0^v&o{1dzhIvzclnxB3!e8UD1l8Pe z79M)CMtfq0a4Wv5aJ=2gW*w=#F&+ArtjpiX4D!j<%85@_49JjrQQa_Mf_rSri*MD^ zDV*X#|J`NHO)Q*OqhvcIzZabL4%tD)xND)EAGYA7vg+v4JhGoA(=3=M973vZwIhuF z&>Eh0g6h8e?#7;c<2TKKNbkJm%HF&r=(t5~%YOKkgPWC5bZbP~*p$b3I(8Nf!PM9QMdgAE;;7JNO} zG7I6xk&-v;-nl24?4X8*j?OZ|`kZR;LmYc*fmb`+tMyR+$+|>+K2Z5jV);C=PT!9wrnp{R4Rcl$Yb`}e2U{a+BUIW zFrod^p2iH1s@uUyluB^G^(7OT60JhbQ7?YNUE(#2uH=3F#VR0OsQl{Azq85^;MF%c zsGzE8QMH5qmk(A#Q9PsIX zVoHSO%6pz@BJOJ7-AM*t$u)&C+CK1Dj0ZF@yue^3*8;1jzsp_R)J(Ab=%O1GS;j51k2CN{#uT!_&HXQ0>U!8=J)v^Z1(mgHLv#6EUG+P2+`JFG_X`0L zmXHoEfCOVL@BXHx8TonIlJEWpd-sGiA3|JLf>1qvulMZ0h}VZf?NHBt)jmtTn@&|F zACE}#p|o5@IsOV5^A<>6ed|95tG&+kdMBK~Z&(&=G`KX;2QmI@!(HBB%BuYis^MF! zFjfB6T!YQIL7gZ{*M0|sTMIpVguYNqvNZE{1GO}CGLYnkI+50ul@-)pB?J70go?Mn zNs<0vH<~A|+pji=d&qCZlSVETh*Og~e|Ob0&RmE+2-R6-ziS5awKZ6nJlv*>z?NUN zS=ua!FUvPxs(^lNBbakr1~AvQyU2mddR$m%!aHpJe&@)m1gD?YE@%B#6y%yds1 zXI(0H*ft{~A;&s2vCApdX*`sn9RGTn3NKTE-Fj&jL|2)!d6{s$Q}4-si63E)J?T!KE0rqd+vK940= zs8wb92{}HzU*ZB-5*KxLC_EyEdtf1T7pyarQau)|rb9zjP8==f%*|zT)!k01x9zl3jt&)>$H(=wnS>^`~q&lHGtxuP-U3fpl>d^~@slp=kh8Loc7! zwu#nO`s7c9DCQT)Tr?e=0coF7n5->JXA7abYXib95Jk(D+idZyxX1$u5aIFmK{ zjc9okM+G!MBARVR`NfFY16|S{w?`+3=l%eG%EZ@Ri=Yj9jhjrYQ@kr8;2uG|{d{w5 zUF_r4Yz}S+k68N~NjA}E3Rww4WS?mo>yG89DWpDhF?Iw|hS4uCo3!5JUXTC<67VY6 zXr;eL;PPhiX8>IweRub;|Aa*wBU6Ed3XstIPY4GIbi7%H9Y1OJNKLWn#l~I4)Q2Qy z8MWe)ZsHmuk_-NKQ)AMNe0eCA?JSarKq};&a6Jo0uzCzj%HEEx3hNrcM4||ehiv$e zH*XaCTZV(N{mN#VfW(y)L_Ae(e>B6IT_@ygiP^cy_=L=S3Vw0)!g8uucqy7{E~YI_ zc}y)NdPP%JoT=HQX3au=M3=%* zi-pHg0YNF2IdZhvE=*D7We`?~kzHE(=LG+4c220!nO;1LGmw6BCzJA3FD;g>bi!GV zt!k=Q2}#pFS(iIbip;mi6fzftUJ!Ob_zId$KYC5mazf0$_@j|`u=?MTWf-}v{`nJn zVyZUE6oPh)%t(dy|2Ml*vXU@v^xV{yZ<%jy!*?SxSUJXn1R3TB>AH7xeqkKi*gj*2 zjU0%I5(p#6Ec}F{j^p2w{~4L@k|h7rU#n0Q5+EK6{}NA(?La0pdtbnZDI%FHHXtix z1eJkq^c+Y!g<|Ta>oBHj(}p13yL-}^WW|cniRsfp_ObUeRJcsWh3k=uGP`9nY)dZL zmcIY}o^_Pr*dk7Udg1;3&IQtJ0RSE@HJPI6-AfPHkKvzuR8iD8L=cCNqL$Dao;{zUD@6s3_BMXr1lg-?%(EQ7AW$T|+otdmKlSg#09R*MdeILHc} z8NZDuieG=*X4e*eRlp8D4Fq)oJ7xqFJo(P|nR`jEu`nF^A7r^&ds04glXCW~D|Xih z^DDb|QU)%4c{ixx6S(xX%N_p%iR&6lm($zB53}kh5ZWW9#i2KyaS;n2l2B*Xn$5e3 z!;DlpGw(P=#kE#(*joUJl|ui6$*jH!lCbEInu$~~o^f#mIsG5zb~l6V(2}b5CMX}b zdNc3llosdzG&mkS)+(WqOL!YD2$+#eIy3)+IX3SQBxT)D5+aC zDQ?9-v>WS03q2x2^RwoI{o+$%*fU9+nUe9p;|OhUA$i}^ck?AXyuBoc3XYhPdSl7- zvGL3qlMYRoT!w3!wOw0~Q~-6@!Pafr1lK4}Dy3Vk+Ri~hrKWS$cd|(Yc?52}0-P2O z(FI^yHXV#S0^+s5qW|6-k&=B2p>a*`%7acNq_HAMW4ibxa-$TAA0$o+%D|X@EHp|gvCAXSq@Oj)uzHP{ zvt-P^gK}&hZJ-ln35h0JAma8@^go7{XX!=Bv~AmolpyAHsUK6*##`XrbD(dUWJgAY zjQ;ZCj$%{Eg!msI5SNV@{of9ni(TC85>=1o$3hjK5Oz16ftPGMblN<|{)Z(5c?B-i z44H_(jNjv1sUs$S3Os8_lul*ZHnbG3V!71NkFx)U(h!QKze^mCtj&K9Y`tw(@ZS&> zgfyjOg^ck&@s7B^nU|)Lmf=mY2YUlN8cwf}ob2(cQ*~`9Hr9I85x{=_4`Vt%WS?hW z#2&VaA`$2_iXv%&yxG6_r zMdR1Nn;YgiUax-MCWfZ$-ug^GJ!CIGz3Y^w0-6vWlf#=(XZ_ytNR4m0cv(W5WiU1s z!Sv5DM8oZMvdcevNQa49K&Szs1cV$AQb33y6+s)|29lB+!S-r%1zbpFcnr9w2=3q6 zPz8#FeMfbXqo3McYux!=bYJ)4`{(2|7*(msq_?((+!rn(MdZEmiui7zAJ7m4hGdvSu=!?)Oy2%T&HpZ= z61PkBcogPH<|k*?LDU(KZJ~oV0QjNpQiSgPU?uHX4C$|9Wmpya(iO6wWQ?`;?Br_d z@6S%zANDuv30;YHr2Onflcj-bz4$V#V1`S-hIlu@OFO6p`nD+cu7-F!K?{ZKF)LyQ z5k}nj{%h4#>@}3!@}^63D!d@@CN^-cDJX!riTOJmW83e2o{U=fTQGg>pAbW{2H(8C zMO7laHumh7>Qom^&M$9hlMX|7MdukuKs^0Pl)R&&e)$nF?7v*}8}0V6;TbW`PAn+k z%V7_JZm1YKFci{vn;d7rFS`T=ilD|TZ|6&HMm{!Co;h=h3Q&qAMHSS8365_@$ISPM zhw3Or6AShif*yGfGj!IMIU+YON&jn@UkJPZgdC9I2NIBBf3Yy!cgK(liO0E4A0v=y zxeaFwZtD7>Rij0P%?`~L=k0t93bfQQWf+aQd9 zP;epBkn3sfP)LAAmUq zp=o$Kh@tEp>;hP6rV;cEqZkTysd#di%>(9s2BDTeOhwn@cFXR*i>nH^41_DmQ;!Bx znM^&<1u5Zo=x=tU6K5<$JKHl`aen5frRyI4x?ehvZvHSu z_MbX`cUXOlkq*bnDicdq`st5YE(*D!6bsK;4*KInNr>^dB+Ou13S%@UUT=2DiP4p` zG32{rEKWJE0W!m!KJm~oY~%6~W;``(m;nj{Eg6!1SsD#-(cr$Vv{Jm#K*O=s*^w!J zux-S5{|-7ZvMUJ&V|cJb?l%v%`7^FX^!AKqF$NpQ_IvjcMJdd$@y#$P9iw~QW8C5B z@k=~k{*oh?k@=(h=W{|zPW5T7>S&_cD)-fV@O{Ur(P7kJtue|mzrfLLWJ{3>+!BgT z^Cb4-iZkT^iwzq7K|$nTizJGrCzUYJm;xn|7{&V+dM=809-128vjs(&^CgC)!r@+5 zMD^rYFuG=TFqm*XABcZ-P`Ks1RbzIMwzgEYLmDt8#CXGiqop@i!e%s&&14pdEv7Hr zmm-HY+|%_F^QvP2?E6nv8p2Q$_zz(QZf)L2rrVi=YRuIdvgZ|s+czh4Dnwd*Qv@Ug zD#Qs3dA!x-0(RyaF-r&@_tDQ#2hcgG}mwkS(z7fG9s$8(p)rY^o zSGL0P>P4WN`|Q^t0he)Fa)P$QSg|Db8v^al(L6j}_p8T*qAHy}KW=F?&r}g-g|81!)^si^nU^E5! z2j-dC@(|xtaQ6+#m`G)Ah^BC_*SfvtHSkK@QtkeL_4>p@)gE*QwgOVq1Gzn#SC7kX z;7NWl4^d9pWKUg3kG5%NOLxs*U6;F8h?mY~3@+dL;dX7Y3Qx7(BN5Azgl5%MZx08h;e*ttKF$FN+}gdS>OyaNol$;N%;;Mrp|UCT%* z7S4j269J9aCy8B<3I}!2tJr^^FuC2lYZ~qdPR9wM(#v=Jd9luZeTI7>uVW6^Qii)# z5z1B9a9Gif30DTv%B|(wR4|$04$JY4@ygM)0Jd9%yMVae;oXDIwU~w$O9E^W^ry&Y zI;j+R<2$TcS_ufdc{IBoC&3@V9N&^@uSa`|_D+HkfMyzwxC!rkS)^k+O;2vJ? zNTB&N0aW(u_MJ4)4h>I%7iv+ZcgrP^K>7B5!G7DF&Bmzz$P2i=!rk%=79N5Y0xTKH z{N0Vq3&c0Pe#Fs&?CvHw?ZV{+yHfMnn*P47Ml{9+)v-Eg`io|tR#kiEwi#jvv%lsnYAVfhC%PfcBU)v&idX~68t#1gd}!I3 z+R-ij9`rl*y3X!%RTuJe){R_TVQ+2d6x3ASWJCSM`AYVE#R>jjH`}gXrU?Jgx@he% zXB=-IP~RwoW{Yzx4#oa|_>}B_jfEQEfQSg)_IqOH#^QUD0R*jG*D`ddIXq8KPd_wA zZ#Efioc3L~*dHToS|U@7CYu5EYpS}eOaW3C8Eu6uk&X!Vhx15C2tT3XAM9~ZS?tZu zTwHJPtyiFxnb?&PHWP8xr7nK!Z=CnKm6RHl*qS(5>f71W6zRICc}i`__Uj0U-mz@{ zAT7ssFH$^5_<)vGW$BkVnx{1{F|EKS5dBL)pq2LB1h1mHI-QA&VR@Nd6-_2d2448_sYq}cCM-=_9>`r zt2bTfCdkuvj+e1)r=EG`muzxC+Uemu0wOD2c_~yg0{kMv0wNMUZbm2iI0ROU*oeET z;{5Igj)%Vr?E9dnGJ>~1aQ4Npk!5tC$7UkKx7RnfH_g!B-pq(?adCcW0m<#p%awa1 zpEp~HJKNIkT}ee4S5Pjj37Rb~XK|pXi1v1T|2Skd7F8Bk65=Mu+2oqCUy2JdQH0d@ z&DKc5j_B<2?TJ4vIux6=X7|chNH0hXZ`)G*eoVNTw|oshM3J2lc4Sz^`W&SMo8c=o zy38DONFT)~2VehA#l>%*E&E|Wmw!9F$WFmf*3tde{dMQpCBiaIV&SfD7!(yjiA&CZ zlb#W9_SC)=%tpr-#~gBdueO&8)}@Oa@PYne^2`e0ayW8^_hQ`Q5#dUD(IR(N%bF zSZxEYR&c-YxSKJKZxZzlbi(yZ%~JaM#xyn&Kl$)^Lpkct&=6P}Bkd2F7nc?$#hIBW zOU*4zEHV`4QcNoFsWsocZL$e^+)SRKEA}E`>mbmU2m_=2MY3Rn*r&6h9JnLb6a2Cj zB#oI&CAtA&TUrysS!)vRr1%RbQ7K;S5jxJ{i2kxzSs+|1UmZ%|fsvmOwu>EnY-3|R_s^;rfdCb|Ea}j6R93XSU62Ekl zwH@WUboy5sBAVgI+(qevo0(>hCLFG9`vx5!TuF;eF4of5rvguGFn;wz4kb^2w04-~ zv?f1Pt%lKkvhyHE-(!5hChpKO<}*vwhx~HL3D3gP8Yzq~z3toA8w5Yqwu?2O>YDa`q@!6-7iGoy(dVgWV&G zX(+I;J-7TKTQ;_gIk>2%@F3EPR`N@>nu@*1=e4?yq-DkONze>+_8VLzJMA=f zA)~*0_~zJWWj|#pc0?Hj)R>*q!y^!0KM5Wrhv({)%60_H0p>UkMe*V(s+7 zL-$SV$f*BprB`ibkK6#cS|?7dFWTC96jec zT-y&RZCg$4jWglT+{MR|POsnN5lpYepjpv+!5kHxqr>%^TUaLAZWZlep1qm}=9rz; z*@(%~Gm|^>?n*9k=?m_MT6RyVJyVyKOmtnx*OKGpGh*X6xxd0Xecj8RQM;2)+moc> z`St>emGsk$d&K*JJ&OTOii4lfitCuJepF%XNFmo#W5aChHt9a*t<|QWVvTRv<$r!Z zrXa+}vrW{7lF{CId>IJ})7O?cSEqK0M0*9rU{=jIApzB*ZHBFFhVNYXlWuxPTZx#X z{6nn<5wM%#{8wq)oyZ4c8RFu}H*(7K;JRh;^7a<#ci#^;ud+rys_x8r6+EH$)GVAO zlTW1M$TWqEn6sbqiBs&IfLF&tB|& ztJ$7bqmu$#b9R{f*D_oxPrVJ(^J;lUs!wR0LzlCvy?KvVrKeCnQ8K%`ktBglnw;4e ze-GUh@F9lH*ZeV!YSY=1)TbAul{UZ~EM)xyo{5FLD>>3ryC~x#mrS-V1+O?3d9FM0 zr!Z@+?y!MqBT5^VtpdWE=|0a0*;Xbsh=?=nV2bU2Q9TQ0MJ`Ru+ z?OY_(kwci+T#Xr&>jr1~=si86jXzJf%bT}>0aIbcTy3*=@qsTm?T7lab`|`R;!Elh z;WkAc6s{-m^S#ON6c+)~ z++|pL@vajoai_}=;HNN=CvvB=8<9CDw8wEiF7hN^@bZco+AqfOP2}fKt>rtO^0?lb zo7I)|8b=9MD?h3!XR8)~e~LHgHSTJKRvn{O;R0B;Fr=~bzjSW4x2g%PlF-{V-hSZo4 zIrSkm+6BHjWaItFhRkCpbLj&;P0J+F_ib=hmtAFHJ{(^UkWmCyI7uyWPy+B1oF*3G z_A9QiiD>sTY9<4(as#d=EpY;L*-#`72a3m zG)$T$gw(ewARaA26-D=cez+5ga7HSe?47W6GQRCv~WZ@BN)3uRJ?iV}9?@cEYxh*_?9J<$*oe zbCb+g99kcXta7|K@?`vd_^r_K-J^Vn5U${U-G@)}Rj=D(0P9-09!&02N?VwT+uBq&!0K%w`E|4&V(k_$7 zq;hDGIx!6Z(E?1T5oS0EH#DOE{Y-H2sA`agv3!7u-}cP@S%5n+Mo5&XS`}g5K;|i8u+G&mpnXyot(Gu9}-icjU2Y+s| z?iz=3su=7Xt_HA;brBVQ_2ctova)u)~lk8 zg7ZrV%Z0+RShu;cYu@Z7{tiiB%6KVn6NoC`RQVMP{(oepfU1SE0c@#9vXoU78l$8q zfOUj33uq<38Xnew56+aCFgG-qLrVq`dMgRbD8}LF!BEfrl`Wb*T0S+P;f<7ce4LST zgra-PMLNAl>pbgg=I#?hmJ#@M5ckKWvwM?^weIlu-vXh}~cdJ}=_EhVez4ES+M)#cz? z&OPIZWO8R!ea7ozMt_c%){suxy99aO)D=TN$V7$61~KTEBoY}T$^A8L^Oh5sZCp`M zwRaWS;zhyTB^w!64P|+0o9U3SA+DbF$3^h>DoY`aB0~!Yk!8AEL9@abi8Z{*nh|;t zt^0dA*y<#9q1ej%p-+>&fT{e)ChD})B)L@CSqEuOr3AUlC|AW)IJ9Krh=UzH`k;|d zF7Jg0EyDtpNKmsMnRF0;a{w1yep>{5jL|_vC9mPp&)K|$twV*Ti^JJN<-#|o>^e*O zlJiKU0cQH89R)p>N8Lt zW0TlbYMFwd9Gs?XPMHs&JV6Rw`Q)b8$iGf$3)mG%uL+q8MW!@nnC?Ug>MX?F&|mU z@ju!ABSuRPAA_K3v}zYIm(OhDgd{N}~@IPA}O3nDF!DZ_z4TxX#9SS)3 zd8eVd%rc8vmc%vYxIrSd`f6EqhGPn+RP=_pO!r^Rb@l%HQez}@?97W& z5HM@DvJsIvcdgi=d&<#rPZH85KCSE12W|~A4c%yp4F9OFDV8j7<$Z#0zpp8mEHGV3 z`4t7rDY7x}bp0^8waT(W%zp*pkvzdB)3HWO_tB(BrlrQdp|Rwbmk%75h(+32gcz3!2X{?zH4Cd^WMFs^&jAv%^5BHJVK@aJCN zKsw&F^gj=CrJY9!x^q0M^O==Tr9OnqoyCHvJMY23%zoO! zfGL}QL1pUR3I7wzXSBK%6r*-n&AT7eA(AJ;B?*ozSVK5d5Lk(7<$%QIWVh?Axy-Sy zo-o*b)Hk`aSQap4`|mKyecs!k{UK@9_gIh%Zaej-%zrvA7@ph_y_o=ng0$-n&|w@>Ryk{1N=b__4@;7Chn)TXMDo1Zo$m)%loa3A0wyn%Y%g6z7qoLa5-dGq78Dt9h7Xqh}<jgcp+XY#<2Gdfe5rGj^(gI98_QRie`#aI=GV!sY= z#SdP^zxE8Yg_Tl3Oec4%DBLaC6QR@UDpu*#t#bX*h0) z?+dywZRN{nUBJmB~5`LF}UhfRurQ&Z*JIZ&qg!5hC{{(>^y)_ro*!X>`K;kr{g z=cE3!$>P8{A7CsT(m`kEAhlLn;q4UC@mfNRc4RNGzafG_`MD1Ak_*JYp4z_!c11Jd zl{b`IJ#fb)=fjRB@2CoCp}TmcbeXFkt2}CBfs(S3NK5+C+$W-UWM9d z|I&O}C`p8#TRMQ;D2Y>gBlZ7GHV2Hf2Tgi7rmW%o%MIj} zeP#;EpHQj5!~H)s1h@AJ>9{EIlkPvdOfPVQD%n%mvAUYVYCDyZ$kbeVUU-IEz_`so zo-~%fzMPYMBRvEh^?MU2WSE{SLj460MO=fHeqK=a^ok5=tDY*>ZcG>eMA|drI%7xM zib^}uejKD$Sh}J1PXDl`zxt{KUl+yv)?*`*d~lXhSat5&nG^0TkyAA{u2tCJK`5jx zyV`V7;D8GMko1w$VEGDj@?6J84=vu_wBvCSlm72IC%i$O(?C~t)yV{9Hj_~R6Qa;E z7=x1bC_UVNwn;E7=CTA06g-4G6$Rnmtg}& z%c&m_FZ#Tt{Oue8haN($BRZcX-E@Y`wX@2h@5@r-1iGH&Sebquf0cPUSwa_4bSqETUWbnZEscS*)_&si}rwxQGaZdJIV?q8G;h$*DgGR8(?{Pb76zC zn@B26!JOUhM_5in)zV3Ug8WM&QKUbrdZyC{CD6$=q1`uCb9TmL{-U%Gm)4H=hAL)= zYh=biX4Q=*an%md!8x|6yj-K8TpLhODPh-UWRb+Xq6(r8>XxQY=3xzvqteA2#7CP? z=%#0=n>!(2g&Gb#O5fF|G4btaq?z>-C(oT+?^`G-E>`323Y)dD5}YhYoK9ju7p{P{ zT7dd{5GXP1#;&CPp2M65tK(U*=CPj-Lm+xTD=rb-K}liB<)fRp`I{vDW`f^z<2x(D z&%Q|*=o6<@;U=3C&{B@GIWPfElJ>tEzj2HsQyO=mmd+>jpjXIFj@Gn-j4+h_1|R!XplvW4+tm;~u-Sso&O!->;n*lMQGIu~6G8 z<)5-sT4ORc(kj))tn?54DhgXqPsko#o}GOdvjUDsm)liN>$WVt$mcHRHGBBkf*cJ> z$Gz&F0XgAc;UE1-D!A$rtdy&kFO!=xe~5uR(fF?(CDstj<QV;$RKPafBMJ4$Q` zAWVaBC9xnQ2W--~9~b{#PaoMaQIE=gj`iOt=3hw%%dvyuyU)ijthYU6niGY^r`QvpfpwE~0gasx+{qC}~35#MM^HGq$);fgy#SFwTP*P=vA`9&}7 z(Ni#xkFvAt$M4b8p}mu~3XoScwc3U%x1hbnkhIDtvf|CDLbbCT(Ta&PEXFIE)~-VS zYV>cc#@|crc7Q#X15VOn1wKTIGfsw8Ox8M>^aYuUY-ozJms)8KTFVcy-)c*Vj$@~9 z^Wa|%0oIFD{4jnW*{#z~(DW2!j2t&PK# z@g>@PAFYgR*%zaatCImUzp7tHPfjTft-1?a^-$OIsx066WCsmqlE7Ln zKW%n8%5B150884y0LSR$lfGP`EPB2PM|Vrd!0;6$s!!B_n+64M3D~Sk@q@#)p@3`kGEup^N7Y)3jd$ zEbD8LrOQP>B0=rga61uozzPt<%Mi6wI2N^{N)Ymy zwQ}~sj`B)*XV0sqQBwl|*9-0C3&okDgyWngVFOPbnh#3O#pVVNpS=?e$ z9A>^Eo{`W8OkP7TXN}R~fgbYl&Bo#ge~PfZaI?K!=-}XNEv#!=Jbnkm2*CN^cRvlj zpJz|@#AeM?y7d#EzGuI+*KxLWSD_n0^&YN$0@v89W`BwHamSdCKRvrZU4U4!eIsmF z`ozsyyLwe?9=i(0hYD4z{E`si=U@Ui`i^@_(qoo91 zZr`_qo?$|A;^;3ev9>9F;NXk|Y%qYo&c;?+ok=zt zSX#L99JBvfHOko|+S*u|UsBkZi#=_w+l?dw=NaPc?HY~!G!ps16#3A=dw$})-8voF zf_nvgtVp_PuOw`0 zvlb#HNSTsTaKO70LUO*%hh4faB z`@Uy&?`@lZ_4OsbhwWE)090z*t6MqKbCXvFM$>-#i=A?Oo3|s=3EJ;q?)*6% z!1m@0y=E2Q#}m7-3-H?ENFhZHMDQ}UEhl_Dl6#{{zmu-pG0&om_O@7NO8^_vqb?-462T!2WyKC^OOa7>`A^Dl6aG>q1 zM;Kt@uIwUTt~I^5rrt@Eo~FIAu{2`~&}K`31N8WfaEwgl_{D^DVNR9Jpo)Al*?=Cj zGE8b`EPErc0a7B**yre5X6V~yhI?BvWovkf-4k)&aoAok*`YJ8ms|V6(5^LtsiX_{ygD=QoSsk+nm`XD%Ov&$2Y# z+M6{l--ya!4^0c^O(n?43&Uv_HWM8tR`rWP_c5JbcmDn#=uXp!%cP%Atx6vsHg2Wh zOHe+DpA|CBR}TB$$UvYy%f@?SXqtheN*Cu^s_6XU^0)io-*DA~OA)lJsDw_*%LjBf zD9)kLZ4z(w?E_XI)+*tF_w)LmRg0;5vf-hi{iWWuT!Z!lBEhDm4t9!%Zr|JShd0>B z^;BE@mE*qmZ0skc$VV~$^DEx-C!)vF%u5%eiANDU&g*#2joP%$cWXWN4U>_%@j=Xf^rFc#*xqe0jE$n9PavD$JgFrL|t=Js0VPehf75(m=E(JE?54 z?``IXh-}dJ9u9p6utDM3=X+0$K3=seeSqg^IG-wAE87PvdbH!d8(Q7VvAvk(tPP*x zG>w!SE-DqJVaCK*gpWi{hQIA_(`%Wp|m zFqa2_9#`%d^_@w@x5l3PHvu+o-gQi8!taYH^J>;|PvgG&D1OynaGcy$GH0^3Q5&uj zog6K{;E1ORZI@T5I&0KwSq`q647x>PoH;62uzit0!~6d2><9Kv0`Mzv0TKl~sCiaU zsLRERM0EFgbb&e;dbN4-(Sxd&h_da z5ECJmDD~HzggtyFv3uFI(KJK9tpa|1SvuU)Nr=OPi*y!=3XYX*n78LLXWVcZx!AWF zW>QKnusipEye(F)*0>qeLYlreB0d8JTL= zz-%;zGM7RrA_WpSK*D{5^)!#ocvo_|YIQ9(j}TrjjtoqmL7kDZp7K6ALOWGb9}+J5 zc6C{qG;sUPQ!=+r|2Zx9^qi3cOfPmDl{~nsU&9$~w6$@xbu{htbW)LbLp`&^+smd(eW5UKa*x3aNb<|B5+fn!uL}CkatCFXWe-;O2)~Ex zzY02Ug4P{L>8=pPKYB*i?SA4f%^wMJHQU48p(dz| z{=XVK6L%>8e~nwpQiB*WlQptsCliLRJ;|0V*}{XYgNU(jnMR08St5iYq8`am_8Cid zB|I64L}Y9+_T^0HI@dYpd(Q9t&hHzp6mVjJW|~Ftk?YZm4uyUo_T(& zzEvB^WQ#O&Swe8IN~@mgO0v`wBkGpHXJ>;TAIo7XxN5&u?-}C|N-r4SJNsr!!JIwj zcv=16h@)3uFt>WW(!{&S3v1D-L(OSsG2$L}*R1jk*0VbYrhS|_)&j@+*ucWhPX0|sa{f}Go z4PCbQ>>qiyDO*U^ACK01m$4UVRkJk_y&m~W`WrG@=WKFnz(}p<^vkuyX(h(`yCKaA zwLb25o+Fw@-3%jb&mx4?qO+36=H;Gt9YEqeK=vqeUAr9fnEXz}LU zyaj&qi{^g*cVyZ{Fq<#$KVdPWEJVAy}XM!Vps zoJmNqm1n>u&-%!z_@DhHgeRMI%DoNh@9oDv4Sz|K$saC~*b^xQEZC~e0^GT&?6l1e z&CvHBmxGF5Gpn1uq^(O{s}JrQ`*`|fMbm4G$V2<+eT&bg8=ptNSUSHh?NAxzi%a`i zQNQMvl`&=?AggyNPEEP0ri}7V`v6LL%g!%u^QT{EX#ILiNoE?+ml= zmu~WG2`Sd=<&w-OuhpxXXWI_cK>?8|9N)f#|2p&doK{Hj;dttS#8a~2czA7hr%q|G z*T(9};E^>U*5HdS)=73KJu9Nx zrzUrP;6{0rx^+I7^4OC>;9xt_z6_l-I1&og_-|?4#qJ)1ggKACvaQBsO#;Heyw)4u_KVoy{nEW)yjJ)pDq7 zYjPOTl4UD+ z>{?NsblI4Dqaqdcv?KCt`6o_UuyL#=;>KFTWiOO*kTz$3!rZ0x`ee|r_O<9@|I4y($3_Wnk1$Re8jW<-8ln*238A7hJ zRFdmKSJRa}s#;G|O0#^au~pzJ;wY2LaAx1#d;YG}K+n4Gm!uATD0f*TP$sP-ItU8+Ti*3r5b0-8O^ zFSorxPT60*9%DNq9Q)JU`Sg6(9M21Ey;!a-kf5yqdg9*bn8^iFe)@i~aB61H&iqn# z!92Bk+aMz1(Z@<{1MlXS&r2tbxvQ@^OC2+8-}FDf)49GbNs9F|k3RMG^>w&;b*a;F ztKjG1e40H?pO71$J;{~@$F0JCl>6v7l+@O^M4iwaYMT8?Z=F?LB=T#UeS9I#ceJkh zt;y%qU$LW!Z;bn@R9f({fy=miK{mwvoVTU4b2l_%s2iV|o{qJ*`&K;Ov{iQY?b~Bj z@Z0@*Xg9j!OIv`e+e%%~XdWFZCdO(|DoSQ0f4R}t=!)-Ue^d-ynNg-|V|I6(+0BCr zLR@3np;K9%j}OAn@6d~q3&8;IIF}n>Bja3{BF99@S0)a1o=G{UmzzpIw3!RmS`8PE zC8^!?iN5eg>pTB;bZzu@D&M}mw&60?eYaZR!O!k#O6N-FV)ZLeG-dZxXA=`j{jIyG zwV)>J-Iq})Oq&dO=*iNWUGKr2>4ErE_KpFSVrH}s!>i@O5bSP#uuD1~)XI<_<*k-OOE@;q!diCwC_)q%Lq$pu ze#2h#nK>0E;YGcvu%MY$ld>#*DjDk%m$skiJQ>aO6kiuFD;Pj&J}H5H39s)<`nuGX zzudNT!!Ab|r_iX2Jm|l^(}2+em+t}J6z}LGf81FPJ78?q^LQV|7M&Q%?;ORyn?}`1 zuh4aj>Tlg-Tq$7Dk1{PQl)CbMVgGUD>9%)cyNPTghdkt zB_~tm=cY3DQNgM5=a4O(voz;2N7SZc6l>%BjF-c}?W*11u*&DE`}aaGt8L75*`wDF zR%BBBD5V*NCmRK>USj2!bS%Dc`K878iH-|}rGO3b_#)InP^sWA%5v0e-kk`ZOee>~ z)3*?7{pdNeO+cBGDB+0N>+iC%ovMlO<}Le6FylroL2j|Z4`H#6`Kr-j;rq#B4inNn zigb~l?yd>n__o4oB4Xz}F*|$l%;Bq5OV^o?lT@GcSIZ6vIa+aq3D}t@`SC`}8i=h| z56IfTo~93tst@)xo?FZIjG!znEUw6zxB1N7J4LN-^7PppsizkmVc(x{%I6YG6Re7V zxok1xwcj(%vEMYeXj`7j(a7XtPtt zObMe7lp;{6;1DeL5`@H?o~Fo<#2K*+zcf2Rqc}fiiUvuX0R@+7ZUI@Joj>5{o?XS6 zxj}lQtgxUu!DuVF0k9EARmN}t zDF{L?C>DKVD53G~+0Z8*1aRmwkzdn$b z{Q+FVnYu!1L~&(`3}A`C;=wW)+7vp6&lAC@lgzkK34jD0P17!L+RC3MA3aK-TMUVP z)UBdGVF7L;vDsia46SbqC$V#l^n#4I8fA}H`-x*1NhnUj1Avc}+zV21egLFSBq(7XfqpQ)(-<_#<^&-DAmJ9>Az`2%LBN4u zVGjC`Af5^*WC7vC4MiD4C>ZZOyD6annoQi&lA!o$|+zNfa$}w-#h?~Gr@G8*_ZztHP&1jXgE_($cX5nL{W87 zZR&G{6kBc_q`M=UK(+&G6$RKgtwhKXKh?8!BDYf^O7XO-DU6hLPGqE4p`n(i3X7l0 zYq~RoAaKNKDm2X?30d9$A9Sgp1>R2#BS0e1;iri--AaP^5QHQ!6-H)(V3Yo?h=Vx6 zy_aJ|Fa+m!9g+md2$U{_#a9Yrm`Qk6au=vW#42J~fqEq24yXxRkodzNZqdy)4cv$M zN>J!XIjrb5Fgy;pE93z=64Mka=t1wxOEmPJh290KSkas3dR=mU|I8iZ>O;Xz&jZ{UdwAh=0g?vd38GBSPwjqmqE1EV;d4HSJ;M z0E9eyp61~HChJOARIjUQ+8K;EDGiQF0XkWXl_-(`8w{;WQ&oTow&^BFVz+oFju$vn z@fcuc`Qu??5R7bSn-4_Nlhmd}@QWou#t1?RsDocTgUJTaEDo*UA}&<{!w3BF?*Bxu z4P?Xlc|Z|Ff&xYWa6qCi{R`rG+M)qw#E1!`gID3i-T~HF(7oV0oB&|U2pA$W&rnoJ z9$eUeYSnPO=@|+GN&E!rV4&Rmo&<)0B-c`=CeNWr(EuJIuxVfy3~dZq;q$~Xr$}a; zs60S|HMbRnk8=*bCB3L5Q|@wkfFsI?hj#L z41V!6hLePa6L3I13!gh=M#Lit2_O%QYzDEA2%M;Huo7442Aw31C}Wg?KY0e4!pPc? z2fk7QbDT87Nk|2@BClqr)GA|+X8fZ_;~?#@l6yc6U>A`oiqRsC(W8&7-C>0JmIN^) zNA#i7_&!mJ0%?{Div!qj0@rQRK{r_LQOIQF8!Nt#4i+7e4h$tlCIdq(=svIvmj^)H z#DB!qp0lk#11wrS9^jo!f0LDq_=lzN??}ZJntLixj=0PXJ%*vp#_eF}3%2QiA*-(o z#7Uf0q9_1s2<#p3Z!EP8meQiEI4B&yC{|GbM6x)vfnRa503=6bLJ}T=0Dh77XVrnG!fFRrksW7q$#Dw<~!HAMjT!bWmkL7Qf#;veEkHV*3ezyp7(1F3n6ogx(5#|DqkEBJL)siqNhvkn$aRUGDPbC>6 zND<#B@-IO4w?1IWUAdY;lDk_P)&4J)fG-|Z@SiQf)O5Mx2)->j$2AXfew4c9dQI08%WZ@)Tw1%@_< z&fxPzF-oLFrl0i2zvw?iDE&dUH?RQU@;}i-SFjRqyuF&xeW}VaK%$ zZai;G{EOE~D$|Birxf`prb5QBC%p8Af^B2mbM~3M9!_mFk$3#3W+1Y(0pq~YtFsDc z=j6=mp$k(i=Q3684jdYi6Y@G1RKb|DV`<&_>J^O!T4z#q-z8b?c8qEZXr2 zPbzh#@~`B?Gwz&c%_yFkoV_T`8)QuF%viC_D>?Z&Wpjt!&&_!-b1<@k(ehBtH#$JR z@Rt1aP0jJ!`9M`1@An2===%aj#yMT~IfIl-o#FWHXcNKYKJ@_3{~e_iX0L*a_Y#y;p_u7FNcpCmd1? z17)TfZ$8Ks^iOQUO|ToYJ)8RNxd^RDnY<{(vZSg9wwlo$U!5JeeC$@;BT`qE;dGVP z5)UZ9161-~O`?)=CKh(|*yNA#N87Wr?KeG|o++CFt(GnKn=~F>nv?L7Cy8X=*T3?9 zVT;CU>Tgmy_0KdT0;-5w8VPMVj3I~x{*`iBhWqm8?pv6A%#!4iWp=Tt ztUYcpoa2o{zqq*mn{k5n25e&{Ipoa*SfuxQa(Q+7_ED*aFMsOLOr> z(H|`_#%)VY66Nw!b+r*g=o%;aPqy68!cOz}mK~fJteoW1Qr4$meq>`km#jR|ofap{;RaW14Kdc~+b6Tr7`q?3h6Tv~D#2#ok!nkDQF% zPFN}Z>3HrKuVCp6sJG0qta}H%-pk^KUgv!FEo(0P{z~?y4e!!Z!^!M6`q!DwoGXX4 z3$YIm!|0>3!ZF;|h!$t#`BsZG-9qicCj{T&pmmor+3M zk6cl4-77uCrO%dxfU#B)P+YCa;%(BEt3nA$Nu9AcaW91`3)W+ZmgQaS1m%nW@82G? z`xBc@o7a~89?ev_c0jvA>*w@3JB}e7jzynA+z2Mw1ov5-%gsG)G`o#KTtH!?lpZr{ z60T%@K9k|VBwP*IZLM#VZl=lN1s{YDUe0d6{>Y{!XOi?Gqf2>^GtQ;khv1HXr1NUITTt%_T;+Hz-u&gX#729Phi&2fV8EmHIt-o=*39NqjAx zBc;_+nZ!ny6$WZ3H?U2r3Bt|}JiFlLXW8S(!Iap0WHjMNIOeqW0LpYRF>TUdu+;Wz zkh`XO!Eoy+d3VX`Dfy%}$xez}_c?4d(tmkdZ}7p33%ti}_D$KFgy>pGjXzQ9Tke!U zXA@ah|N3S1?)<*>wXgfK$Gut|`~r&J8QDCRHhx1qRZ;RKy(sYJX#UhNOP4;hP@Se@BJ{sOaZ+0WHcsf4&e&vi<1Kr7{PSw z)=p;*_I)~mE}3}2+LV^FC*x~#mreh&JECc}>3BWo%9HF%IY;%@;l}IK<;~sQoyhw4 z=&SG>zkaOHvAe=;y4S_~#X@Bvfe zMhF#EI(H@a_xPL4XeP&jRF1^9E3V5y`idhDK36R{OiP|*wFnUY#f@Il&xn(H9N2lE z`=;iX@X4BAzAUc2$rZ4myWSEdQX5Pt7Dne-#UT4f>=x{BuJc#o^vxajI2G(Ij$FK$ zbgR0qwMKZ<{kB6c!ee7{xcg7z&hFj?hV!BPgD(pWV`#w1NrG{wC z)9h@+#w;?DW~b(Jfvs#!*ObJ1!T`*5y z8Ej?rkT@QtYTTNq{nJK4xu~Slr^da7thL{GGEaps2Ml?`qLySy;k2X%T@*dJ?K9hf{{B1@J zX{9z2@B36V>Uj#uNH}+VwhM`nBfq%dFY_(<+}v;7NSP@k)al0j-*amp50`k~b>GoD z^8G?liplrChO}$5zj(?o8>ChxIUf7{HMy5hDy!*me@6^a6nOfB@(tH^@i+d%RJ4~| z#D&W>#I#yhhVlM#7Z*bv&*!S?gUu}XsiJiHT`9!(=El$Wdmm~7?dVTN#kXfX1iO{RWP2HV<3^!27HdSICVQ#CgJAUiE@${v|4D8xv0r5l6pKR_ z8%-V+(Q3+;s$UIwV>17~1iRVMhYZBOo+ZBNonlt4RZBPUw)e%&o5k!s_RaQudz5pv ztLv>lZp6Tx^+eA%xQ(MVq!^}t_$wvU!r^UPo#;nvj-_?Qh9`D@b~!(roLryXG3vT# s)at#sBYk literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.sig b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.sig new file mode 100644 index 0000000..6c0af65 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +c5eb7f6cdd530884c3b884e0a3668ea4 \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..41ae750defd6085555250f0d8b5170faca3472ab GIT binary patch literal 24690 zcmZ^~19W6j7d05$wz^~6>Nx4xcE`5uRBUvTj&0kvZQD+!zVFA(todhE?b_$j{14k5^geDrh&+qz9_1X;F1X(!fp7+l? zX8sztO7)Y-oW5H*dUwE|cW2(b?t`itY^vEE6z%k!U+kMjxB{JeiB`I4mGVZONLLM!e>YpZil^|EDj11jC0xO30+;teCf{qnn{`utJh zImlD6WEfPR;3SZzce;hQ%KzKq6dnK3r4#CmU7a>(ZvN7>`%I)|alzO4TFd(di3iHzP8N%S@LIvJsU zln=*}x%vt!-d_8u)(Xbczlu&Ry%;yYjqAQHdS0HVe?^$5Lt0^n`t~-(;LQ&7`@8tQ zdN4VZ+{Fuq`MXdb$XH#zmi=t4#Lu$9)7w1~S#vodB!9!6{~k*aObhP5de-W6IG-w+ z+^y1*#0k{Fl_oLcg{RyL@ zNwn1)mRY?8oi^VRyPF?Xz^#{3nD~Q1Kz+W+jo5dX&8S;a-wIKR@Q|07wF{QL8-jRZ zQhnzK)x%QQjAkT{&u~vdTErqhszuW3oZLF&Y~7d3!c@^)^@HX4*LMenPLj~5 z8>x*L6*s1i2xoanIeL9eiy2#f#HC-CZCzQ9%9F6;@LV8vv>U`}#7kF;tKuy=kZTcT z(68Z1)i|d$6)gj&;6}DM81o)q;OAfsF7sTtM;Y}IkkIsvpeV*>Qi^NB`sajvL?_fUu098Qa9LUu9kC~WCHpO9$SR!vk z27Mu(-%&DIZEa{T&zAiDpEEq&2rig~(Hg0b&KmGn9OYz--}^6X`Msh^Z=LG%I#BxP zkC??(L^Pjw!piCjaWhxJnckH7lWIhKecU*P($1i5;Gk8h=i_=-k3a@dBBY2<#t#A1Z8S>4S=2g#O&wI7~!grO%j~(<42& zxOk>x1JoBs!}Z8G7Sz`uqoj$?1>gbKpe~Qys#k;L5I|wi)iU{s+YP97Jy9^3h z1ln;muMzb4cB?xKe=|rWfYHccTnzq=HO!~p>sr|n!Df8QZ!!bW4j5Redh9sU0iiL7^~?0K$=XE+Z_d0z3j56 zUn5ZQFbG_>J*$8G_Ln~GCLj_Hd$Jic19O4nv-dTo!p;!7l2}DTo;-!;2J&ivhW4fqP z63xoHA_W+*F2FUe&OaBUrE26~5}=y(4dx7nVSeLXI0Q%q>2fn!*b~G4#@l!WOXPU< zR#HjOF#ZgZ|MiUxQzaIAMDKsSf30u-S#R}53eAuG5C@&u{{?Uq_O~3t@~XdAPF^Y+X(ZL0=(8d#l;IEf!~O2DhyH?(|-3B%pv>khk-BHHlJ>l?M9pnu0`d$c%H zCL^pWhI&AcwjNkMCC|cAk`q`@Xs~YURzd?_$&-v(pqaP0(kG^-@#EOQIuVpK^FI!& z&QV{TiaPi6ddQ4+GZ>G*Phz#WO1L2*MR+E6_d*(=kzE<|!j~5hLPY643Gd{<+~?=&GQO>@;w)>mX_S;9S1Ze2;l=4H-EbQLF(M$i>3@P(iN7Mek$JT}4A z0=FzZ)r(Qn!3C*?#CojZI)VWxjEY}&CK)t(t@_38axz?)T1(~foVCq3+{Ly=t%59y zjSwc7S>l9zyXnXI3J%_Dqvy_ldLB@&`zn`MHRscRzKxRVCdqGom;hR?D8nG!1d`3< zz}UGkT90%)I`~ir%DHZE6xbX8n5oJlis~!p5ayRs#;h)wOjeXau9p071Z2hfu2f~< zdCX>NHE7InF;UX~3EQs$K^eiXCe^{c?6lCJ%18mWNIn{x6>X=~_ws8;sWDeyj zo{~0Zd;H6snNg9>-bjM~zrh}d2v$FDf+tIVlsGe%tWs`XHIs+W2zi99rjm;vb_^=P zhp1DoZ--!R%}08nv0z~$HfOE_go+rP#czRR<(~i%Q?WTNIkkegpo8|+UJ?#XiCLf9 zD_DOU!hBqh#0={okJjJt_TUc^V~H_8>@z}XfnWuK7YGp`WbC!VmF;s5*#PL2Sw#+8 z!SihLnJ|pA{PeUQc)nnSzdTKq`W1Ef5ak_i*>na6=Wj5v<@+^(f*nxU7#w7Mz}}p% z>(h6ZAD7k(@BSDgj<4r2TeYWxqIZ2ogbs32P&FPjlO#*Mw|~U#zG3p`ASmZi)QD$$ zOCbwUQL%qw^GsHnJ5h=(n9aeE%4elkl9cb`Wfj|>#n~#~XZ~5>)+1%BribAXBdIyh zV@k*6gI8>D`=Dn=oEfQy*z=n!6nFfa@mXM2uZ02ffs>94>sN8RRE)S?A^~8Ef*a3A z){(6(905B`<$RZ!Q&eswL`D_HevakQ*Uh19s38z)~eH2kjhRZ05|eq_bhsaKV#V&(jEVmk6*AZT|`yE-rH(UZS@A6 zV6u(ME|iiIvwOOBssMQI6!XjX4wfALWkrL!!+5TtgM%cDANc#mK2NJpo;-LD&2i0{ z&;g8Phv2x^9=4b-lHlzU)Kaa7cGnje-Ooyv9Qrg`DpXdu?s&gJbFEj)y5tT$OYzt* z3N2OYQ}L9RKb#{{%N+c#3oQwby-G>L-i=K)_AlDhRrjOIfR3WiYf(mWySY|~{e8=q z#Mts(1%awiiPsi9hC`r`6pO#7(rsvFcUW@OpqLXqhU368^JFZRNcHYMu!@1_@HX*7 zE@#Sn>TqwO_D91@ZYtiM^v=2GJpA4Ye3~t7YJMtO#>j^)_2ibw7OGtD_;i< zR~0G(tcnO&)&D)qVhq<6_Y|}JQtdyfBp<%Lto&5+C0EOO?wI)rCN7-l9??a66gTLr zdG4UYLd%vg;B^EKZejLvm>1Uuvi*!7@ABV? zhVDtjXRBbvcD`k6i;pTsP1NNBTbjt>o16-RA9X;ls0!`h<@gE z-F*W%#smAlLj;5I z*V;CwxPCAKb7@5a)rb=XG`TSa$!iaJ(#G71DUUE|!}PZ| zWv%OIVbVR(ZblIp;f;kdJ`6B9XHtZVPwq-ESZVo7a2<8AKJ0%rb6kuQ>F`&>5?FG) zC_9lWb8(tX=ttolVXP_QItFxr+#U^5)BxfDV=IwXtP?)W3#!M0ayLJ*Csc1@F~4NU zXHl^tZlf;ZU+x4r+Itqiwz4SJ4U`z7z;!^34Z24nVz65x@M3l-EMEdMarTfl3^zq5 zL4T>rjesa>D;n$VPL&M}^vN!yX#&HP;XAGsRb!?!wUCwj-S~bZ9^W#tcMSJ0*b@T< zKcE18$HdMz0u)G*SqH+tQYS4;2o^kpBG9*(|J1sr?F?A?O{`FZlUx5r3Qv}+sXjXs zm6n^oagm$1cLuT}kR5<*2V`3yTLalj4*u4H==~ODIwI;u1eHf|7P!}=1NZv>$L0@z zi|JcKZY;{2?_ffzsb$v|Um^UXl38Cj=$Lc1aNt*W43_WMT>1rLxMQDE0fL||wNhwK z1qOA$ylQ09E+viF*v)(rndys^bUe!%^%&?e3O#ww0xDpyV$j1FX)g`@Czp!SHSF+@ zS&R+I$hk&=jUity#mH@s2BBDz_BP|lT#Es?sv=YxF4-SS_8H>2I}LGMiscmS!x)N* zq{eXFbYY#?=DM@nobrP-$M5$%b_Nhg!IhTOH_Gyuk5( z67Yrc%-hTI>t<9lBN$wA^EsGbePrEu0_1q{W-Mx9VpOvk$|dm#)#BOO%E>I8)x_pb z%7Aw&ikMRQnKTLFl!Li|%Yu7p%U$L(GIr_fg`2c1WNy@}Z z!qU}M*B1tChey2W4PF1=`P+uver!E&%}P*93I6V%1GFi zSLil5L!irj%ooaN7-e0M@j??%EV^?+{TjaxLDo9Rf$*+=^nkl4e=Hr0i1fPe>E7p9 zL)B2pB+K3r({#$FI%P(56z#N+>FKcg3)MX6Yb#-}<&wmvhvfTg8F}4;Y_lg};H?Wk z%x1iL$T84V1F6y)4NdIB=Of~WA)uwN{%+YxboS`a+TMmw@?cR z`HFb2twidNM`XVu+ja-{NknrCB|wEvZUrCKTzJI-9^+LYn+9~tkbI!PbO`(22e|$r z3u;5H-A*9SKb+@gcD3_Cs>L?jML@Z@UhrVLLcZ3pyc&J3$D#%c)Tips&__-b6zr?YY}$=2dy6u{~Zpg+zfHt6n$^r3;yc{}LVLv|4?c@7#!g=TvC9l(s( z=$^H|UL(U`Myj_PfXzVhnkl_cdG=2{P~aDG_x%sB4~D1SBAqEA0g7491{b?$?1eNa zk72I}QD1w&e!@%4`W|?uBR8VQATO?ia93rAT-b*J;m#tQfFF?+e!|!x*8x0Z(Ow{j z^`Xp4I_zuzI9@m8`u>g+w)Yk+KKw4zqh?JPSXVWkW;>D^Vj9#v$mn4`GZKlMrvaH+ zud&Xy<948iB;8K^&OG#b{mwn+ive^mCzcAa^dO%RO$XwY+DZ$dKnq|nbU15#xtWur zEa{(UHROJCKQtPC&prXwm85X~I{OBk zv@GqK`h=yeJR&k@W2Mg4+Ctqw8!qbK_w3?s)XJSSRMJkFdqN!`9csGQmuB3Q5!B<{Ib-^=8`~m*$p=lIi=$QztEL zt@LJ7tmP-A8#$_R_EgeqE zmLAL{%hsnNG3qjJGqrNuBYUIis+D zg_5jRxb$7UEcE~Gl_9U-q0|#)vFzuQb5ReLB6S(SGU9(gE^)DUfLLXD?0&$RDZb!f z%H7|zfU3n~E%Z?n-T8AI1-|x*1Tdrb%Dv29jl3CDb^XuATgm?#A9NqDrxjr;ilP_7 z+*>@om5{@MXmNcuPv|)%cTc5c7vA#C5!!n(kEJ|wqSP%zS>H@<6HJB9&kptrUPq^K zK*>79reA9fYT@MHya61I^EMQ|e2~23Q^k^rwzAXv#p-1x{Ul(t>1mbz(&nbRlz(-L zF2QTLgRb}no}M_sfHTP(t^I zC1wq}y9e*}!R+!Ux?ns@(&mjs28y~)exDE{&)i%=Eq+KX^KKW7saC43IfYelG+^Z!198Bk`zdtkn9YPLGATYVCiBa{n{aP@(1`BQD&?PtZ z(t}Y!m_F?d(1U8{uyRNR!?vnEOJsn1SBm1d*4r`_mF3`ICSCqRzj%1GfGH$azm`!x zDZ}$mD!Z6wS6gUsKI7Dgc{Y{k1PnKo|M9vpG3h2^3h~%1vIM^&%?k%TTbuVST@i?7fIh~zPmfA5D zcg<$CEoQw@IGtqzkC}^YS&O@X#~isC0H|+EhPLUfw;%f-U%z9y<;7xi&Y4$hS2vF! z2btklD1<*0j}|D?lyhKI2xz_TTKf!Q%lMzwDw#GQtY27pdS{LPo~p^7@u_BT0N^?%9%Wyx$on-u(7(YF4T&LwJz=XK@avczP_0NaQi~(hLy2MvMcii+b z6ST@uv;JtoE>qbLOr?Iu4{>8RY(njFs5K>Ra&3ab_~<^sVuk6)(SmxKGH1H7ptam5 zk-}~@X}YDg4^#zssut*nJHg#^fb~(hAPB{lu2!FMe|RdtW~(oZv&i&ItHWRU^r)}C ztha5U!3;c&g|Om$4e}NatH$f<=qRtyv{JQHly@bW`{kjDZ^$CK_BvjUFXWPG|B1p3 zbbkJbDsNAcS$(QWc{(ZtXL2Q3*$5*EeR(onDmNUR;a5VO;mkyt&O+}!&DAX>zb-zA zW9YEE=|7LVYtE`ju4r0Q0n{I5`jtUUvh$?`c`)as|2R(d=St1Xrj+Ys0wSly_a0C3}y8+ZeuW~$#m~7MLp~r;&ow}0b4rgA23+GSU6snFheB3Q^mHEDm9GN z8;K(Wk-21X)u7VLaH%LYq!K?#>IVv4KK3I44wV~89s;0>LCV4gZ_Nu2*RnpKCJa>w z)fKT>iK-S;LxFmDl1TV5D*!l(Yl|#n{;B=REC9fg!Gkx^m3^{kSeuKB37O|~^J*Ae zP|y{P%eE$ z8$e@MP3cGP0uQ5IIXe)kLy+HtTXps;#HdCXD>PBwv2)#dIZ#n{_1#Jk(tDdv)CFrQ zQUb5Tynz9M?zIFpQja%366OvR#EjlwIeb2$1Pp zvR6z%gRKs(oHYu_TGWF?s~hiSEC_Qst7(n7K*8lck#$Q2^W$1q%8?v5;@d1oZSGX% zE?C#QTnt~|QTjo94ksVZRNN+j+dNn(F21ygP%Xak8nB=sku?2axspNrFfw&ZS1r16 z8UUjrMty4yoM}`z$C>6rz6z?Dos(+x$u~xw%-YhPWbeZ}fNs!9I8Y+KW=lQrL6L%( z9CxPr7K03vGdz6hDjp4>7g3{>8$nfg56$iD7|w?bfr+*f+{MBFa`@F zPMa#a0Ydh3tPbNulL1!yHlI#}{s}oXxbj6YWfM$yoZOJsH_7yPNZdhcC6X9i3CygC zA)@A`mfz?DCqWl^J$pE|#+DiGH2&ntv9dOz{(hYDl1sxv4bzma(%`GIK~@b)KZj5 zY}&CR%=w}WiBEw5Wo+=gP9DLxfi?oVh!QC{+?|4p@Yd3-w_G;!bRgK@kpIB zNuxhbmYkwRd&i4Kk)k||%Ya7Zi_Ks>gwCMJ28X9zicF9j?OFzf!!awT37PY+v>(IzZ|=kfYTEbZ%bl!hTTc!Up;m1Y`=!8}Tii>C7l_+{wSQu0f4QD7MoZZI1n z0?kylf-VTp{j#5Gl+4_!`dk*+iD@Q-Yd`#e)uOuYQ?PYu^uHH?t<@?m{t*)RNjHt zM8T}78nc+2*H*MB6%A+1=+GE>DfOF&y!e|F0&dmuBpR)aSz#na#4LG6^1oG=aA<-5 z8q1@XGn$j$r^pE{l=`ie-R(|o9!`or)vKaZ=}PgnDdlkoCLgyiOZ6I({LAh{?6V}y zXtNB#3V4CoXqK6bU227}Zna6J>78T%)xKKGgIH>Z*!wGqEm7*RIMUn#VVx~8J-T11 zt^2h9S8SNq_|(_LgMNpjXXcqV(%y{sBgS?`EImDrV{A0SSr5wyT!G0P2G+|h$5|4P z>cPJE1g)uVvAD+g9Jz9aewxKcc?(w^T4@_UB@F=RA!_p*$Cs6>d$RAjBF(VYp&PS|>1gklm@~_V!C@ za1JQf8i$~3B4Ih}Us6k4;41=SU$6gEOKM2_HjgH^zj+^4Mz=g=QUCz7hg&14AK!J-#rP#L^7`39XDeD^M769VXytT3^+vKFTIE$nT22WX9 zE4X(%M|LW44F#ciGJPnDJy@+}w@kbn-j%I~xP1h=W+KDA=dK_Pko zF1K~y426GOI6&gEtyE^_KmfU~t)J>A(fN!5+`*#ihx{$sfUkxyjdL0N*1PV11`MO= z?{3HFv!uSeHA`)Ka8H%#HJXWQKJ{J$mSyf-#zJ6E9SjMOji2rvokz$41wAcaIt$;- zztv1|t$6M|iZ*=h^C%=9p0mDVGOJP2BZMRdx7$iq6HlAC2w29hC z$mL^+kDvBEOTu$SXuy2*SM6dhbNjw>>%PHl1*`nVkm3}>SOpKj90*n5YRo9*?E_kf zXdqXd5C!+Z9CTISoJ*+Qj2R5ZC9q4KmIZg8_TNhAK)gtf3((9XE(?_W`L!OD84M<6 zcvU%HaItHS3U#G-j&*u6Qjb)B%816`SQX3&165L%b5 z)Luo`Y`~L>E(~kGkHDTbRM_vn?Ln<<*GeIL2O;U&N(tho|9f1x@v!{gQ`M0^s?p5r zkBe|!^bWsU{Mk8WX#?v2>!Nh_>xZlW4q9{B{9j@C+i&>40?s?Ze`eu!xjK55&fWZ* zS(hl{w*$7c(V(2X6Z@Z7SL%TK|1wp8paU?0F*&BMba)LXOF_^f?! z6Kn3CIG!UPjkw-h3M( zCzX6`7v#L>=|6L-%oB?+S9=I$>Oyg#D9qz<%68#71D&uUBM4OKlu#qVn~}`?do}ZUYe!N7 z=>k#tnH~EEG$Sn%%>rJ6hzcr-Z==FIO?|Rby4~K=aWR$d^Tp18@d}J-cOFVTGqHfi zUeaR8eFF)hHmR9VG9_vOI+oMF7+dZ|nQAb?=MyMjLPu?ng_)&1lOkZQcO0(!&-4FT zZv1x6_cIV&?Jf@e$80kQU>S}7GHNDa;$ZI^N*HGHrSB&|aJ}*~5f-N5;%YhDq|(iR z=i_azL?or_KyBZf+^$q=yG$SZM-yyH4(-)uc1S*K0JGTrBbj`h0W@xlD&&OOpiWod zxPqjQ*MJJDndB)OBv9ZMvBZ#tIt?DoD#_Q@OQwY6adbxawXtzdwA~~CF5fzjs)ZI9 zFv)dq@_9Uw( zGjQSV8i~l~asTtKAC=ET=z_8s{>AcfPMpTX0y6>5rc}f$G_-X>LFnlV{1D6{(qeA= zCB)N+DfBkmt-KNv8R~b=R)?)OKcVwQEf5lrlPXLV?h@{|*4lZj_!wT~NMW0Wdo4tS z>7fX@`}!)LP_OTXNwkx!=D&#(v)Wlx z4O&+vP(KZQctBd{KOKP9#JYXw`p`0Jr=s0`{tD8IXtALqSBi5m!@ZLpurVWL))$X} z)PvQw;n!DN_yzn!X;&`gm)Zgu(8`mOe2|RQx=0S^osfd%uI}2RMRf~DM;pZ2Rs04) z!?~-`ANW*6x6sAfAx?ME_Gy#gxI_EHe-+CX-tjESSZRL_KSX2|M|gOJ@f2Es9q7>^ zUz#@U5{kqzdpSO)Id(Y=5H+fpC^ud)1nwv}N>7Ex4Es;}LnMYd{9*N3>#_L$!Jl%E zE$eVV_k-e->3h||(|#zCseapcRLf_JgT#uN8(nwWI&!A+G=MABcsjL0>8W2o+Lvz6 zq)J;>Ts_FgtS=!9yIQ-QZMN`lwtIw3j~eoo8W<<=F4M)(b@?3tyan}1>!h|DAUCK) z2X>(L(Q1~WRPi?s&}tR|tGd^WF0jFm`=hAunqi(XRu~ZG1VO$ij@0``1MQnD`_Wdd zpG=eh%bZS9JHlq-qx|^G^5Oy8qo;lywlbfmngdT;!3mN4wQIFYFL|B3V)cIg-qIydD}~6P1i`Gi+0i;U~|b_enBI zfP9<*DnZ1Gao0keQ`@=^UQ@WS2}hZ0!b zuzQdW22{@X3}_r11dBE!C~LQha{K9C%rpHWqio;G6aq6F=CbTT-W}qN`Z-}{!rOs6 z5#`W`(7d-=o0Gii2fs2LcEt3KwLO}XQrQ8(G!R}@1LZN*cc)$S)AxhRd2Lh{%&zm@ z5?`gfXC~IhxLhZ{?9MhNhJxl@y^cF-uD=L+Oj;80F6^sn-A~Mwl#iy_j8VBA86~+D2uumF?;?X$(>shg<>$~ZmTyo)WwNdW@Ms&9} zx^p^K{EcNzfiv|8USlEK1Zk|9Ah2Fe5gmLh-)_~RooVFdXAIS$jd97T^~v&H>=j}aNSZO= zdWcq_GTC8Y(ds|w^g^<=RI^2v7R`i%+N&BV)QiIfkK=2W_H^qop!w0B@N{B`a-Jnu ztn8?7A!9`~oWckz$B)z~1-eh-YdE-EM$&voei+)AkBtgF5)(zVfG1XzWJNdY-$@1o zWDJYdl7pSsz9C?_88BHLrZ1==xpBECF!#R6N>xV2SiI9|5!Pr$^kTO3ci~Wd*&nWI z?JQD<6>uL9Hq?c|-j%)sZ|c<*pp9h(3tlVQXg^@mhReY3Yb9(f1oJQa0IRY1UFkmD z3qILEtG)2EVeFeT?Xx8yb2ar6K|a+FGEP2=={-h4?5Ve$p)I^_u$L z@GtJFw_2ytZVOONi=V82p7GXCM|PSRIbx-G7F0OuCt$4uCd&IBHs-zZVd%L~lB0Me zPjzqT6o!i$Yq5G53GDiGlyUFqut_%#^NPiMqBGK$D?Se!5ZeU$9LGda$hheg9J1X~ z5{1<4_bjmTqSIg9o>d(`luuySZ&Fi)SVbH8W8=t}`k_Os|+Opqw7<`fqtTV+S#+5ol zK+W)<{5JXG4qwO6b>eUNa2bio`Xz)9m& z`FGtI0$PFsP)178vYdc^_$2>}@oqPu1qX~&oh23mK^ChaUTHU!mec+kxwE^j9hO@J zI|dHWo{nR^@R%alda-_Hs#^y7b%7SXJ}wGcR|k3Jx*EGa8vGgE;MZ%qtwwyV5b(eV zoXF=l1_^Cgy_e3DjZ3W@`_4BeM9r}wb`j)$%g)x?YCL%tHmjVRf$IhU`3iJ!M%CLjrg!OkXMc z&xM6m9+Fyk7`m3|jJg)e6ndk|`ciEaH2406Yw&K}h;qG)8%A<}F<{akV<l6_tKjF_sJP=e~1Rv~<=*O6m87V9shD*O_@ zr_2kzIcy!j2F-&Dec6NhJ)B-n2>~7BK(o_@Vxdt!6cu;kd5TCyJ!prxQN6 zw}<`?p7eWWHqwH!UO&JRa^Luo)oxHA`?x9LTIR8t{qUXkl+2_>i#+HPW4x=(?o%uE zv21q3pbduH|DJJ5tx=yhnK3!$gw}97rBN@RzPrfoY1DwD9p+ABEI+DY0{Z*jePp@U zvLynlcwoZuyGlVEojvY22bWRS2&DP^DR4r%c{sl^H=)SqksiwK!BD}qZJ!qT#N6C#sZ)FUmGds!dwKrv^&-Oj%ttqt#Y zTwkhCW*msF`051}0++EKdzD&#^2HfvpbG)IRbKI?X6Vo+TX6KP_6M=Gk<_`qZ7uvdp}q76Xn74PcR96KyVS z=w&PKCg{s{(9)DavU?SIO!J0M^G?5fOVF&jianPt)fwf`RVYR+eMz}Uxr7FdeF@Ws zyA-E*zxIOPj7afU)&1YHm>(lMvqLO9sE>IKHC*6aSWD!f)(nVs1j=FEEs=c*_`8;tL%s8+T$-ue1klw;y4-b$mGE#w5JHE2V!sq z6@r@7a4DPwdFbeOZER~x^J0Fnpv+u|n=AeSheKi>u;`H{4deFY))-hh$<$dKBjxdG z+F0M#AKlSm(bZEqy~L+_z`)`Kofti$eW;IMg)`6Ya;Ee^TiO*8j9Ca#+BRrJw}EI+ zt3F|dvs+R617Ap5^7}!rc~jkTeXvJs>iMD1dgT#D|>NqGcwOwP$>jw5_f-ps)FFDN$g$yr#0mt8ECvuSSX z;gg)r{(SAE=y2)Od>uGuR{t(M^Db51LS}gkP4#TkWel8i0YoA(Ofn`O5g}(N9a{%d z#qx=D3qJM*cR!O!R@gN5mnW>B&Z6d$4r&A(*woKH2g`p&y}dt5$S~W%^ldqdw?I|c zj;}R;fkff`Y#Y^ikjoW-n&`{@EBQ=G!hnc1e<(_Nmj@VGFYiH-TOL7icISFIKMinT z0X2@0Jk(vLnJw?dlLHsUc)lBW*7}%aeys-Wx<>&y=uVTz@H`>;b#muY$=88pjpI3s zmAm)5wVIN3CFg{(C@c$>Q5|!=aX}xMA{W}6um~>GF1**r7~yIOXM=Nar;8ivnVz|2 z-QTM4zH>1puX&Z_!Bso7DJbvkH!{V&$SXwF7fnI7lWw2(Ynz&#JG4gc2}E`0NUF@K zQJ+g>nD)+kV?`%=#V%`@McM|w*{QA?TvNZe+LjGD?RBluVJ8P%!@RWGmf7Igd!@#! zKPGK^PvmaggJEu8PVa?DGxPnAo&m!e1)7}$uiR$A@pj7Xszp^nI0^)r5BZ~o*i7Z` z)vPw`68T{101utV70P(peroTu0GBZiZp*UGkc?E4CDu#y|I9r|xLHd^C70qxMQ z$&@_#HI@fz?a-&7Jm7CThq#+$dlXmLnT|vI&2|a4TpC?4B_#HU{l z{ksOC_+WSLA*=9MZj5}BBQb&}#l~io_pToFQ~&)HllI{TPMle$%X@qsQTm~`gU|6O zH`eeab^n|m)g_pQXUg;nqOd!C(r{6Qvw;kT?RVH{SHiuTB>j+r{`%LXPE){R>XaDU<`~IvTTI|=X`-5Mk#5Q{DSFQU)EI6Tj|DLX0gif9y ze5&sF6u;nB(eQO+#&=FH+||5mduP#rA2YcxSon?Eg5vYw&X+dA6{WGgAZAdzAk_1A z0KVBm2Q9_-Jo78G(VZZ;cYp15y%2k*w$GH#LoWB@{m}wrnsPUcN`9IXOt?np8+7J3 z?1Y)o#Z7j&Y${q1dCNJY_6gO26YT$0+Lwnz_5XcavW&(~W{e>_5yNPZrA^9SDNC{( zYcWJLl5J!fvR1N(O2|@d6U)r`MqzKJQ_EXG}jRqe&(35 zRIiJ8IT_9fZD~0)6@>;5rflh~GKs0@g^X%6oWKbE7QYaCd@3yh712qrPAMPDi%T;f zWcu96Hkcay6>n;L)3omW)4K{6y4+`RzMqmAKL=oAs$C_rQ{A#HyaNOLJhgrM82n8R z(hp(q3eJA-U#M#qlHpelOw z)*1MVZ(kXDFi~(@fp6>k3L^_NY2*Hx@oP6(=xRc~W>>yuey-jNHnFhtQZ`xX=a1#9 zhXioeEIe=MEfpRh`!*(Dzcl?ws>thpynbF-tIB~59E9oo!RkAw|I9Je#%JEdLx1o} zlE=Fxg{}(wvtN|$EUbN3Ytp^!*hZO!Ectt`7}#;A-#G&$+FHMzBMW+bw%bVRXc51% zcr(m-rs+vg=w9EOWv~)SdX_ zew?A@8>`vbF3qyb`o5k6rNNvgu*b1qBDP}n(31uoR|`;wb8p`hH_VDmV=>7rw5Ptw$<)zFnw0+2yT9=JCb3r{@Q|2jP3Cn zdiv$2DOxR$E7e?xex;iitLN=y%OrMnBr^!^2|s?2{(|#Idx!9mX7s(LMnU1 zt(}tcLAi5khjr}GuT10T-?WdgU{6i+R_oQzXy+Mkgu0s@987mxrgxrRPxaF}^HId8 z2!4;j*srztk*;io6sH=TN5GH5t_t;Zb9*P#;Mbb#w@xynJMPo=r!m%*f1>YYclcWQuE@Y~7Z*3PkbDEd$6!55*EQ3x>6)L&8c)WH z(%rbhm!+xw)G&^WD)FHdVaG$Z=?|MVzc}!VyX{Ll(6=G!?t4pvA0fr9^qmxmET8nAGncu=tIn{ zHY|`iQW$eKFX8&7QL#*}%bUI%DQPSjdBZ||da|W*n{bCMo1EO2m+&btW9pqXFT}SD z<|J+H$xQAOf!{OugG3oZbFIHVtvPwO#glMN)<~5ZKYeWLkispR5Wk+vKG6NWEEn zz7*;!xACUO$2ZToA3B%DTKjswu-3l2VzH?7_({X|lw*^SkWc29ol}ovHWir)v$$tf z5}m0L>nVy=@77a{S0!6I&5+oQc@^uCy?3GspFi3*_NQs9em0xGmAb@OCxZRl!_>EY z;hXQ>7oBJ>_o&j{5W9r-BePm}w_IL~eim>!`}?`(y6jYc!c>1&nt7;x|Eoci6>Use zB#o2Opx*OCOf6rrVbk1aZ&&~ARiCO{Io~t8))E=@?ZZ5w-scrCDoT5oncIcc=0_jm zzm-`QmGj?zTNJRDREqc3Tzynis@M@PVI7`!SMg63)#!f8%;b4BcWdioI-;*~?S4v` zSj(kb<<7O2_Ua<5mxU@aNm4~w9BNN%*T4DRZ@M0_yejbf$cVOrJ9Vv5`yA{LmMOKf zJkYgmZuae6W7id72VwnGdV5ma*FD+i;=8W18!6@|Tupz|JtY5Q?(weUW^bF-Opf?c z3&S`9a5HauJ_=kJ@=T%6H@TYYLnrUIh6*1NxW#Onc%7|Qu@Bpon8kd#53@In81^7~ z3{=Oqbb2tSUUa;{j~Vaz_8Tzpb#H(A1#jx9l2@5#P9wTcar+Bn?Oi&9DnxxV=L%1- zvkxm8t&hPx;*=@S-$bPGTy*D8@CUC1uOn zLD=HQbZ0)B~rc9J!Y&*Z+g4U4MaKB z&_5hsEJ_Lg>@4rar?42u#QjDOo%+-8b-7dNN>2Tx`6)lnysT7a{4NsYl*yNOaxGKA z8g*VS5A3xTTt|2$#iVvT$PX3U<#3#9NLXQYcoA{`ms%^Y6^qNOEO+hKotYOtgbz+0 zgaQW??S0Pd7ods_MnSLlGri4an5#PJZPhqiTgJMFNn9X#tM5bfGfEBi&^v`g?|7m| z(9t^fSvqD{Y%XSXQO=u5;ahEum?+uf>2RvGJ7Tp`)U@fiXu9JCjiTC;*opY4noFB=RLkn+3ID)YvKv}V%KtmF zz3X?Tpwe;uVC#*GZ&nJ%u6>rC&n8Vz8a&4Z9of{Xa_ZP#0hk-PW*)B#dO_(FGQK)? zIq6^(AbDR|tQ*m`<5|t2f80`9t5xbQj&g-!?r@p#T~hnF1BP&sa%Cw|0GBpy*9HYU zt7%`;NC;kXs(-W8QKLMuEyCIwlB`AAiRgKyOxauk7&dpQjrtnzg(`xdy#C5TK|U^Q z*{(f#XCN5&G~DoOW&PXm;*zYT#M??-KB)Rq1Osc+r)jS7b>N{&R#Z=m>P?odOJfEn zEX{?#tsN=m%!*tIXQ$fRkALM@pw(Hyj<3dN$9(=Y_sHB z(AE3#0Gry*^NeLgo^h*WwTBpR22L}A9LbB~co>zykLv_&Nw1wD(?-*KOYY=F20WXC zB%H?$>APxOf0AH9E1=J*=U93<*DjcF7SEUSt`U4dvUP?IP+HEs3x~NO&7cEhTM`mhxur+8J&aeScJt!y z18%VNb`VQ4ae{78Trmq$4tI#h698Fu4`;}y5#yDIAjB+Gs4U0M(?z@nShP_$iG7opdoOE5P=-aQyC5vGE#3XI75bpRnY*IXcFP~4p~X+T?8OU z$}d%XBp3`=;IGUAq$ymOqI57EK7V+DhpI|KyF%9}aTq)oa1J@352=uI*tNPreTu0n zo)ySL;4;8Ic)C7>B%4StC{lBI2ys9HOsfYp_)pwMyCwtyeguK{ULt=nf)EB8z_rXE zXL5!lo`c%Whf4(9*wb6UK9Y$WB>xwB02WEO2kMj2vWo99LDU1g$I4aU_K(A$Yvl3t z3p^bd_$X7j6&rP#%+OqeBv+j|Y~cunP}p7hfd_cn3qdLP``1RlF=vh^!0; zdC8u#_$L63-KGb8P4aYxTq!seJU@_*tb7C>h0{(#7Ub8mcobD1Mx)b>LQus^J80A| zIzku+jnJVkP`Y`93ce>Txg@JZ(k_M2wa{SIA za1mfO+(U8!LA^vmyIDyBvItxPm;g^d1<8|5WEa$^xx552V9rSO8K;AGp~e@UZVUyG zO_=bk-pUxfL=K9(RTk{9ZsEs;0Yc*KL_}p4s7n3Ci)#k!NJm{EQA!d9ukL_qY>l9^uJ@0EDHd2f8$cJYDMVK; zZzY{`Fj^yc^dB+g#jO4OgW~KT?fxU{V6-+ck@N>h;1A(I9k`7#WJl&?B!7omLDd{u z56B0wAqGsKQ{>w`1iEY~Tv)u4gNCejJBTy%j{)QT*HswTtG`ITy;u zQ^G|a=a!K88{1NVKz17nI6~sY;)MVOWM#tN+2#NpqTp2VSRfry`2g&K)6V~$Z5aG9 z;BU^B44fe?I$5QHzu^kJ1R^j(LOWZr0TGBK@dXTZijP17eA%_=5K-b(6wg9FuqMI|~_-7p3sWs01Dy1zaS>s^U?= zJhD;{Q`E-^r=6bt8(N3Ro`>-Y)B*m=SRk7SWGp#A?v0?SKV76g{wnwsa0G618WJTR zmBA}h$?P;buYet-g~S2_wFdTAAb_UaDvD=j|1b;q=knyIuWb%b=Ryq3-XNPuF8wn@ zbAa?HN~(A!U~)W7z`5-|)!Aw}?Aic3%dN}m{EgaaWTzmunOrZ$fis;)7|IXk3Pi_8l zf1@+b0e!*p59xaUa=GPfQZfOHhvm@zC!aghaDpDGPnP7UU)Z%;K!HEz#*fiH=kplA z%8mSkzrp^6LKzTWZ)%GwrJNbaaM8|5Zv>;g!^C!4|H{;Vz>v;+GkU5Ts{b#kVuB(# zr(9&HraS{5z)4aL9Xk+5MoZ(lsBt{FWFP|8fmz@I&~R!p0@yQzQ~gcFEnFd83K>zE z48Dic&Om(Raa7gEuNdmGi&NxZ4yTW(L}Rq4aqXC(6Joapv3Lalg&-^YH2a~Dm8qZ! zoTdl4l0BvH2dOxo%0yruMr#2tHgXZOyxbu699k3jnY2g`Rtn*Npduq3Ir?<+*I2?x zwYo)Du-wQ+as@Bp-!1gW}{xX}k*c7tjAi(Ee}h`&U8x|7U&wDj5E2lB0C1 z;8lTXB<=xt5T1S>x??qYOLkA4QJ0CRA;{z~Ls+`P_k_i+{gN_Kbm>yl#5;zpg~`+A zBHSk9SYi0HQ9EK_i}%*y0l@*b5N{uu{@QzXL^-y5v37d`roPughIWj8ifL>S&5!=l znXyy38!)#PHd*a0{%Pb^vg~~5TD#qMmY|+?=(?u;c#!T?VEutS(T$F=>)E<rcL~4YIzs-`;gn4fJif@tvh(|F&%&yFN?D_3 z#HIsv>Uida;Z2SkmoEen%a}bQ1?pQ5BvZO0 zt%c~{GdEjwuCc^3*b?I%nU@8--TDvX@1xf95e&M@Yg_sB4-uzvVh@?~@NP*E>PUrW z^lQ-r*3Ft}UU)Zr_?Sf2&O_ zj;<5n6?G`C{0JrIDQ-M6yyG<3oibCk&ydGq>lEJeYE|-7kVd{||2XS9Z6|U#97w-kC6-{C|RIx05NMHE6@8=tVd!HP;0(Jk1~KRnvm_{_V0)oI+9Eh|b{OgCFM zDpq(Exz5=A?76zYX8QzojycE$RyA(Kn`v&})8fxvbB*>^x7ldE13FkIU~j$hRbcQA zqnNbzylxct^7sDaiKYWCa0)5m>I?dtB?xL||F(G%2btclrC^^~85i%%r&v zU-nw>o?TukF&dZ_v#FI2DwbYD2X1aZE#}CYJyvj0<6_bYz+&CKGdX^FRji(4<@;r_ z&m)y--b0xs5r7^Y&K8#gdtwX>`}=nogOWY)0#^ix$~i}WtjIlCkxR-0EpqPbTFq6K zVg3Et2%_DcNnYngU{|i294VK6SitDySP({RdKlUCkcXq<5xYbh&nRLaVQd_D^4Td5f%6iMvQ4k#>6_oXeuS)s8x`N<>o=e6QW(BOtDZoP zUb87XuGSQBl-NR?XULqPMzoSq44L1kVI|`g3}(LoH2{tk_DDNz9#nlDUo9$S=7MFo z`)1aa4K5WR6N9{1WjhpU=2SSom~qs9dc4vkg3Z$90(+uLoBD#Dw?&aKY z+9{;fW!y^Cn5)|@T(Y9(l-IYmRJ@k4UyZUN>VSe-Q@GtT`Ny7x7i}kNu+hI^KL$p0 zZB#_{V@l7v(au|`vQ?zrm^qnLwn(h?)m`I0X+5a@M^no* zk%=$Ga7*;cx32rVulqQS!c|Mr25ig^Umv!3w|(vt5Bu4-tJ)TmS5s_;)~h{q+44UKf{Ec!zk#8@|kQTD|q5-eQiMkt=?WW!M~a3(3h11kMm{ z<{KFYB)5r;Oozhlt4!?z4Vwqz8(a(w91lH~t`34W9A(Bq<)9)<-Kq>17E?e;=; zr?U?(nQO=tq<_5PCXXUMP}W5sKVo=ot@=r`%fgM6cron_X86kzCVfAh7cD?pb=&Rx z^QG;J-{Bp%$J*=0^bnu$&wHZf-&j4W!iFTDzt8u)ky<2}BozF1Lx`8HRj%TR>!``;3yIU4Mw;J90`QyFSf$A!W zle044a!pIl<=ce_zY1j#(3C7tEktThZEW*#^XDh}ro0Zb+tY? z+4_3#m5Wqoxt~p(kI7Z)7hpTxB+s(x>IaU4AQ1Hgi`az1Z z_y=xpLnr>TRomOPa3Si|(-+FJ-xRB`ym9)BDXG6>b7g8z0&zdukW1vH^ZC8XD(&e__1b>{YhI+wOIt1q(mAh#Y%yYaX`p?ZV`8 zK#Ig9siR&Ne84q$+F9uI<|$>2k+kMSr9sk>`_Dr^JqQc~*HsTKli2Dr|9`ANT9`?2%f0w(Dc2S&bd3 zb>clMltH6TffBh4`Jus%*nm^-ZFmE6b literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.kpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..c5a15bdaac6513e0bfd7a5073e150215a120a988 GIT binary patch literal 495 zcmVuG0001ZoYk0JYlAQphVT0;LViFs_QMv`i?Q8|!MHSdYkT1DLT79hYrvEc)GqcJD!lKA1!$C0~OK#*m8 z5f;-hupt^E2tE_ccoOfU_eB8QG3pcWRD^+{4@Ek-Na%+LOhX3N-+)z%y zl}EYAh0Xu~YehD06w-jlZcI4fn@H5e$dWJ;yb=iBDPdwHvZ0nws7?XY6V<_TQn8S2 znn=tR=+GeMnuj^QP5ATV#nSRq_X63VNi39;={DCG~gKcrH7_LwcxAkt<<-Z@C`AYOdSVsaMqoQ4q+`Fz1qADCvGS11$CB3MtUZY+65|LqS`GTrKF^Qk)d=9 z3=D*(;S_R7bd<6xsu6hj|(;EB2Le}uRHBJE_Q1No4cHemwKWwjk~j3elG)O z()RPx1$f+%fXwV^48bm3aB%3OeI2fsm{O(?C2Q|oWym>4d0E*dRTun{6~OhVYTwU< zZIXCb|t90C78RXXYU*oU1t`vhm(+a=;zBN--jEz>RvcJ@>~@Q+P# z>P%0OOE-(82|pSP^Q`}wGc$bgZf%zO=GsPS;8?k9aPi9TFZAgi{)Fz_yT;zN3$Z8; z>_>HiV02w4ZQ|3tjC=H`d&)RW2ndNCk??6YKvw*n`kiEgaRl+){gvf*ywEH!mAg_V zwPA6EwPjx^tc`zCB#?9eF`PYD%Tdo*)kfyL0RfaR>Bt|JvvB##;Eqnt*^y5;JTU8DoIY^b+4-OUC1BEMlRb%Dq|-fS2bnF!{M!ztfA0Uz09hF+kEH zIHAS1)%ET;pO&Zd+Ai>xt{c^H;+oxdxA(n#8@T^q5rAmeX1`O#)6buVxQQn($+#2V zf*zr%9*phRkG={d)4v3Rwt<^Ylg&<>3aeojedtB~TuYybe?!Zb`4I`%Zvnv{Q>$SQ zV`|76ef)ZZdrc#D3Y2&Y3CyL~OzO*y`#1b2yY1q8X-WjMQAl_TkMlSDL&B(J&N9~e zf=u}G)J^GWHEa2NNQ4(IJ@fVtM|-ex^o;d`qfs- zhk*@5C|ON@%<2{>*5kSr$}-L(dj~lw?J$scOuI)3?|?bf zermdFc!M$hY|Q6TtE^wv{eB19=+~esT{V@J#VJ&EzUPF!rya;&mKLG=;gPAj_xe7P z%!R*F`mPZscyV`>*&k0@A|FKE`@jmDiV=%cKUNzV35n;*$QxPk*{2H)4GVutho+Il$AN=M#%x&^P$s%a|0D!rP!@Z6`3#KG_5j-VEM0Tr=#0)FNK_1A&tj)S>QAeybZ~%ibl+ zcH-IJG%T}tHIrwg5{H}(-<((UXAtKJd@3J3 zXK9m{J%R_muKF=db59FcnHD72&no|g-)MfJc@6U1r5t8hRDz^?uEPAW9NCb0wn?W-&DQdC?xuFWsx9$f`=B!ZX(S zc7dwUVB>~;sBt1vc-$H0($;VI>d+Ms_rx0)=+(QHds=MI_s zdw6cLuoCE^-t%-$Z0~Djl=I`^*X%>>7mg$76~8UU92kuk^($q4+kztw#w+!R9Jv>T zA*c)OxdxIGPOC#vW87xMN`=H9pVtd=rqRXTDMID-Cw9AJ$m*X;JeIe;vi^u=IR4}= zjv@Q*vM)^f+8O)v4$be7?N&x<7xuRqvEfD2jZ9TFQR8VcZ{}?PG5VHGVO;v{=w0-a z9I@8UB?Sm>ZVD5|7k<$)of|s??I2Uyk(TKq;EcDD$}n=&f6VEAAR zT|8)nv&VPfz8E_g5aCJv2J%$IO}cr9 zMUtr~g2HtGAPc{{hk5<1zZ^TRUeH^R%$bOmxN9p(qFm&7;^2oG8+&s{CADD&NJt(Gb&Y3+QxpkAdT>9(5h`k?i##ps(wv21jg&mJ?=e}5W9T!ePk)7 z%5$t4y50`z8irkxb_AvnnZE<`d>&a=|6M>!K`$zFj*T1*0t4Q9Z>8g9qUeo^{X6f? zgdfjU^lMPM(R1iUo~MMQjbEuysCBxaL8g%BL+f*PdABfSPtWR&lzgL)kQ)b-AoKad ztj~i$9a@`^DB`yE#9>j&aYii0ORW)j^GCGRjkvJD^ z!!ac~B0nf-!VwqTvEIth7Ss4lk%6Qp!wd5Z@3WmRB3bN-TRW9JWU=P1E^|8h)hN(yHoT5|> zw#L%--2XjJ?x#Arls?yrt1@yqe7t;yKPUL+&U0GYb_*=mzA7NfP7+V2V=vE?>qa964gylh1=vzx+9GMjlk$y(9ct1($%j z((~ZZ4}Xdnd7*ng^$u2X(Q?+XWi395`F!`AvVMyfj&C#$6K;oT;C@&BQwSZs@wRgS zHBBOSrwVmHz;t%#R+ea%wo*BVGf~*e;JKjjr);bC^aDnsyJYbmSHPdG2i8tAu>$G` zk2=~!_`OzS_bX1vHy5c+Z;Cp1HIVZjc0yKhRf%{@JYiue5|1yhIPZD|1jv-J z0JVaOaUndk;m+fhlbbZJ8mI!zA77|n0G*3{!urZlC3pnQPauV|kU11<2pB@iWeCYxVn8G2ntiI z8-U{-J!L|}Pg31qUjL@ArfuVvDg4V!S(vs+$v8xJpteGshEb)4-&=i7<4W$8X7I+k z&&6_dBFE3v!QEQ^bwJJEIGwHZoRz#rhB_%5P4lQlep&8|G@)!U8ytwQ zFAdI#-;%5k=4m6?1_S_Zbid2+XtlUXS2P>R_5L@w;+grsSC65T5uzhFX2UcJW?Eq{ z6q2-EsTptIn=H&I_TB^(!{89}Y^M{bu6T$qt8&Q-$86iLURGY9g4RNE6s&1@{|* zUI?}!fbO+|qv*9t&kZk!0%Fk$@&IpWGQWGQN=il?S$!Mj2*`CFKkrt!zy@L;}#dn&3Z?q)J{LjH?Pal_ukZF z0|+}Tp2o>D%4TVoU^cx>J)B!98!M)sNDNG&;e$g}bmlyZcIQnn`=Tow+ba`dHx~ty zXca?AAQ?nS5DdWp1RsHra|nVV7=YkoFy)G5@aTZlfjPU4Ds@Kqm)7VC=eh`lMC1_$ z+JC36|2d5sVy8`H9Evoblb#1jN_HxesXAa`-lYrg=-Cbh&xOSHon4Dpx*u2zXSPNY z-BWbx#}1*a(^>MndJ_Z3dbS64@#jPK@HHTq58kt6pyVy^+Mj7q@EeO{s8&)C?;Jak z`{wDr!&p6)cYS>RrMja{V1lvw9PMVTyuH8U|4^*E9aKHD+BF7Bt-IFUWvuSayC!5}`2WS; zAfLm8Kz7~r!H~9LzSKUKuEu{Oo^&^!l9GA2x~|h9PDb&`?ucb z%f4MxNyqo7F`NHaaF+^pXwA=TCiWBQ@JC%cDwB?Ff1M|3n&u{OXrYVaivC4_$x}2T zd?E;*J&fRaLU_MLLo0B{7!aXN_n?zhbg+-N-pa?|@~!ev>TyvsoG)~zRY#rJ&Pv>_rwZ#H{PFuo;|Sc?+NMIi6eK+s{f%&3ic-mjTzTeOwoG5kSz=S{AC^0ke! zue$C#?G2C9Kd;;53q6x!WkX9ZuCnpgjsau^gv5vNrRn{z)Iij!DtH$z-h9FW!c41o zp&2>)bOn~);1;+m>>a|78sRn=suWdXG9fK+Y&bjCK}Z{iRMqa|s_W(X!YhnsarI=! z!ghZ?rN%z+);9<#<@m4RAg9x&aI06grw295KVTv-b;Eyg`2=S1bgdsIuBB-I}QOPWPls0b`BW*fz z3b&ry#aJkU7;45*LE1&Fm%H`9T4pct%tkT56<&&=jiqrV6zd;bj~_gD&*XIE5m&DX z+FhP>>aY&&rRZ#at(>-xm1rd#kp80mf7Rg=XT~#3!Sn5b*Ug0(^AooVaW7Xmtv_-@ z=5DwTDkVdbgTym8#tz|tMtC_Q!^ZB`q}Y&yRXlYf52r_gnlN%)<(Rs4hsZU};o}gJ zpEa%kyU)UpTSf-Y6Ab$NO)r9E_7}=Y>yen8J@LeLmrJkF^Nm|{Ipl;3PwkHnqC$g! ziSV03<~)}n7~=-G5XFNa;cPLE>njvEJF-8yPmdmOio?SkmfXVGMWdIGS67^K!hZe2 zi)@QIz4ci^rWk%frk80=1x$*9mIqf6?q;YHoaGBRx<_@Hy7g3$dZYlK36B~JqfMeUGCHxqZQxZX#1_Gu5Ung0P%PWc78>d(*15V{J^ z4Lcg}hkW#694c{48^UN<0t51E+$kNn*AHd{u1L{^N0^V8yo=mPHl?HLy@pCcE2Hh* zYQqR!ae4j;un&@jMV`Mx{1CRU$lU~0LbwwVrl4h8^#dXZ{kD@Me2u$n2B?uZm7P?$OSy&ZiJ=u>HRZP$ZJBccC4%&ne?2POj-D*Q7BU?qWX_g|3@WJTC}Mr!E8|IeibgI zVi?quCXwAugQ4ctP`f}JrkYt#XA47iAB?6iu;DL4NEb5-yrQ*)Gk`57xW&%=KCSAQ zUX-)-%2G@aOW*f7oh`&DrGF8*A@=qngBC0o@)k5obVr~6`=C-IHS3>3<+ZDn$*D1G8wo@jh`rl^lqy<$~4slxS07YYG#Xb+rwc_!4cpdme$Ot z%}Xm>ldc&YYpJ^MG`GZzH%%p6%sKmO&f>9AO4C)qAD8PZ(S36aHl1#KHn`U|gtUa} zs8Rfn6TQI!^ee7xjsSsIA@e@v&lv&qzXV^)o$LOZ%F+CJFUw?V!++VgQ%$W?-3?8{ zyY_^NJ;wj?Iv&XfbdnwT7HRSdZw}-3yfsZaHi&%olJ_HQ zFiT2+E5+iMWF1M*UA1Ad?~+!-WY&^}u_9~XawPsEn92NaHB=0Mm*3JF$Cuk-mqj|e zogKH}^NgkS=IsqIceqdsuJ9o=9f2Y%rv)b=2Vpe9-B5HiTmDp^_8i9c-4S8*;m(y{TdgvjrSdn&^;qrZa`6C}>k zsl&>+WYO*je-U3W?`1#vK6YJqp`L_Z5R;H)>9m@--d(0&-4T&~K89UfUVOBWSPNO& z;@%7mQwF~Fx2jPHsEnXD`8h(!Bo((!_Rfp;g8i90+Kus}^4#o~ozAD5rA&i1exdd)GwGg$hZ#69OVsA$ zbLztI95}=C(B}^{DsLR=Ub8WAXaxYhD07S_KF&p4^t!fP1mQKKUGJVE7R~vxrpB_6 z)rwU4IJImc3JmhI_+s!VvOZE8U3IRVK^nw4>Hnfp~tOt+AkPHQKFT9p=b*Ku?xpkzwMIm2`>$E8?AFWT`;{A z&p5xp)ntKtkUof}ALV(cb!#BQ-P|lB5^rL$W^r6i^A*? zAXqacVATR!k|+L-VJy}uQ0KmK5Kx+j^gux}@zRPklr` ziy$eKf`om&y^%|@Mi@jXfw zPtAIoz8PaW5e`Qri+CNu1X(RoqW{#g+|@NUWxn5jD0vmDq|4r-B*POV z37l#6n-qFj?{w}@2N*UO7|&?I(36%m0`gb6!gTKxx4_2CAE;AZRFogxVD)#})>?Bz zgy4?1f{K^LUnfJk9x4dBvD|YkG~+n8cRbD2plht35$39vbU%7H`XVo5-8nTf%3-nn zW;_-3zuZT8#2B{K&xXqp^kJxftRbtZ&q8jfc@=xy;vU<2wG>3P??$`!!w~*(VHBxniGqt8 ze(i_P>h45{g5`;PfT!zMPrk9GLfGjt`HY&6^)%zXxDZ`2WRw-Z9F!izYUqnyhNHjx zggki`;;$UU1sT_wPr(dpgxCY)PbBTx&c`d3uLARK@jMR{#@f?GV-K4?6&lC6YVb=O zaE35u^Wzi}`nzW}iqo_rta;sSTHNcfPdg0-nAbvRW`UDlkNm6Nl`of$^I6bJA@=lly4=7m}4FDSOX-&Pw-60K(k53^OsA}=OU2XwbYD2UGn-6Fao z>x-f9{eR6a^)}J%+OG#Iw|8B|j|0%2rmF>I!ECF@YViUQJ!CTZM`FT^a11;$6NXVDcC2uc7Cep9(Zd z@K7GR^%Dmh5#HRk`mA!m>iMX#?*+eWO*AF!Ui(5d8z)f}k@2`=W2z>i%?a_i7ZzA5 zhP_3_qpCTRVv0Da?ie$OaHBcJl^XLW^O27;IH;%^70t?tY>2*Qe5K5S!}V@XgLgo) zFMUQ_oBHrz;!S2^z-3!8##w1Q60k{#%N-rfSzTop9IX}08NC=6Q%U-*My_Drre$Ts z8%lF;XWf2nr%=@2DMz#49m8m$rLBuwz1g|KZF}rzaz0gKxisz~i)B@{ovkJDRru}2 zX8QY0mJUKkFIu?GZJFh*Lp& zdeTN6&b#RVEXIqkVb?EyA2wMN5{+G)dnG-}lN=D&v8NtPo34=0agy)k+_ zU-tXnG^)l_oB`v0npH?Ss6FW2suc)AOG%0#Vpm4m)FJHlSIxV#%=al|0li(cu;t&dpaVOWAMwxK*-o;*wa+$`vQ z@Q1FQc6OH{&fBhQ2jh&}Q82zyM6a6mw@d9a&Cn#TGkU@PKjjk+n_&8TT?7KMGx@%f zF7g(Y8KS&fdJBnZ!WI__VN z)jkyQs&6dKi%uqvCttE9tueTJvU`U7CTMI{fE&58cpfyu+Jd&fnWvT{3jK$y0oBc& zalSi53iAxQ-Lv+=Z8=cL|2$CBy_$%u@|?I#$pMB?YTS7`!twWV%!vx-@)VAFDDPxo z@z-Vh&F?Z{nuAfZ8MVZ4q*_p{QNBli!K_A|gi`N}JkQbrvACbzOVe5_WDnBjj@YHG zv0wADWA7OMwo`Vv%i4%AL?^xqP+|L}$e9FTyGhc$=$8kOZXh7BzvDt#wxZZRmS1Om zLUV&j>!hw<27mr?WioE+P)Z9j$T~SYIys#}a~;yAZIi%0@Q^ggMrkUt)QIZM#lpNT zF34w%{HaQuWd=d2xPcDF1;V1b0Fx_Kk8mLPFflF_%Y@ntZ@Pm0r6~X+AsJ zA_Uwlj%53QaOz6;&XBjXFwd^K`CaY2eEn~}=$@v3FXr+QocZTc6u zo7AeEj;pHH-09alPoGa3ADCUhM zH^0I+^;w7q<_-R@#~Jo;Flk!qUT)OoMkcI|Wne>XXaAEkqAyvn8u~RC=JuTph76`* zF+~SAvR4#?4Pp!ZUl6ZBZTa)uT>g#~ISENAQOFh3L$B*CiLnp1??_D7e2kyBmsiqB zXkaX6*~I~M20+ec&LUPr)}D(_F4&VE;k* zz=Utb>lbV%tYVtJp}p9TA%~{is0x!#oWHyaK%=YE^V2Z2tg{e(lt--Ao&Pxkru)s-JM` z_z0UHm?w2bmXX~h&l^kd9_)JSbk3Io#tMw}JEGqcfcH+)d}Gd(8;>{nwe*4LZ9)l- z?I_fB2+R;WLv-hwv(Fp}`lw-R)jX69cgu!TTSky}nW}TZd;US4<>rpEj$~_Gu&)3moOkx2H$gBK?D1tu5UYuHxd*j*3kEJ58SF z=D;d4-ksH%N={$<=*kl6xg7aZFGjaYEbvp$qJ~bwk%#GM;9If1tDw|TJQ#lc?>my~ zV|m&5Jg0D$RGtIJsAsW0>>KLGQ{X*O&k$%Z%A*2gaQSPpi#mBLgM3>BdQ;x+U3LT( zb$fip^%qYsiK^=DyNq%w70>uvcR=h0k zye0(2QNZ}P7A@;BX^3zv>7BABg>H2mt3W$=M(K-BnQpsb?p>B=mBatOSI8PvAg1)H zT;FdpiCWXsxMvKEjl0-FW$Mow)GwV@r$aMu4^!8dKyTF;)r!$c8$2K=XZp+ZpbbM( z8I^++YU`kSyOdUs(`s$QL{xpzV-UYl&!SCk+O$nj(0?47tK6qeu6+l<4ZFD(M#%iI zgPO9^`{Kx*GyVgrASGad}^e`*V^iwEQq$Rc7K?8j^!3<^H*veME+9M$*vz zmH`rMzMji^Y@4Si%7=R1qiCPSbplw=b_!SMS%Tct^XMQ>LL2kz1{c3aH$Ad^D7ddp zomJ4>ov_2SJ_8I(>lu0p1ojn;<-#<~!-N9`uAPky2!|Hz>|YozcUMj;^sGP7JBXQG zebVbnItz45U|m-Q_nEs-gw4yM7E)&g@;|O+&sNPv3^$5buvZh-tC3_Fi*_oRd1bb_ zx^q~1A-7SJXU~M^1hFuTvPvM!fUxetYmhgH(wJ`NlcmL#N*B2t+jyR^h7&H~87uVB zRUdts_Fh%*(%aFAc(?rWCKT*UrKi_*^U_Lj{YVjE>J3|Z7Ak%I7j*GeWc@~q;-EMj za8{j4evD?)ya!yNayArwt=ScS@?u3(`)r7@EE=*h3SB$*7=;zbn@(~AU+Nhd3ypiN z6L&er&#G+HSzZ>8^AToxUL-topO@dt%K!#;^3Le`@5HV&s7-Dm2!F-+#NRN(cha^H z4;@aNVxtRZ5BS8amZo(m!8AT~{XZIQ+zpau7a-JzS83**5Ke)G=%K@08^8S&IZT@- z8oSG@)~0GGJ&+S@C1wZm(r_EUZ2w$hr}tA$fYvvN7FH*IP4$OfqFTG=v$>%P$Zaio z^;qVJK+JB*saYECfde?()L->irmgU{K4`WIXrF`f$ripV(v`#|s*W^=Bxw<~HH`CS zp>X#2Fo4tHtW8Tj_*NF^sp?kI3>Q7MOiC86d-`*hOUx>3f$pa{AdYw{hbXDZ?zr#R zo<1g(-y^`>R6#gG zT!Szo5%;GId5-i+(4aSFVc(P6~yZ%8;&ww zWygDzZYm0D(}J-xPejm!6B`lj1Ba~q)s%we4J`uDfuS7JsMpI+Qh;U#m=%~?sqeWN z;J@r6^zWOvzXH$9#goX3pKCsu_w=vu9s8QS4R^|(r%T|47M@K`HcqFb005rAW~Lrz2>HX zyxN|a5YFOM+Yw*PVo6rau#@UZikva1#=Zq5F-U8nvHGLk3^34*fCjd5?DA)P%T0mk z1h&2>N zXSrP&$+-+(4{P2n3wtkV-9`-3+&Tp$4IY=&KPUU?*ybG0{66mgTDMZh-a^X`v(z(D ziZVpS;fOk+r3rsR4ug|sk%n!aeBVi7H!LfrMdHx?v0)Gc_7EYIBgP(UyNchlCWcn+ ziqdcUetXLBY*1tUw{&WqXQ%Qq7mC2VpcxRNyznOtK0E~*^j9e&I@}_HvK?`xjbJrB zS?>_rvmGYKccZ|*ZB0M)u0kc--c_@y{Gh%>uQ%B@3%mt$)WKTa(!>uAF&C^?Z#2uE zrNTDa?S6ZvF1Nng7js%ln>yHMyUl@XH0bBgNL7P+WpZwvJ&KY0Fq`N+;C z*M-*5It-X~a$~ge{Iq=sZMDQ=@hh^|4%!MtD&-|mE_fXp&}0|a*I-fZZzc+AmA2A! zd;bPeB&;;wHb|%4&XsjF=RDO#^_H@>K3|k@vr>Kmv9u@hNT^l7;UBw9Eq$sdaW?lr zw%jqtcU52ehQDNP9?(GlevEXSbQT7=I+x15 ze~1oF0wkukm_Y`rcDnb}+~`MhqItor0-;aV>Ql?ZiY)ym@oI035Er}l+F}ow|CH}b zcW-M7Aq})Z9(V=Ea~&0HtT06`?@>DiP=3jK<`zq@=B{yGkwPUMsdYW=)Fgo zgaN~IuyQL(jNZo3rR;y=;U>GLKOua;J!>WPzC#&3izd`v$I7TXMfGMXYg{N)aB|uDl;jzbdl>QReR0@N4_W;0P z*S0i$!0*>d+t5mGx?<*5Ta^=c$OO;*b=n;Cm(=MYNuNjG@f{qe<_gIJlmEEth z)5J@?2)HX&w<2J z++F3!4|uXV^b2K6i_7<&2CoT~lYSywk$*A-Vv1A`&Unv428E_$+pBl3Hn-H+R4}U_ za(7Px?93DiC+urP@`H&gfDl7zm@Y^L^D7NPuH0K|Tp9p$+ZRqIhn9>bV*=wL>-ma^ z@9=m{G2GugVYxit{q>jm)|Tt}-%Epu*85|G zETmsi-@YJD9Lc19+#RX%r=`@r6sF54Yyq5Uml~F+O*zkOm#SXf;XJ7s@6Y5TS$L0z z#=B_U&d(PlB75!a=X7XIqIRvGx5w;Dl^1FdkWNT(p<63)ynLprdY!U3p3YoyL&OvK z=DPjjLofu7!x?ukFA#?TyO37&RG2j!&i(mS&(8{^DlUoCF16n*_HBr->&m31L6( zK*8HGoiC_0pr*UPD~JGMsQ%%%Nbd2&L*6Zb18A0x+{w34V-NRg{Ma6felkLeM{z+Y zbo%@-f#A8cWdix$&o8*c=9Edb_WcpUOdn}UoRUe7oKVu9kF|tPkXpP}Ab5htm&LmZ z_Hr;N9K6}|M$qY=Xupn}uvqZ}-$7H)%_)0+1dC8=D9RrE1b}oM}gL2P6;ztBh)&YX2 z!5*X>8W)KX2E_$`nJfKM2*fB~F6a6+55e<6RVusC&roLLRi{us=I1ZjBx0!9JKb$^DW_y@k)^9r5sQk97c0JT!}xvfh~q-^AI zG_cEGgMPR+GU<=>Nc&gi_G>>1@eMAI6uPITf9X3?y*~Swy?RNK1Gj&7TpCj9(2Jky zU-sxXaVRc?%SL+iKT$Xcl;zG{wt}@vH&BlsHCjO6n~*B6IW_bpfFIzE9T3t%7cBq8 z)B)zq@rm%f*dNUq&RqRqQ2ZiC&r>=6q7F|&l273djjR^HskkTA)uvj6ieMKgS%T^OEKqw7dr zZo}C$Wr4SOFcZ2Q2#rxqcZ%l%0iw~1x3!B&j^qSzx_!o9YGP$gEt}oKW7k~bUZ!Gn zfK_kw{Z(JnDE$@mMcW{KZJ0{nh(W{~I20v{d$IeMWe?Pt)nTa3Z}NGm@r-_8OI2mq zoC-4HWT>>U_h>m8fP}^W)`8EoA;S%t_}`}TVb!m#RdyG-t`?m!kgHWGoCS<6EZ0}jq2$|~ys2%8*g75n&9L->{2_8&$&Sc{GAztZn# zin6=YpiBsP$YZ&R>#8l!k4@q*D-YY+jOUK(c<}AE+S+vHj?0Sq&v)C}R3KbfBDb@F zLBWx0yGCbc(#i1$#bVHJ*9%AqUV-8>*8P`P=&JIQO~4J-y+RL7Rk?uY7uN5>Ay3<* zFn9Kwsew$S{%#oop4nWN(Tw6y1}=yE5zSxWqjh40t}PAcN%E>j|~o1f#Hc{mc) zNn(c9vl%*OSPrqOp{zQ9#ESO?mBVVK%!i`rp$!HG>nsMZWARN@iF2A}8MmV;5H)|! zTE5)~XLb?b#((C<_uvPR(OcRki6c;vG+_C;S`BSCLr%LZ$)R;Lah@O@rw5#^;%b%j z0yje5z9UZ)&^!%PRvSs%3l^#&ft9JA*zlp)BFmkGvShi2ofd3hBrV1m>RKJe^S~9_ z!GD>UC9*WA8kvyjU>uKXQ{L<-^ zDZ+}@(IF480>4|%(dK7{y;3?DcX}}F+w>ZH2Z)E!#sQwg!)kP4%Z*^%;q&|b-H%g$ z5$(rjgXe8KbCywEVHRpvfr9fK4wv69Zskqf^zDhikn2(o%8E1QPY&NdBwbNU`fqG> zs-?c#-++GE#~pk?Yhx>;kT(T*2w+EMyvSd1^GW!Vh3^8}<<`8OSr=}cc~`Ks zno%7}HyFTg4`B;-RQhG*`2*;|3wA(==5zuy0f3J3QHHhd7+yO~JVEpYNq^{{&_nj^ zihs`XR3cNLbnf>+!d=XZujZhw4%1KLwaCz9+O-Q#J4LYK-KwSO zeW}SS%ZFO>6~DzCORoNanVkZPXPoNA!4+hM1$Ugvys?qn36RT#?OD7(C_`JVdtkUn zD#?y-fq%rO?25UUpAk?OGQmHX>94${))hD_t-kP83I4Tr5sQt@IUJ++TR6s>Y3>;_ z3)Q;&IF2Vu2oil)dtJez<3Ky?)iW++0`K4Nl1H(8_;#YIdfZgjuGu}}YS*q>#2A*s z$_9DDX&iq~?lk(|)5lAOw;17CS7=JOIQd=(`wQjeJf8`kb;oK zq_Dz;<^brTWQz-~N1o5+$X_aL%j@omy*A_eHtg7oF zh8c_W<)!!jACmx+y2bkO9OLisV`+ptt@)dkKTC{6-jpruOQ((SYqAjYO$=`m=N4SX z)+pp%2B}*N3_#DUDuYv|U-Ss^r%-v3+)GcK-X}xktNPB4-3{J{X)C-t6!6f_u6>?7 zs|1Y88TBXpRGz@((ZyMztM!+*noTVO+%&=5V3G^6_?hgcw{WP6@ME43N3B<;i}&YX zI{|Zw2^KH?OGMB;Yr?1JN7GsJ*`{iS>6H=@b3ah5uY0Q4$qw=rL^Ej;99QN)#tR_3syhQE8 z1^eL2B_n> zN*~dOL`!;x z_pg!GMC(+gbJ_MQu8f(g3cvLbYI_H4g6CmZ{_4ohZ#F@s`yWx` zG+1HpR3xRUw<2!&tZw<6$VI=eAX~O3^|Y8Hknh$WKs@j1XNphjJ?s`%O9rnQyeAU!BM~mW@iL?zK~q&VcJHYJeZlp-Xwuo?PLR zYNo!08*VM0g~j#DhN#7k8vO6LDMD47{-~z|{lDJ=ZP{{|_g@w6m&?9p|9Yx-=GYgK9(S7tChARHrP}6X_0vD&^i5a;ms7W0w*LNyD!<#h zRgNdzI7A+~DV%I`Zt-fcy!kwo%2XJ>x0kzg4mM5Q_o}(&&gG&zs1kIMU|)S*v$gN( z<6KxlC+`6UHH&SUkkMfBUjWo!OLFziEm1(%JYR5q-@V#}G23fFZ1P`+HHBxo>Fq!4d2hHS7DWE& zN$RH;WAyj6&XK-r-=Y`Z#4y0Gqv6L)rlP1x{0<>z!GYq>#vj^rgjEe&mHF|Kxw0ju zOqui2G&SE0zM5eOZRSeDlqoAFyu7hxU2X4 zTn`?c*6)*HEOtt~CqfPk5BHrZ0GKlh*zmEmjP zly3ycR}OSx&NX&bYL>z|qe12`Z^~f)%WzY62HEX|UKh69I8Axd3yA%JKKPTLF~xxc z`_A?PZMvtXti1C4NN39JkvkE40}1Ino@v@GD8TljTLiwzYOi*}o!{aOuP3y-j9VwI z#DQb48MhI9COWh#A8vE+7DB^x!I+yO2T#yC{rIeIL2~l!DLR=aaB&3$51SF(ELPW_STsoIf8bw)M zX%U1alw9=PK77nvtv+vGQ?>jq{_q+qGu^7>0LTa2Nz z!sMe^C6?7EgI zQzD+1quUZoV?xBA$)p^u!e}gT*p-<=%;oyeNOP~f2>*t2F0b4OrnN80Av_(Qzn6%2 z%_LtiDxDy>!qp}>mjh#Lejc_?DdBx)UALQW?Vu>MM{+lta5gmHzU_>Y-E(gy$!8lf z4z=oTC_8EU>-8bQKehs^ zwjLnf{&R=+`Lm#JO>Ci51BCqIU)&WOwvf0<#Km0i-P)c*lIEDv&`6}xPZnz8*}`*T zbmzbpri^!*>d#PAs`a+=Rsg1wa#1Uxq=}nWH3qSrtbcB z#i?h=RS=`L^WH)J#HthWbDWxmZ(Fb0eCa#=E714-^ZRlN?k zBpsAeWl^m6nnf;#-ZOz&Hv(ff>OwDh-c#NG5X1C3zCnEU3d_)7)H>bGuNzjrM%+l1 zZ*hwk6|ZVPLkG`<^lAh|b*0or4EgnHk2b`7r$b9f@*Xv%4p)+pt5<()d;Rk@@|*7Q z7QJiE_kJg<5pT0(Yc@*iiQV?2Sk~(o9H;M{AU|{|h8&2DJ?I; z-hc6HP|FJ%q>_@L{3i*j_%Ss;?BXpK!?Is?S?QDD$9lR&&=4aDzkq8K<|)tXEt#_4 zatkNt`n|g5Iz06;M@-Mi`8Br!{=kpF2jp8d57CmR_VfJ@lE>D>mMFdi8_)~Ozf%ZQ zs5id`tIVO&fSE;lTUx5^^d6tS(hNMzqbd6w``M4AU#M4DpEf+MdFzV{>t{Ds7muo{ z(1vnbJ^b3Of2I7p-{i7gpo?NHsbq#M;%i8D5$9F?q@{Vf{FeEJCO(zjV*(ikc%0n5 zC-*%4%Dl;L6w$DEUd{zFaUt)e$~?lC3ux*hGih~#6(*;UY;~OWLG#GaZS2BGPB`UP z(0VhB7`8NZ@~UqKlh>{{Q`LD<^L+e{f_^x)(17Sr1uM2a)Mk;NJ9vP3{ME_1z`D(C zlg-KyDO?9>*P-+;92ZjW3iSYy`lvZ#UUQvm3&yqeK8Wsc?`Nm~;j^DuqmSJ$OrC!E zY;9*z!ynSOysF>o9eu34r4%1#)sRhS!=%_^v!~}Q!#^~7^vAE0p6&UaGM;jHj|T_s*7# z-kx{0MICL0S9kp_P+gQ-aC6PqdQS|_tYU{;c@v*K(dcgR3d;s))&LfHA+dr0+TIepi<<`2J=Gj~_s?dZt!tp08O?Jj)3VboX^a$|8!A~^Tz@94Ka zQEnt$-ggUD7KTXtFS1QO%0E`8(+#emY*d>|atk4AC%@r8;x}8*Y779sGC$KI|{ZX^7*cpJNw+IPg za$jB=L1i<9q^KwXqUs1jzVL_R0m>F|i@#|HweK&jgbN<*2%_!lMSqm!TS3Uxoi?YR$a90m! z)2oYHIzqmxnoE>IX+E_?!mJtn^%M!v*BS1@31tk7ji2~xBX~&aEmci zP^gHEac9-LjT+lj^`_3W<4hc z?p!&&%C{vhf#97GDCF7PEf2!E*Z1NzLK8Soy{#%d7piR4i8&WF-_L?kp}r&sxTvmSfk0`BA{r$(0ls$qu7@A@ zH+}bHhj81|ZmHwFxL#AsRY8Ltr{}kMIn4lCv4SKs>oUum=dH!`1NK$YnvCaC#m=OP zedeQJqHX$7koiHbuK0^B|LH>D!f`KmM^&9!U;DH`woqY>muttDf zR8CU&&)gr~N}0EolU*i{8s*$!(lLYi_|eUEGScv><&Jx^U3o`2L>EUuQ|Bzj(vVg| z)$^(+xJvNS6F>J6sDH~=mFW+x-u7|Y7J$c`{d!qUo}qeZ;xywHE%93|;am!O&|WAp zZ;bodPZ>}!F~8jZR2p2Y8yF_rx6OD!bi(;nG zI;K;tw_oqXCGwdLq^L})uJvDpLJy#kg|2;EZl_S5lV+jW5B@=?VoUAxN# zhZ;{(R=~6KcNPajJc1E+-UJ8CzI)znQ{9`o8q2zuDO~66+B3Eq1~-C2zY2 z#)Vu~ND~_@93l0RDg;tkBTBPxa>?UC9&)gy$&PY}V#K}iSM9!?ef@^)`reg`VaG&N z>MD8J+L29kTMFGu#<=v-V*#0D5lDKTjCyU7t5BhEzeKv`qY#7SSG#20hC2GnK&-0} z=_lvAc~Mgc!Y6l09#V&utkge54we}U`D)$ zgbQ*|-q->-Sc_RMB^X6Oe;M~wS{pGA2?)7X$Pgz@QE}cm(?ArqXterkDzXX~ET%@L zoQ6;xkN0BC`K@V77*`T2q768MM2?-j9^Maz4%8@L?f6RcdP+|E?k(Ja!quiUC0c4y z){Kd%t2d)7rSKQeEMKw0BwTlQl1s6#swlhhv3~FsxoD8>yES2uU@clSgdEp@{(CXA ze=E8$Z%OZjkEX)K;RfYmv{oq=3de}S}Um^r|P|*-H<-jGt*3_lL?xy zhfxzWjn)@8?sSr_iN3Ur@Xghj74Qd?t5@+|-OUNGxbgc-(tzNmI*&(gPm7%~>)L%` z)YK0$DJRIVMkX_D{Z2@t&gACmAPm|?=+R_=&LH-<;O(CiLe+HSF$q8 zuo+(_WKdm)0<_hQ_;bp8?S&|X#Bg;^CC`xrgyV{rr4yx^by~M9-(nh-^DVJeV_zk< zXI{BFFlLFPtGbAB*F51On5Xx5ayK=MUYtV4U0W|HFgw}I+bvwblov*bntJdLrBr73 zgy@_K7k<@Xp{lFDqRn<6ec~H(qtbb+i%2GcDTERrG+2m}eo1nZuO&J`?AY&p!a1D@ z&XiCkc4OJ-vWe>)OxtuPamf2v$PnMy$+Z^Dz&b}YPf52ygSK0?+GZ1rrd6*(rY6zO zNZMLYc>cgzZ~G30p=%`Y3p1BgYwq`hfH#wc!88KnPazQ!4rBgL5{}__e|aMdY42c+ za-pWm%SH|aFkJX4)-UF}V^`tBGfkysw%nVO&_lA|X3u^3`k7GHi8ya+n@Jw~%#Y)WW?Eb{Fn*ERZ-p2h6PyVYp3M}R zz|@XSn9WF}c_B|4H~pQsY72RoY7s*tQfP}VEi!H8;V&i=ODH{}9r(c<@@eEwbI~f}$RCTE@?hN3ZpeGS715E5 zCivR<;{7~p=?Dv??H*j!;_kxDMb22k2g#Y`#?LfO(Gsz2-x6MUKDNHDUDt~2I+_bu z$iqf4rT<{x9amY~u=0LuFDOfDQkO0%IiwuN)cwQuF0y=%k1mPX{b7Sft!aUJL&wGQ ztCHR?R@fsu<>eB3w`syuZ8|mft#qzuNQ~c5tFY-_a{_aCqM6j(-uFA#9zGJy-XIPx z+@sp*SS7C{beKhQPZ!s`*>G;tkt%7hc2~6!Rl0kh_p175EQ3dLYL7?G#GDG-AH61O z)80U#3G>OIU_n2pLyj6iS4^*SE$-DMp}o6xqiB+n+G_b{x-fgG)kT2y@`0SVyOn+<%FQiLbj)@_AMt*-NSF1U#~dVcsf!Ue1r+i{0g1 z~YNkfO|#}97SsEK%^ULCFSWU|Sp3|agU!I{6RC4y->JZLvE zJ9hb2?`NAQi!pBY6n+pZm0T(1PyHoE*T@XL@1k-2qccV@KCf|qi2L;&p4<@@tL78+ z_x^rtNp#!a2Y}c0B>o&uVyeT0S$$jwpPQ@F6eY0*sAhNTshG&A@TPwC zp^?U_U6Cv}qkP33X`?{0qejxU0H-$PK}Ey|<-}gAr8Gh#KtJc&NbZ%Nt6f#8OMx8$SrD7f7{9&)d00G13=wC5KL>Pr#&34T zv40`ONcGUlSJQyUj>mV9@6zXFk&+@fWi%@TJQ^@Y6h5>@g65Dae%v+mPbN4L*d;*w z6}Vt*d&V!$)<640@`>5W2A&|tL~ulCR|a?*AWzxe4{{*vTrmNCwK6bHz&zshdeBwi z6FZy~>Cog&hL$2k-cxYHuM(H%4}jE&F;~o!zMnEUZor=do&`REsAyrrkcA@ENT7mZ zLPtINc9bJpfbQ@2$t5GCexD%jbcFM0yc!`4->3NPyWst2-XOri1ZYI zsh|}ZQ854$V)G$J8E9umv4Wmv+3g&Py1x!&UV|_EKUZ{LGlPy_k+X;8d;bIFv5XK1z8|i!+(VyC35o$Oc0G?LL~rL z3hXFYgYX0}tbHgsm^^^xK&62^ko+q!D0+|*I{>~zY`S9DflziuO^iLV=E{GA*9*`Y zVq=7%L@F@A(*ce?6WMAc_z9A4is3+x3F0KsKN;aEfImfh4|qRv@#rtX2+_wQ!pN~J zTmKiLeYR>o0T?H`_9pblz80k22m?Xd3BW|qQbZ`e!RGsr_M5-{1w=4)vY$i>EzU4jiKc6G6&Uj`7B zn;3fZ0TZeKIHj=Z1v?SRGB7&88G<#&JV0&=zzP5r%6vx*ZJ(kXOa;hiM>M!?eNu8T5g?mgF$Nrg!h@EdMj(_|REQsF#2ig2&N1 z0gQo&Gs1HKPXv#PwKy=uj>-X-AvW6oL_2v%z8>a2a!eSB|79 zg<#=;KL;7nwDf;@MS$)KCPg3IZDT-g^2441?UXhnpdBJv9tH)NAlO?NU8E-z_8*p} zLbe+(3BhXq`roqr7a%!d9`yW-xy0KUiOk-wzuMgT9}Ml^1PWn4*~4eToi--OV*#8H zS`Ja@WK9E9a45b6{|{=Uknj*ZWQ1BT?eWZ7vi}dzvN;qBL2*dFE@s-H{vr{41ZgMA zZt1*81hOgfdqKm<64U=N4agwjd3Z+kOji6gv+p_(6%&jTl1H%m1)xXy*ck)#{XbE_ zhrdBTC{%_)tG*O@7y|%h|Dui2N4ij`41k<{ zCh{Qro6>Nm$8)B{_$M|uoXjkGdWPwkkfJV_-9po4Zfu1gJK52Mu={CIR@@#deNfC85FYtH_H48@KfaC^+Zl&VQBydvQVfx z0f182^n=R?Nmq<)-vbUfUX4f|k?JHMjzU}xcg1n2B;~KC{rdM5MQ4vK9o0tzp_E(z zhPQ8!9mOTHcdm?v-);Nv!{A@A?jOV8UrQ7JZ=3N>Rvz$Qsq-H~fe22qw1-!zS=%lo z-xx!R9239^pj{c^`0S);9|eCQ>;TNQzSm1?H=B%v|0Y9ExX#=eo^pS&!jmr3ixn+J zfDHctLl#y9{O9CcoVZ;qxyu311M%PqNT9(Cgn}%N1i)*RTLx$Ncm61U?1H(|_l+Id zEgO9hC<}>@Bdr%e??4~Y|Fty7wNL!W&5D434^%YYr(2y2$i;1{K*GN>N#vq6Dhp_j z#}5dF2xA#Y#7F8~%zJPX;pxPFA;tarAFa-DEyfdq)&2#SfklIb5H2W;4gG}?l@B;0 zHUSI;5Xymy2E!pXCYYy48U}bIAlyeQSA849j|^n^FC8xtP(T#AV#4~yWnjF38;4>x z=nu))!aVsGbKJkdsClLtA3S2w_gWT5h3h@a9|uPeV~!Z%K3Du02Q;$7qrk5a6;lj1 zvQQAliyo&}d8UQ z!%EL58LW2li*L*-O=3Si%-0Mz)J)Rd-`W$$h_*I`BtOn4+91n5bar3NHumWjl$t9L zuRoIRd6*zpTk|VNL5l9Bt!k1u?{4(ZMN5CWm+YYr?^8j&ctye|(L*1(#G7OK0nu=!Sjzsx*i`QgdFeo5W+aE;dy4pXi*L$#)l#9K4FgWeo9Etzxj zfiFU-H3)Zh66nGmj&{Th2R_bgS52qBSb4*?%}h&H#Rg9Q2&*_4X85o&DXRB~?<%Lt z)Kr3f#2f1n!LI;U?6TQ?`8SWw`0RUobe9#ltu;8*9^QGubTglp&-Ox4^u)8ndt7rG zR{iX04f)Juw;hW6H=z>YgVyIZl^V4Oz2R%E!IpVz`?KGMyGo5q4y~2o=LzsNg(dUg zas)&@ZlcnMEhJN$!1K?Yj!)+W4RSe}(wqjrUGD@)t1$P+t}eafqD5T0OC(V7L4v3? zm@`34m6&OBhwOJhGr!?}DSsmA!aFjroS8^vdPW+KpM*yfSbFszoF~jBwxv=1wyKHF zROd#*g&k8Hof&@OuTv<#5;$I~@O_GMc66LV7!-(skXt^!>svi@ZdfJ8fXj zlEZw%THHH7Tj4Ksk5BSG@p%Ln`B!88+}B3#rtnRR#+8S>Tp#fhET1%;w2#&YwHOOO zO46kv!vrnSf>jbL?(d~|nbOXn!AUGne?u4_)4mG(r1&S63`P6W-N5h(inp9Qa!#fw z=^hE~nTRpn*F}opSovMOua&)1dt4t)d_&uze z0e#HgNF!!=9v48oiS{O>I+pw+Iw;K7>~eBSBROsNzFeVC46HjUU^FNp*L0aOhnWkp z&NF?k@R6vQ+vBa7(c&D5VU~WyDEXO>C}f7KMR(2a`^!O?pc?X;ZCC1R-Pbqivoq~4 zX*}W^zSo6cb7o}~ow{2$ogjvKq_EeWS*@{Ix{cW@{3zd)WshxR+5aQIXgbmA&Mc+s zGXliT@y*5310$~(2H|Uhw;i&Mo;=^lIXqz8D%M-f=_i!Vo~Pfr#D5#(@-mnZk^LCP zY{?wy&6k;VFG^D^Rr3imHqf%j^J}eSV`ETI+}3Edd}DdOND9K^Yo3L>;T22gw1WLlcl)4Brj?wVoc3Kz0D><*U{#*>Z$cPOdYd;G_@3d2!~xpT9v^J=T#ciVz2 zt$GYNoVUAzdZ>v{yK?HwWsqm;HmTN$wO)Cam(tUS-4JXt1SP0&C4Tqqlf-^?kI*feYHN8Kn)vEMokvY)|>M)@Ke_QP8f=E zZf?%s?K-lCo7eV*E`L*GN!RL)iLJOOZ3U5%D>JZK9ZMDZtmwHW7hbxqlIw@Pa~Huu z+n?+de$Pc^`P~hEJj1o-m2R1qDV%$Yrs+}EEBEi#w>hj^7B0l2ZPLecZRLJEpB;2g z!l>uOV<)_G2*ja_y>`W7>5s17GG57hmvc_I4gY@QUIJI|Q9^*?{u_ROvnt&Hn)g&F zI=|ITYRL%VOrR)Z9962@XL$SZ(2L*e%lcj%&)!&mqG1_EHwn>@ELWEIUof3Ck}E6= zq6*RzUS3Twue-2UCZqQo$&j+K=u;WP zi1_h1sG7vc2ds@cCY07qmPLm&kAD_)=R(alM@P^2J`A9c4bv93siz=!6t0TO?%sR$ zl$`j3L<~c+rXve=Z*%^(ks9SY!;wNW4kWYS>pZL8Yv+_K=frlrrk6JzI+{Zj2nFk2 zaxg1WNV^O%ng`LG5|PlPrdm;iD1a+kD;vz>u5m0c`8i}&1jGhI{_HM1 z4!n1V`DgVT1C5~qR9T*rrz2YC_llb{RC|MdQ-;4ji%}?S?~E=oO*icdp)jO(iJ|tm zRYd&z_xE5!T;2Em%)WS6>Tvy+ONnuQ0?XGj5#kJfpI7!0t?1)uCpKA3PC`{1IP3YH zYu@BmxJ_qVm!4MQCcV?dBAObU75hLhuJUQw1JK)Tn{ z`F`#$l%prpU`7>8!Oa}xNikN zi0-i8uQ;$$o<`N92@2su5mGViWdX3K%&JPTA?$Y`0k zrfgLgicO)ckW9Mt_NS+9pxx@R?cD88$c#XYNada%j z(OZlaZ|39>s8y4RKmlIy2Htx^ihyA7%&p-H?sZBh2 zY$#CQ`G|Va*MpIioGelH%v}r>Y*Br)u0AueFPZh*hx)$`UILs zaqT??SwA9Yr8p9Q#7OC`($3w4r!Uo+gg6YcBCFRJrZ<@-iw&=1VPq1Qil0vAnnL%d zekskZ`bDwdP2hO;2cl2ym#eezIKc1OgLII$LVnz$&E!bTJ|nwsnUPVL)8|jX&C@3) z#H_|5?WV0nO`p@#dqXvglpnE3+!g&A$vf&BN4{{jbvv;BQ71#G;aQqn?MbXb+V8gm zukP`8vWpQqnLg=z_O=~EA9mw(Jt=7F!CqvG=+rFzQxfgpif*VC>!M5b#9RT^ayj8$ rbYs1_^vnsTWTEW&2?oMh_oaY0LHG?r5!P;J;pUdHKHpfAHko literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.asm.rpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.asm.rpt new file mode 100644 index 0000000..f18555d --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for RegisterDemo +Wed Mar 22 09:20:04 2023 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: RegisterDemo.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Wed Mar 22 09:20:04 2023 ; +; Revision Name ; RegisterDemo ; +; Top-level Entity Name ; RegisterDemo ; +; Family ; Cyclone IV E ; +; Device ; EP4CE115F29C7 ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++----------------------------------------------------------------------------------------------------------+ +; File Name ; ++----------------------------------------------------------------------------------------------------------+ +; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sof ; ++----------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------+ +; Assembler Device Options: RegisterDemo.sof ; ++----------------+---------------------------+ +; Option ; Setting ; ++----------------+---------------------------+ +; JTAG usercode ; 0x00564A1F ; +; Checksum ; 0x00564A1F ; ++----------------+---------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Wed Mar 22 09:19:58 2023 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 364 megabytes + Info: Processing ended: Wed Mar 22 09:20:04 2023 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.done b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.done new file mode 100644 index 0000000..515e6e3 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.done @@ -0,0 +1 @@ +Wed Mar 22 09:20:10 2023 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.eda.rpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.eda.rpt new file mode 100644 index 0000000..bd2eead --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for RegisterDemo +Wed Mar 22 09:20:09 2023 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Wed Mar 22 09:20:09 2023 ; +; Revision Name ; RegisterDemo ; +; Top-level Entity Name ; RegisterDemo ; +; Family ; Cyclone IV E ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Tool Name ; ModelSim-Altera (VHDL) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++-----------------------------------------------------------------------------------------------------------------+ +; Generated Files ; ++-----------------------------------------------------------------------------------------------------------------+ +; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo.vho ; ++-----------------------------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Wed Mar 22 09:20:09 2023 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file RegisterDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 612 megabytes + Info: Processing ended: Wed Mar 22 09:20:09 2023 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.rpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.rpt new file mode 100644 index 0000000..a13caba --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.rpt @@ -0,0 +1,2606 @@ +Fitter report for RegisterDemo +Wed Mar 22 09:19:56 2023 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Ignored Assignments + 6. Incremental Compilation Preservation Summary + 7. Incremental Compilation Partition Settings + 8. Incremental Compilation Placement Preservation + 9. Pin-Out File + 10. Fitter Resource Usage Summary + 11. Fitter Partition Statistics + 12. Input Pins + 13. Output Pins + 14. Dual Purpose and Dedicated Pins + 15. I/O Bank Usage + 16. All Package Pins + 17. I/O Assignment Warnings + 18. Fitter Resource Utilization by Entity + 19. Delay Chain Summary + 20. Pad To Core Delay Chain Fanout + 21. Control Signals + 22. Routing Usage Summary + 23. LAB Logic Elements + 24. LAB-wide Signals + 25. LAB Signals Sourced + 26. LAB Signals Sourced Out + 27. LAB Distinct Inputs + 28. I/O Rules Summary + 29. I/O Rules Details + 30. I/O Rules Matrix + 31. Fitter Device Options + 32. Operating Settings and Conditions + 33. Fitter Messages + 34. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Wed Mar 22 09:19:56 2023 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; RegisterDemo ; +; Top-level Entity Name ; RegisterDemo ; +; Family ; Cyclone IV E ; +; Device ; EP4CE115F29C7 ; +; Timing Models ; Final ; +; Total logic elements ; 8 / 114,480 ( < 1 % ) ; +; Total combinational functions ; 0 / 114,480 ( 0 % ) ; +; Dedicated logic registers ; 8 / 114,480 ( < 1 % ) ; +; Total registers ; 8 ; +; Total pins ; 18 / 529 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 3,981,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; EP4CE115F29C7 ; ; +; Nominal Core Supply Voltage ; 1.2V ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.1% ; ++----------------------------+-------------+ + + ++----------------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++----------+----------------+--------------+------------------+---------------+----------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++----------+----------------+--------------+------------------+---------------+----------------+ +; Location ; ; ; AUD_ADCDAT ; PIN_D2 ; QSF Assignment ; +; Location ; ; ; AUD_ADCLRCK ; PIN_C2 ; QSF Assignment ; +; Location ; ; ; AUD_BCLK ; PIN_F2 ; QSF Assignment ; +; Location ; ; ; AUD_DACDAT ; PIN_D1 ; QSF Assignment ; +; Location ; ; ; AUD_DACLRCK ; PIN_E3 ; QSF Assignment ; +; Location ; ; ; AUD_XCK ; PIN_E1 ; QSF Assignment ; +; Location ; ; ; CLOCK2_50 ; PIN_AG14 ; QSF Assignment ; +; Location ; ; ; CLOCK3_50 ; PIN_AG15 ; QSF Assignment ; +; Location ; ; ; CLOCK_50 ; PIN_Y2 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[0] ; PIN_R6 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[10] ; PIN_R5 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[11] ; PIN_AA5 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[12] ; PIN_Y7 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[1] ; PIN_V8 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[2] ; PIN_U8 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[3] ; PIN_P1 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[4] ; PIN_V5 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[5] ; PIN_W8 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[6] ; PIN_W7 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[7] ; PIN_AA7 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[8] ; PIN_Y5 ; QSF Assignment ; +; Location ; ; ; DRAM_ADDR[9] ; PIN_Y6 ; QSF Assignment ; +; Location ; ; ; DRAM_BA[0] ; PIN_U7 ; QSF Assignment ; +; Location ; ; ; DRAM_BA[1] ; PIN_R4 ; QSF Assignment ; +; Location ; ; ; DRAM_CAS_N ; PIN_V7 ; QSF Assignment ; +; Location ; ; ; DRAM_CKE ; PIN_AA6 ; QSF Assignment ; +; Location ; ; ; DRAM_CLK ; PIN_AE5 ; QSF Assignment ; +; Location ; ; ; DRAM_CS_N ; PIN_T4 ; QSF Assignment ; +; Location ; ; ; DRAM_DQM[0] ; PIN_U2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQM[1] ; PIN_W4 ; QSF Assignment ; +; Location ; ; ; DRAM_DQM[2] ; PIN_K8 ; QSF Assignment ; +; Location ; ; ; DRAM_DQM[3] ; PIN_N8 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[0] ; PIN_W3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[10] ; PIN_AB1 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[11] ; PIN_AA3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[12] ; PIN_AB2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[13] ; PIN_AC1 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[14] ; PIN_AB3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[15] ; PIN_AC2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[16] ; PIN_M8 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[17] ; PIN_L8 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[18] ; PIN_P2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[19] ; PIN_N3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[1] ; PIN_W2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[20] ; PIN_N4 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[21] ; PIN_M4 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[22] ; PIN_M7 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[23] ; PIN_L7 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[24] ; PIN_U5 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[25] ; PIN_R7 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[26] ; PIN_R1 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[27] ; PIN_R2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[28] ; PIN_R3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[29] ; PIN_T3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[2] ; PIN_V4 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[30] ; PIN_U4 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[31] ; PIN_U1 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[3] ; PIN_W1 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[4] ; PIN_V3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[5] ; PIN_V2 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[6] ; PIN_V1 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[7] ; PIN_U3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[8] ; PIN_Y3 ; QSF Assignment ; +; Location ; ; ; DRAM_DQ[9] ; PIN_Y4 ; QSF Assignment ; +; Location ; ; ; DRAM_RAS_N ; PIN_U6 ; QSF Assignment ; +; Location ; ; ; DRAM_WE_N ; PIN_V6 ; QSF Assignment ; +; Location ; ; ; EEP_I2C_SCLK ; PIN_D14 ; QSF Assignment ; +; Location ; ; ; EEP_I2C_SDAT ; PIN_E14 ; QSF Assignment ; +; Location ; ; ; ENET0_GTX_CLK ; PIN_A17 ; QSF Assignment ; +; Location ; ; ; ENET0_INT_N ; PIN_A21 ; QSF Assignment ; +; Location ; ; ; ENET0_LINK100 ; PIN_C14 ; QSF Assignment ; +; Location ; ; ; ENET0_MDC ; PIN_C20 ; QSF Assignment ; +; Location ; ; ; ENET0_MDIO ; PIN_B21 ; QSF Assignment ; +; Location ; ; ; ENET0_RST_N ; PIN_C19 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_CLK ; PIN_A15 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_COL ; PIN_E15 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_CRS ; PIN_D15 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_DATA[0] ; PIN_C16 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_DATA[1] ; PIN_D16 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_DATA[2] ; PIN_D17 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_DATA[3] ; PIN_C15 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_DV ; PIN_C17 ; QSF Assignment ; +; Location ; ; ; ENET0_RX_ER ; PIN_D18 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_CLK ; PIN_B17 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_DATA[0] ; PIN_C18 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_DATA[1] ; PIN_D19 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_DATA[2] ; PIN_A19 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_DATA[3] ; PIN_B19 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_EN ; PIN_A18 ; QSF Assignment ; +; Location ; ; ; ENET0_TX_ER ; PIN_B18 ; QSF Assignment ; +; Location ; ; ; ENET1_GTX_CLK ; PIN_C23 ; QSF Assignment ; +; Location ; ; ; ENET1_INT_N ; PIN_D24 ; QSF Assignment ; +; Location ; ; ; ENET1_LINK100 ; PIN_D13 ; QSF Assignment ; +; Location ; ; ; ENET1_MDC ; PIN_D23 ; QSF Assignment ; +; Location ; ; ; ENET1_MDIO ; PIN_D25 ; QSF Assignment ; +; Location ; ; ; ENET1_RST_N ; PIN_D22 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_CLK ; PIN_B15 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_COL ; PIN_B22 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_CRS ; PIN_D20 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_DATA[0] ; PIN_B23 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_DATA[1] ; PIN_C21 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_DATA[2] ; PIN_A23 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_DATA[3] ; PIN_D21 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_DV ; PIN_A22 ; QSF Assignment ; +; Location ; ; ; ENET1_RX_ER ; PIN_C24 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_CLK ; PIN_C22 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_DATA[0] ; PIN_C25 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_DATA[1] ; PIN_A26 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_DATA[2] ; PIN_B26 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_DATA[3] ; PIN_C26 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_EN ; PIN_B25 ; QSF Assignment ; +; Location ; ; ; ENET1_TX_ER ; PIN_A25 ; QSF Assignment ; +; Location ; ; ; ENETCLK_25 ; PIN_A14 ; QSF Assignment ; +; Location ; ; ; EX_IO[0] ; PIN_J10 ; QSF Assignment ; +; Location ; ; ; EX_IO[1] ; PIN_J14 ; QSF Assignment ; +; Location ; ; ; EX_IO[2] ; PIN_H13 ; QSF Assignment ; +; Location ; ; ; EX_IO[3] ; PIN_H14 ; QSF Assignment ; +; Location ; ; ; EX_IO[4] ; PIN_F14 ; QSF Assignment ; +; Location ; ; ; EX_IO[5] ; PIN_E10 ; QSF Assignment ; +; Location ; ; ; EX_IO[6] ; PIN_D9 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[0] ; PIN_AG12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[10] ; PIN_AE9 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[11] ; PIN_AF9 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[12] ; PIN_AA10 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[13] ; PIN_AD8 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[14] ; PIN_AC8 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[15] ; PIN_Y10 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[16] ; PIN_AA8 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[17] ; PIN_AH12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[18] ; PIN_AC12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[19] ; PIN_AD12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[1] ; PIN_AH7 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[20] ; PIN_AE10 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[21] ; PIN_AD10 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[22] ; PIN_AD11 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[2] ; PIN_Y13 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[3] ; PIN_Y14 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[4] ; PIN_Y12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[5] ; PIN_AA13 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[6] ; PIN_AA12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[7] ; PIN_AB13 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[8] ; PIN_AB12 ; QSF Assignment ; +; Location ; ; ; FL_ADDR[9] ; PIN_AB10 ; QSF Assignment ; +; Location ; ; ; FL_CE_N ; PIN_AG7 ; QSF Assignment ; +; Location ; ; ; FL_DQ[0] ; PIN_AH8 ; QSF Assignment ; +; Location ; ; ; FL_DQ[1] ; PIN_AF10 ; QSF Assignment ; +; Location ; ; ; FL_DQ[2] ; PIN_AG10 ; QSF Assignment ; +; Location ; ; ; FL_DQ[3] ; PIN_AH10 ; QSF Assignment ; +; Location ; ; ; FL_DQ[4] ; PIN_AF11 ; QSF Assignment ; +; Location ; ; ; FL_DQ[5] ; PIN_AG11 ; QSF Assignment ; +; Location ; ; ; FL_DQ[6] ; PIN_AH11 ; QSF Assignment ; +; Location ; ; ; FL_DQ[7] ; PIN_AF12 ; QSF Assignment ; +; Location ; ; ; FL_OE_N ; PIN_AG8 ; QSF Assignment ; +; Location ; ; ; FL_RST_N ; PIN_AE11 ; QSF Assignment ; +; Location ; ; ; FL_RY ; PIN_Y1 ; QSF Assignment ; +; Location ; ; ; FL_WE_N ; PIN_AC10 ; QSF Assignment ; +; Location ; ; ; FL_WP_N ; PIN_AE12 ; QSF Assignment ; +; Location ; ; ; GPIO[0] ; PIN_AB22 ; QSF Assignment ; +; Location ; ; ; GPIO[10] ; PIN_AC19 ; QSF Assignment ; +; Location ; ; ; GPIO[11] ; PIN_AF16 ; QSF Assignment ; +; Location ; ; ; GPIO[12] ; PIN_AD19 ; QSF Assignment ; +; Location ; ; ; GPIO[13] ; PIN_AF15 ; QSF Assignment ; +; Location ; ; ; GPIO[14] ; PIN_AF24 ; QSF Assignment ; +; Location ; ; ; GPIO[15] ; PIN_AE21 ; QSF Assignment ; +; Location ; ; ; GPIO[16] ; PIN_AF25 ; QSF Assignment ; +; Location ; ; ; GPIO[17] ; PIN_AC22 ; QSF Assignment ; +; Location ; ; ; GPIO[18] ; PIN_AE22 ; QSF Assignment ; +; Location ; ; ; GPIO[19] ; PIN_AF21 ; QSF Assignment ; +; Location ; ; ; GPIO[1] ; PIN_AC15 ; QSF Assignment ; +; Location ; ; ; GPIO[20] ; PIN_AF22 ; QSF Assignment ; +; Location ; ; ; GPIO[21] ; PIN_AD22 ; QSF Assignment ; +; Location ; ; ; GPIO[22] ; PIN_AG25 ; QSF Assignment ; +; Location ; ; ; GPIO[23] ; PIN_AD25 ; QSF Assignment ; +; Location ; ; ; GPIO[24] ; PIN_AH25 ; QSF Assignment ; +; Location ; ; ; GPIO[25] ; PIN_AE25 ; QSF Assignment ; +; Location ; ; ; GPIO[26] ; PIN_AG22 ; QSF Assignment ; +; Location ; ; ; GPIO[27] ; PIN_AE24 ; QSF Assignment ; +; Location ; ; ; GPIO[28] ; PIN_AH22 ; QSF Assignment ; +; Location ; ; ; GPIO[29] ; PIN_AF26 ; QSF Assignment ; +; Location ; ; ; GPIO[2] ; PIN_AB21 ; QSF Assignment ; +; Location ; ; ; GPIO[30] ; PIN_AE20 ; QSF Assignment ; +; Location ; ; ; GPIO[31] ; PIN_AG23 ; QSF Assignment ; +; Location ; ; ; GPIO[32] ; PIN_AF20 ; QSF Assignment ; +; Location ; ; ; GPIO[33] ; PIN_AH26 ; QSF Assignment ; +; Location ; ; ; GPIO[34] ; PIN_AH23 ; QSF Assignment ; +; Location ; ; ; GPIO[35] ; PIN_AG26 ; QSF Assignment ; +; Location ; ; ; GPIO[3] ; PIN_Y17 ; QSF Assignment ; +; Location ; ; ; GPIO[4] ; PIN_AC21 ; QSF Assignment ; +; Location ; ; ; GPIO[5] ; PIN_Y16 ; QSF Assignment ; +; Location ; ; ; GPIO[6] ; PIN_AD21 ; QSF Assignment ; +; Location ; ; ; GPIO[7] ; PIN_AE16 ; QSF Assignment ; +; Location ; ; ; GPIO[8] ; PIN_AD15 ; QSF Assignment ; +; Location ; ; ; GPIO[9] ; PIN_AE15 ; QSF Assignment ; +; Location ; ; ; HEX0[0] ; PIN_G18 ; QSF Assignment ; +; Location ; ; ; HEX0[1] ; PIN_F22 ; QSF Assignment ; +; Location ; ; ; HEX0[2] ; PIN_E17 ; QSF Assignment ; +; Location ; ; ; HEX0[3] ; PIN_L26 ; QSF Assignment ; +; Location ; ; ; HEX0[4] ; PIN_L25 ; QSF Assignment ; +; Location ; ; ; HEX0[5] ; PIN_J22 ; QSF Assignment ; +; Location ; ; ; HEX0[6] ; PIN_H22 ; QSF Assignment ; +; Location ; ; ; HEX1[0] ; PIN_M24 ; QSF Assignment ; +; Location ; ; ; HEX1[1] ; PIN_Y22 ; QSF Assignment ; +; Location ; ; ; HEX1[2] ; PIN_W21 ; QSF Assignment ; +; Location ; ; ; HEX1[3] ; PIN_W22 ; QSF Assignment ; +; Location ; ; ; HEX1[4] ; PIN_W25 ; QSF Assignment ; +; Location ; ; ; HEX1[5] ; PIN_U23 ; QSF Assignment ; +; Location ; ; ; HEX1[6] ; PIN_U24 ; QSF Assignment ; +; Location ; ; ; HEX2[0] ; PIN_AA25 ; QSF Assignment ; +; Location ; ; ; HEX2[1] ; PIN_AA26 ; QSF Assignment ; +; Location ; ; ; HEX2[2] ; PIN_Y25 ; QSF Assignment ; +; Location ; ; ; HEX2[3] ; PIN_W26 ; QSF Assignment ; +; Location ; ; ; HEX2[4] ; PIN_Y26 ; QSF Assignment ; +; Location ; ; ; HEX2[5] ; PIN_W27 ; QSF Assignment ; +; Location ; ; ; HEX2[6] ; PIN_W28 ; QSF Assignment ; +; Location ; ; ; HEX3[0] ; PIN_V21 ; QSF Assignment ; +; Location ; ; ; HEX3[1] ; PIN_U21 ; QSF Assignment ; +; Location ; ; ; HEX3[2] ; PIN_AB20 ; QSF Assignment ; +; Location ; ; ; HEX3[3] ; PIN_AA21 ; QSF Assignment ; +; Location ; ; ; HEX3[4] ; PIN_AD24 ; QSF Assignment ; +; Location ; ; ; HEX3[5] ; PIN_AF23 ; QSF Assignment ; +; Location ; ; ; HEX3[6] ; PIN_Y19 ; QSF Assignment ; +; Location ; ; ; HEX4[0] ; PIN_AB19 ; QSF Assignment ; +; Location ; ; ; HEX4[1] ; PIN_AA19 ; QSF Assignment ; +; Location ; ; ; HEX4[2] ; PIN_AG21 ; QSF Assignment ; +; Location ; ; ; HEX4[3] ; PIN_AH21 ; QSF Assignment ; +; Location ; ; ; HEX4[4] ; PIN_AE19 ; QSF Assignment ; +; Location ; ; ; HEX4[5] ; PIN_AF19 ; QSF Assignment ; +; Location ; ; ; HEX4[6] ; PIN_AE18 ; QSF Assignment ; +; Location ; ; ; HEX5[0] ; PIN_AD18 ; QSF Assignment ; +; Location ; ; ; HEX5[1] ; PIN_AC18 ; QSF Assignment ; +; Location ; ; ; HEX5[2] ; PIN_AB18 ; QSF Assignment ; +; Location ; ; ; HEX5[3] ; PIN_AH19 ; QSF Assignment ; +; Location ; ; ; HEX5[4] ; PIN_AG19 ; QSF Assignment ; +; Location ; ; ; HEX5[5] ; PIN_AF18 ; QSF Assignment ; +; Location ; ; ; HEX5[6] ; PIN_AH18 ; QSF Assignment ; +; Location ; ; ; HEX6[0] ; PIN_AA17 ; QSF Assignment ; +; Location ; ; ; HEX6[1] ; PIN_AB16 ; QSF Assignment ; +; Location ; ; ; HEX6[2] ; PIN_AA16 ; QSF Assignment ; +; Location ; ; ; HEX6[3] ; PIN_AB17 ; QSF Assignment ; +; Location ; ; ; HEX6[4] ; PIN_AB15 ; QSF Assignment ; +; Location ; ; ; HEX6[5] ; PIN_AA15 ; QSF Assignment ; +; Location ; ; ; HEX6[6] ; PIN_AC17 ; QSF Assignment ; +; Location ; ; ; HEX7[0] ; PIN_AD17 ; QSF Assignment ; +; Location ; ; ; HEX7[1] ; PIN_AE17 ; QSF Assignment ; +; Location ; ; ; HEX7[2] ; PIN_AG17 ; QSF Assignment ; +; Location ; ; ; HEX7[3] ; PIN_AH17 ; QSF Assignment ; +; Location ; ; ; HEX7[4] ; PIN_AF17 ; QSF Assignment ; +; Location ; ; ; HEX7[5] ; PIN_AG18 ; QSF Assignment ; +; Location ; ; ; HEX7[6] ; PIN_AA14 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKIN0 ; PIN_AH15 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKIN_N1 ; PIN_J28 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKIN_N2 ; PIN_Y28 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKIN_P1 ; PIN_J27 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKIN_P2 ; PIN_Y27 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKOUT0 ; PIN_AD28 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKOUT_N1 ; PIN_G24 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKOUT_N2 ; PIN_V24 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKOUT_P1 ; PIN_G23 ; QSF Assignment ; +; Location ; ; ; HSMC_CLKOUT_P2 ; PIN_V23 ; QSF Assignment ; +; Location ; ; ; HSMC_D[0] ; PIN_AE26 ; QSF Assignment ; +; Location ; ; ; HSMC_D[1] ; PIN_AE28 ; QSF Assignment ; +; Location ; ; ; HSMC_D[2] ; PIN_AE27 ; QSF Assignment ; +; Location ; ; ; HSMC_D[3] ; PIN_AF27 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[0] ; PIN_F25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[10] ; PIN_U26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[11] ; PIN_L22 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[12] ; PIN_N26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[13] ; PIN_P26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[14] ; PIN_R21 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[15] ; PIN_R23 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[16] ; PIN_T22 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[1] ; PIN_C27 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[2] ; PIN_E26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[3] ; PIN_G26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[4] ; PIN_H26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[5] ; PIN_K26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[6] ; PIN_L24 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[7] ; PIN_M26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[8] ; PIN_R26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_N[9] ; PIN_T26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[0] ; PIN_F24 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[10] ; PIN_U25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[11] ; PIN_L21 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[12] ; PIN_N25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[13] ; PIN_P25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[14] ; PIN_P21 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[15] ; PIN_R22 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[16] ; PIN_T21 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[1] ; PIN_D26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[2] ; PIN_F26 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[3] ; PIN_G25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[4] ; PIN_H25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[5] ; PIN_K25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[6] ; PIN_L23 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[7] ; PIN_M25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[8] ; PIN_R25 ; QSF Assignment ; +; Location ; ; ; HSMC_RX_D_P[9] ; PIN_T25 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[0] ; PIN_D28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[10] ; PIN_J26 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[11] ; PIN_L28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[12] ; PIN_V26 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[13] ; PIN_R28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[14] ; PIN_U28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[15] ; PIN_V28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[16] ; PIN_V22 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[1] ; PIN_E28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[2] ; PIN_F28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[3] ; PIN_G28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[4] ; PIN_K28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[5] ; PIN_M28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[6] ; PIN_K22 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[7] ; PIN_H24 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[8] ; PIN_J24 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_N[9] ; PIN_P28 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[0] ; PIN_D27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[10] ; PIN_J25 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[11] ; PIN_L27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[12] ; PIN_V25 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[13] ; PIN_R27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[14] ; PIN_U27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[15] ; PIN_V27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[16] ; PIN_U22 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[1] ; PIN_E27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[2] ; PIN_F27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[3] ; PIN_G27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[4] ; PIN_K27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[5] ; PIN_M27 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[6] ; PIN_K21 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[7] ; PIN_H23 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[8] ; PIN_J23 ; QSF Assignment ; +; Location ; ; ; HSMC_TX_D_P[9] ; PIN_P27 ; QSF Assignment ; +; Location ; ; ; I2C_SCLK ; PIN_B7 ; QSF Assignment ; +; Location ; ; ; I2C_SDAT ; PIN_A8 ; QSF Assignment ; +; Location ; ; ; IRDA_RXD ; PIN_Y15 ; QSF Assignment ; +; Location ; ; ; KEY[1] ; PIN_M21 ; QSF Assignment ; +; Location ; ; ; KEY[2] ; PIN_N21 ; QSF Assignment ; +; Location ; ; ; KEY[3] ; PIN_R24 ; QSF Assignment ; +; Location ; ; ; LCD_BLON ; PIN_L6 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[0] ; PIN_L3 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[1] ; PIN_L1 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[2] ; PIN_L2 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[3] ; PIN_K7 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[4] ; PIN_K1 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[5] ; PIN_K2 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[6] ; PIN_M3 ; QSF Assignment ; +; Location ; ; ; LCD_DATA[7] ; PIN_M5 ; QSF Assignment ; +; Location ; ; ; LCD_EN ; PIN_L4 ; QSF Assignment ; +; Location ; ; ; LCD_ON ; PIN_L5 ; QSF Assignment ; +; Location ; ; ; LCD_RS ; PIN_M2 ; QSF Assignment ; +; Location ; ; ; LCD_RW ; PIN_M1 ; QSF Assignment ; +; Location ; ; ; LEDG[0] ; PIN_E21 ; QSF Assignment ; +; Location ; ; ; LEDG[1] ; PIN_E22 ; QSF Assignment ; +; Location ; ; ; LEDG[2] ; PIN_E25 ; QSF Assignment ; +; Location ; ; ; LEDG[3] ; PIN_E24 ; QSF Assignment ; +; Location ; ; ; LEDG[4] ; PIN_H21 ; QSF Assignment ; +; Location ; ; ; LEDG[5] ; PIN_G20 ; QSF Assignment ; +; Location ; ; ; LEDG[6] ; PIN_G22 ; QSF Assignment ; +; Location ; ; ; LEDG[7] ; PIN_G21 ; QSF Assignment ; +; Location ; ; ; LEDG[8] ; PIN_F17 ; QSF Assignment ; +; Location ; ; ; LEDR[10] ; PIN_J15 ; QSF Assignment ; +; Location ; ; ; LEDR[11] ; PIN_H16 ; QSF Assignment ; +; Location ; ; ; LEDR[12] ; PIN_J16 ; QSF Assignment ; +; Location ; ; ; LEDR[13] ; PIN_H17 ; QSF Assignment ; +; Location ; ; ; LEDR[14] ; PIN_F15 ; QSF Assignment ; +; Location ; ; ; LEDR[15] ; PIN_G15 ; QSF Assignment ; +; Location ; ; ; LEDR[16] ; PIN_G16 ; QSF Assignment ; +; Location ; ; ; LEDR[17] ; PIN_H15 ; QSF Assignment ; +; Location ; ; ; LEDR[8] ; PIN_J17 ; QSF Assignment ; +; Location ; ; ; LEDR[9] ; PIN_G17 ; QSF Assignment ; +; Location ; ; ; OTG_ADDR[0] ; PIN_H7 ; QSF Assignment ; +; Location ; ; ; OTG_ADDR[1] ; PIN_C3 ; QSF Assignment ; +; Location ; ; ; OTG_CS_N ; PIN_A3 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[0] ; PIN_J6 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[10] ; PIN_G1 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[11] ; PIN_G2 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[12] ; PIN_G3 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[13] ; PIN_F1 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[14] ; PIN_F3 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[15] ; PIN_G4 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[1] ; PIN_K4 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[2] ; PIN_J5 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[3] ; PIN_K3 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[4] ; PIN_J4 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[5] ; PIN_J3 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[6] ; PIN_J7 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[7] ; PIN_H6 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[8] ; PIN_H3 ; QSF Assignment ; +; Location ; ; ; OTG_DATA[9] ; PIN_H4 ; QSF Assignment ; +; Location ; ; ; OTG_DREQ[0] ; PIN_J1 ; QSF Assignment ; +; Location ; ; ; OTG_INT ; PIN_D5 ; QSF Assignment ; +; Location ; ; ; OTG_RD_N ; PIN_B3 ; QSF Assignment ; +; Location ; ; ; OTG_RST_N ; PIN_C5 ; QSF Assignment ; +; Location ; ; ; OTG_WR_N ; PIN_A4 ; QSF Assignment ; +; Location ; ; ; PS2_CLK ; PIN_G6 ; QSF Assignment ; +; Location ; ; ; PS2_CLK2 ; PIN_G5 ; QSF Assignment ; +; Location ; ; ; PS2_DAT ; PIN_H5 ; QSF Assignment ; +; Location ; ; ; PS2_DAT2 ; PIN_F5 ; QSF Assignment ; +; Location ; ; ; SD_CLK ; PIN_AE13 ; QSF Assignment ; +; Location ; ; ; SD_CMD ; PIN_AD14 ; QSF Assignment ; +; Location ; ; ; SD_DAT[0] ; PIN_AE14 ; QSF Assignment ; +; Location ; ; ; SD_DAT[1] ; PIN_AF13 ; QSF Assignment ; +; Location ; ; ; SD_DAT[2] ; PIN_AB14 ; QSF Assignment ; +; Location ; ; ; SD_DAT[3] ; PIN_AC14 ; QSF Assignment ; +; Location ; ; ; SD_WP_N ; PIN_AF14 ; QSF Assignment ; +; Location ; ; ; SMA_CLKIN ; PIN_AH14 ; QSF Assignment ; +; Location ; ; ; SMA_CLKOUT ; PIN_AE23 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[0] ; PIN_AB7 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[10] ; PIN_AF2 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[11] ; PIN_AD3 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[12] ; PIN_AB4 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[13] ; PIN_AC3 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[14] ; PIN_AA4 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[15] ; PIN_AB11 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[16] ; PIN_AC11 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[17] ; PIN_AB9 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[18] ; PIN_AB8 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[19] ; PIN_T8 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[1] ; PIN_AD7 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[2] ; PIN_AE7 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[3] ; PIN_AC7 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[4] ; PIN_AB6 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[5] ; PIN_AE6 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[6] ; PIN_AB5 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[7] ; PIN_AC5 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[8] ; PIN_AF5 ; QSF Assignment ; +; Location ; ; ; SRAM_ADDR[9] ; PIN_T7 ; QSF Assignment ; +; Location ; ; ; SRAM_CE_N ; PIN_AF8 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[0] ; PIN_AH3 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[10] ; PIN_AE2 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[11] ; PIN_AE1 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[12] ; PIN_AE3 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[13] ; PIN_AE4 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[14] ; PIN_AF3 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[15] ; PIN_AG3 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[1] ; PIN_AF4 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[2] ; PIN_AG4 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[3] ; PIN_AH4 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[4] ; PIN_AF6 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[5] ; PIN_AG6 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[6] ; PIN_AH6 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[7] ; PIN_AF7 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[8] ; PIN_AD1 ; QSF Assignment ; +; Location ; ; ; SRAM_DQ[9] ; PIN_AD2 ; QSF Assignment ; +; Location ; ; ; SRAM_LB_N ; PIN_AD4 ; QSF Assignment ; +; Location ; ; ; SRAM_OE_N ; PIN_AD5 ; QSF Assignment ; +; Location ; ; ; SRAM_UB_N ; PIN_AC4 ; QSF Assignment ; +; Location ; ; ; SRAM_WE_N ; PIN_AE8 ; QSF Assignment ; +; Location ; ; ; SW[10] ; PIN_AC24 ; QSF Assignment ; +; Location ; ; ; SW[11] ; PIN_AB24 ; QSF Assignment ; +; Location ; ; ; SW[12] ; PIN_AB23 ; QSF Assignment ; +; Location ; ; ; SW[13] ; PIN_AA24 ; QSF Assignment ; +; Location ; ; ; SW[14] ; PIN_AA23 ; QSF Assignment ; +; Location ; ; ; SW[15] ; PIN_AA22 ; QSF Assignment ; +; Location ; ; ; SW[16] ; PIN_Y24 ; QSF Assignment ; +; Location ; ; ; SW[17] ; PIN_Y23 ; QSF Assignment ; +; Location ; ; ; SW[9] ; PIN_AB25 ; QSF Assignment ; +; Location ; ; ; TD_CLK27 ; PIN_B14 ; QSF Assignment ; +; Location ; ; ; TD_DATA[0] ; PIN_E8 ; QSF Assignment ; +; Location ; ; ; TD_DATA[1] ; PIN_A7 ; QSF Assignment ; +; Location ; ; ; TD_DATA[2] ; PIN_D8 ; QSF Assignment ; +; Location ; ; ; TD_DATA[3] ; PIN_C7 ; QSF Assignment ; +; Location ; ; ; TD_DATA[4] ; PIN_D7 ; QSF Assignment ; +; Location ; ; ; TD_DATA[5] ; PIN_D6 ; QSF Assignment ; +; Location ; ; ; TD_DATA[6] ; PIN_E7 ; QSF Assignment ; +; Location ; ; ; TD_DATA[7] ; PIN_F7 ; QSF Assignment ; +; Location ; ; ; TD_HS ; PIN_E5 ; QSF Assignment ; +; Location ; ; ; TD_RESET_N ; PIN_G7 ; QSF Assignment ; +; Location ; ; ; TD_VS ; PIN_E4 ; QSF Assignment ; +; Location ; ; ; UART_CTS ; PIN_G14 ; QSF Assignment ; +; Location ; ; ; UART_RTS ; PIN_J13 ; QSF Assignment ; +; Location ; ; ; UART_RXD ; PIN_G12 ; QSF Assignment ; +; Location ; ; ; UART_TXD ; PIN_G9 ; QSF Assignment ; +; Location ; ; ; VGA_BLANK_N ; PIN_F11 ; QSF Assignment ; +; Location ; ; ; VGA_B[0] ; PIN_B10 ; QSF Assignment ; +; Location ; ; ; VGA_B[1] ; PIN_A10 ; QSF Assignment ; +; Location ; ; ; VGA_B[2] ; PIN_C11 ; QSF Assignment ; +; Location ; ; ; VGA_B[3] ; PIN_B11 ; QSF Assignment ; +; Location ; ; ; VGA_B[4] ; PIN_A11 ; QSF Assignment ; +; Location ; ; ; VGA_B[5] ; PIN_C12 ; QSF Assignment ; +; Location ; ; ; VGA_B[6] ; PIN_D11 ; QSF Assignment ; +; Location ; ; ; VGA_B[7] ; PIN_D12 ; QSF Assignment ; +; Location ; ; ; VGA_CLK ; PIN_A12 ; QSF Assignment ; +; Location ; ; ; VGA_G[0] ; PIN_G8 ; QSF Assignment ; +; Location ; ; ; VGA_G[1] ; PIN_G11 ; QSF Assignment ; +; Location ; ; ; VGA_G[2] ; PIN_F8 ; QSF Assignment ; +; Location ; ; ; VGA_G[3] ; PIN_H12 ; QSF Assignment ; +; Location ; ; ; VGA_G[4] ; PIN_C8 ; QSF Assignment ; +; Location ; ; ; VGA_G[5] ; PIN_B8 ; QSF Assignment ; +; Location ; ; ; VGA_G[6] ; PIN_F10 ; QSF Assignment ; +; Location ; ; ; VGA_G[7] ; PIN_C9 ; QSF Assignment ; +; Location ; ; ; VGA_HS ; PIN_G13 ; QSF Assignment ; +; Location ; ; ; VGA_R[0] ; PIN_E12 ; QSF Assignment ; +; Location ; ; ; VGA_R[1] ; PIN_E11 ; QSF Assignment ; +; Location ; ; ; VGA_R[2] ; PIN_D10 ; QSF Assignment ; +; Location ; ; ; VGA_R[3] ; PIN_F12 ; QSF Assignment ; +; Location ; ; ; VGA_R[4] ; PIN_G10 ; QSF Assignment ; +; Location ; ; ; VGA_R[5] ; PIN_J12 ; QSF Assignment ; +; Location ; ; ; VGA_R[6] ; PIN_H8 ; QSF Assignment ; +; Location ; ; ; VGA_R[7] ; PIN_H10 ; QSF Assignment ; +; Location ; ; ; VGA_SYNC_N ; PIN_C10 ; QSF Assignment ; +; Location ; ; ; VGA_VS ; PIN_C13 ; QSF Assignment ; ++----------+----------------+--------------+------------------+---------------+----------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 55 ) ; 0.00 % ( 0 / 55 ) ; 0.00 % ( 0 / 55 ) ; +; -- Achieved ; 0.00 % ( 0 / 55 ) ; 0.00 % ( 0 / 55 ) ; 0.00 % ( 0 / 55 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 45 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 8 / 114,480 ( < 1 % ) ; +; -- Combinational with no register ; 0 ; +; -- Register only ; 8 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 8 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 0 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 8 / 117,053 ( < 1 % ) ; +; -- Dedicated logic registers ; 8 / 114,480 ( < 1 % ) ; +; -- I/O registers ; 0 / 2,573 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 7,155 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 18 / 529 ( 3 % ) ; +; -- Clock pins ; 0 / 7 ( 0 % ) ; +; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; +; ; ; +; M9Ks ; 0 / 432 ( 0 % ) ; +; Total block memory bits ; 0 / 3,981,312 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 3,981,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 20 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 4 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.1% ; +; Peak interconnect usage (total/H/V) ; 0.5% / 0.4% / 0.8% ; +; Maximum fan-out ; 8 ; +; Highest non-global fan-out ; 8 ; +; Total fan-out ; 57 ; +; Average fan-out ; 1.02 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 8 / 114480 ( < 1 % ) ; 0 / 114480 ( 0 % ) ; +; -- Combinational with no register ; 0 ; 0 ; +; -- Register only ; 8 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 0 ; 0 ; +; -- Register only ; 8 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 0 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 8 ; 0 ; +; -- Dedicated logic registers ; 8 / 114480 ( < 1 % ) ; 0 / 114480 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 1 / 7155 ( < 1 % ) ; 0 / 7155 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 18 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % ) ; 0 / 532 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 52 ; 5 ; +; -- Registered Connections ; 8 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 10 ; 0 ; +; -- Output Ports ; 8 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++--------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++--------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; KEY[0] ; M23 ; 6 ; 115 ; 40 ; 7 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[0] ; AB28 ; 5 ; 115 ; 17 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[1] ; AC28 ; 5 ; 115 ; 14 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[2] ; AC27 ; 5 ; 115 ; 15 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[3] ; AD27 ; 5 ; 115 ; 13 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[4] ; AB27 ; 5 ; 115 ; 18 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[5] ; AC26 ; 5 ; 115 ; 11 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[6] ; AD26 ; 5 ; 115 ; 10 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[7] ; AB26 ; 5 ; 115 ; 15 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; +; SW[8] ; AC25 ; 5 ; 115 ; 4 ; 21 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; no ; ++--------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; LEDR[0] ; G19 ; 7 ; 69 ; 73 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[1] ; F19 ; 7 ; 94 ; 73 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[2] ; E19 ; 7 ; 94 ; 73 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[3] ; F21 ; 7 ; 107 ; 73 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[4] ; F18 ; 7 ; 87 ; 73 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[5] ; E18 ; 7 ; 87 ; 73 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[6] ; J19 ; 7 ; 72 ; 73 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; LEDR[7] ; H19 ; 7 ; 72 ; 73 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ++---------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+-----------------------------+--------------------------+-------------------------+---------------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+-----------------------------+--------------------------+-------------------------+---------------------------+ +; F4 ; DIFFIO_L5n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; +; E2 ; DIFFIO_L8p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; +; M6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; +; P3 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; +; N7 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; +; P4 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; +; R8 ; nCE ; - ; - ; Dedicated Programming Pin ; +; P24 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; +; N22 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; +; P23 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; +; M22 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; +; P22 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; +; P28 ; DIFFIO_R23n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; ++----------+-----------------------------+--------------------------+-------------------------+---------------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1 ; 4 / 56 ( 7 % ) ; 2.5V ; -- ; +; 2 ; 0 / 63 ( 0 % ) ; 2.5V ; -- ; +; 3 ; 0 / 73 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 71 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 9 / 65 ( 14 % ) ; 2.5V ; -- ; +; 6 ; 2 / 58 ( 3 % ) ; 2.5V ; -- ; +; 7 ; 8 / 72 ( 11 % ) ; 2.5V ; -- ; +; 8 ; 0 / 71 ( 0 % ) ; 2.5V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A3 ; 535 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A4 ; 532 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A6 ; 504 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A7 ; 501 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A8 ; 517 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A10 ; 491 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A11 ; 487 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A12 ; 482 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A13 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A14 ; 472 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A15 ; 470 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A17 ; 462 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A18 ; 442 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A19 ; 440 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A20 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A21 ; 425 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A22 ; 423 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A23 ; 412 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A24 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; A25 ; 405 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A26 ; 404 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; A27 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AA1 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AA2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA3 ; 102 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA4 ; 101 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA5 ; 119 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA6 ; 118 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA7 ; 120 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA8 ; 154 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA9 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; +; AA10 ; 155 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AA12 ; 188 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA13 ; 190 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA14 ; 191 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA15 ; 213 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AA16 ; 211 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA17 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AA19 ; 264 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA20 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; +; AA21 ; 269 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AA22 ; 275 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA23 ; 280 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA24 ; 279 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; AA25 ; 294 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA26 ; 293 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AA27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA28 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AB1 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB2 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB3 ; 99 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB4 ; 121 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; AB5 ; 127 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB6 ; 126 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB7 ; 152 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB8 ; 148 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB9 ; 147 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB10 ; 173 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB11 ; 164 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AB12 ; 180 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB13 ; 181 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AB14 ; 192 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB15 ; 214 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB16 ; 212 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB17 ; 242 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB18 ; 254 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB19 ; 253 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB20 ; 257 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AB21 ; 266 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB22 ; 265 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AB23 ; 276 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB24 ; 274 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB25 ; 292 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AB26 ; 291 ; 5 ; SW[7] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AB27 ; 296 ; 5 ; SW[4] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AB28 ; 295 ; 5 ; SW[0] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AC1 ; 94 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AC2 ; 93 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AC3 ; 95 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AC4 ; 125 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AC5 ; 124 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AC6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AC7 ; 144 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC8 ; 153 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AC10 ; 174 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC11 ; 185 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC12 ; 179 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AC14 ; 195 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC15 ; 203 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AC17 ; 221 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC18 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; AC19 ; 247 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AC21 ; 258 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC22 ; 267 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AC23 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AC24 ; 273 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AC25 ; 272 ; 5 ; SW[8] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AC26 ; 282 ; 5 ; SW[5] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AC27 ; 290 ; 5 ; SW[2] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AC28 ; 289 ; 5 ; SW[1] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AD1 ; 98 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AD2 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AD3 ; 96 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AD4 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD5 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AD7 ; 134 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD8 ; 143 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AD10 ; 149 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD11 ; 186 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD12 ; 182 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD13 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AD14 ; 196 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD15 ; 204 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AD17 ; 222 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD18 ; 237 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD19 ; 248 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD20 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AD21 ; 259 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD22 ; 268 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD23 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AD24 ; 260 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD25 ; 255 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AD26 ; 281 ; 5 ; SW[6] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AD27 ; 286 ; 5 ; SW[3] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AD28 ; 285 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AE1 ; 106 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AE2 ; 105 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AE3 ; 122 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AE4 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE5 ; 135 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE6 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE7 ; 158 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE8 ; 161 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE9 ; 163 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE10 ; 165 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE11 ; 171 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE12 ; 169 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE13 ; 177 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE14 ; 183 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE15 ; 205 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE16 ; 209 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE17 ; 215 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE18 ; 225 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE19 ; 231 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE20 ; 235 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE21 ; 238 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE22 ; 251 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE23 ; 261 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE24 ; 256 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE25 ; 243 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AE26 ; 278 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AE27 ; 284 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AE28 ; 283 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AF1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AF2 ; 123 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AF3 ; 138 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF4 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF5 ; 136 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF6 ; 139 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF7 ; 159 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF8 ; 162 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF9 ; 160 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF10 ; 166 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF11 ; 172 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF12 ; 170 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF13 ; 178 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF14 ; 184 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF15 ; 206 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF16 ; 210 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF17 ; 216 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF18 ; 226 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF19 ; 232 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF20 ; 236 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF21 ; 239 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF22 ; 252 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF23 ; 262 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF24 ; 233 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF25 ; 234 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF26 ; 244 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AF27 ; 277 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; AF28 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG1 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AG2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG3 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG4 ; 141 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG6 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG7 ; 150 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG8 ; 156 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG10 ; 167 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG11 ; 175 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG12 ; 193 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG14 ; 199 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AG15 ; 201 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AG16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG17 ; 207 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG18 ; 217 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG19 ; 219 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG21 ; 223 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG22 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG23 ; 229 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG24 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG25 ; 245 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG26 ; 270 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AG27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AG28 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH3 ; 137 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH4 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH6 ; 146 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH7 ; 151 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH8 ; 157 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH10 ; 168 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH11 ; 176 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH12 ; 194 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH13 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH14 ; 200 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AH15 ; 202 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AH16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH17 ; 208 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH18 ; 218 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH19 ; 220 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH20 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH21 ; 224 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH22 ; 228 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH23 ; 230 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH24 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AH25 ; 246 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH26 ; 271 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; AH27 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; B1 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B3 ; 534 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B4 ; 533 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B6 ; 505 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B7 ; 502 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B8 ; 518 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B10 ; 492 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B11 ; 488 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B14 ; 473 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B15 ; 471 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B17 ; 463 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B18 ; 443 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B19 ; 441 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B21 ; 426 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B22 ; 424 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B23 ; 413 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B24 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B25 ; 406 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B26 ; 401 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; B27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B28 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; C1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C3 ; 543 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C4 ; 539 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C5 ; 538 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C6 ; 536 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C7 ; 521 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C8 ; 519 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C9 ; 510 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C10 ; 495 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C11 ; 508 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C12 ; 478 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C13 ; 474 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C14 ; 476 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C15 ; 468 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C16 ; 460 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C17 ; 438 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C18 ; 429 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C19 ; 435 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C20 ; 431 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C21 ; 422 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C22 ; 418 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C23 ; 415 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C24 ; 416 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C25 ; 411 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C26 ; 400 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; C27 ; 382 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; C28 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D1 ; 3 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D2 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D4 ; 540 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D5 ; 537 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D6 ; 524 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D7 ; 522 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D8 ; 520 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D9 ; 511 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D10 ; 496 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D11 ; 509 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D12 ; 479 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D13 ; 475 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D14 ; 477 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D15 ; 469 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D16 ; 461 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D17 ; 439 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D18 ; 430 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D19 ; 436 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D20 ; 432 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D21 ; 419 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D22 ; 402 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D23 ; 414 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D24 ; 417 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D25 ; 410 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D26 ; 383 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D27 ; 381 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; D28 ; 380 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E1 ; 17 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E2 ; 16 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; E3 ; 7 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E4 ; 541 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E5 ; 542 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E6 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; E7 ; 523 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E8 ; 526 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; E10 ; 516 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E11 ; 499 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E12 ; 497 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E13 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; E14 ; 486 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E15 ; 467 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; E17 ; 456 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E18 ; 427 ; 7 ; LEDR[5] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E19 ; 421 ; 7 ; LEDR[2] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; E20 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; E21 ; 407 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E22 ; 403 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E23 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; E24 ; 433 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E25 ; 434 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; E26 ; 378 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E27 ; 375 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E28 ; 374 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F1 ; 19 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F2 ; 18 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F3 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F4 ; 10 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; F5 ; 9 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F7 ; 531 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F8 ; 527 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F10 ; 512 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F11 ; 500 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F12 ; 498 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F14 ; 485 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F15 ; 466 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F17 ; 455 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; F18 ; 428 ; 7 ; LEDR[4] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; F19 ; 420 ; 7 ; LEDR[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; F20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F21 ; 408 ; 7 ; LEDR[3] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; F22 ; 409 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; F23 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F24 ; 396 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F25 ; 395 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F26 ; 379 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F27 ; 373 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; F28 ; 372 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G1 ; 26 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G2 ; 25 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G3 ; 13 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G4 ; 12 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G5 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G6 ; 5 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G7 ; 530 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G8 ; 528 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G9 ; 525 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; G10 ; 513 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G11 ; 506 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G12 ; 503 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; G13 ; 493 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G14 ; 484 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; G15 ; 457 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; G16 ; 453 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G17 ; 437 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; G18 ; 452 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G19 ; 451 ; 7 ; LEDR[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; G20 ; 444 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G21 ; 445 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G22 ; 449 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; G23 ; 398 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G24 ; 397 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G25 ; 393 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G26 ; 392 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G27 ; 367 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; G28 ; 366 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H1 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H3 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H4 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H5 ; 20 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H6 ; 11 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H7 ; 4 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; H8 ; 529 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H9 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; +; H10 ; 514 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H12 ; 507 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H13 ; 494 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H14 ; 480 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H15 ; 464 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H16 ; 459 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H17 ; 454 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; H19 ; 446 ; 7 ; LEDR[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; H20 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; +; H21 ; 448 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; H22 ; 399 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H23 ; 391 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H24 ; 390 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H25 ; 377 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H26 ; 376 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H28 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J1 ; 64 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; J2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J3 ; 23 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J4 ; 22 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J5 ; 36 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J6 ; 35 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J7 ; 37 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J8 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J9 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J10 ; 515 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J12 ; 490 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J13 ; 489 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J14 ; 481 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J15 ; 465 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J16 ; 458 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J17 ; 450 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; J18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J19 ; 447 ; 7 ; LEDR[6] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; J20 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J21 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; J22 ; 394 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; J23 ; 387 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J24 ; 386 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J25 ; 365 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J26 ; 364 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J27 ; 338 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; J28 ; 337 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; K1 ; 28 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K2 ; 27 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K3 ; 30 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K4 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K5 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K7 ; 38 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K8 ; 39 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K19 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K21 ; 389 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K22 ; 388 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K23 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K24 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; K25 ; 371 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K26 ; 370 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K27 ; 362 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; K28 ; 361 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L1 ; 49 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L2 ; 48 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L3 ; 32 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L4 ; 31 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L5 ; 21 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; L6 ; 43 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L7 ; 42 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L8 ; 40 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L18 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L20 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L21 ; 385 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L22 ; 384 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L23 ; 360 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L24 ; 359 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L25 ; 369 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L26 ; 363 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L27 ; 358 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L28 ; 357 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 51 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M2 ; 50 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M3 ; 34 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M4 ; 33 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M5 ; 41 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M6 ; 24 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; M7 ; 47 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M8 ; 46 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M19 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M21 ; 368 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; M22 ; 342 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; +; M23 ; 344 ; 6 ; KEY[0] ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; M24 ; 347 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M25 ; 356 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M26 ; 355 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M27 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M28 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N1 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N3 ; 45 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N4 ; 44 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N5 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N7 ; 56 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; N8 ; 54 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N18 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N20 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N21 ; 348 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; N22 ; 340 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; N23 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N24 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; N25 ; 352 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N26 ; 351 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N28 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P1 ; 53 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P2 ; 52 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P3 ; 55 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; P4 ; 57 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; P5 ; 59 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; P6 ; 61 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; P7 ; 58 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; P8 ; 60 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P19 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P21 ; 334 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P22 ; 343 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; +; P23 ; 341 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; P24 ; 339 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; P25 ; 346 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P26 ; 345 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P27 ; 350 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P28 ; 349 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; R1 ; 68 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R2 ; 67 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R3 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R4 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R5 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R6 ; 70 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R7 ; 69 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R8 ; 62 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; R9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R18 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R20 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R21 ; 333 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R22 ; 332 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R23 ; 331 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R24 ; 330 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R25 ; 327 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R26 ; 326 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R27 ; 329 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; R28 ; 328 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T1 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T3 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T4 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T5 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T7 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; T8 ; 100 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; T9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T19 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 325 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T22 ; 324 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T23 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T24 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T25 ; 323 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T26 ; 322 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; T27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T28 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U1 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U2 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U3 ; 71 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U4 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U5 ; 90 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U6 ; 89 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U7 ; 103 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U8 ; 104 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U18 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U20 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U21 ; 319 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U22 ; 313 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U23 ; 305 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; U24 ; 316 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; U25 ; 315 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U26 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U27 ; 318 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; U28 ; 317 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V1 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V2 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V3 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V4 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V5 ; 108 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V6 ; 107 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V7 ; 110 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V8 ; 109 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V21 ; 311 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V22 ; 312 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V23 ; 309 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V24 ; 308 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V25 ; 307 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V26 ; 306 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V27 ; 304 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V28 ; 303 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W1 ; 88 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W2 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W3 ; 112 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W4 ; 111 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W5 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; W6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W7 ; 115 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W8 ; 116 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; W11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; W13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; W15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; W17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W18 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; W19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W20 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; W21 ; 310 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W22 ; 321 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W23 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W24 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; W25 ; 300 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W26 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W27 ; 301 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; W28 ; 302 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y1 ; 66 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; Y2 ; 65 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; Y3 ; 92 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y4 ; 91 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y5 ; 114 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y6 ; 113 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y7 ; 117 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y8 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; Y9 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; Y10 ; 140 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y12 ; 187 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y13 ; 189 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y14 ; 197 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y15 ; 198 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y16 ; 250 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y17 ; 249 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y19 ; 263 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; Y20 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; Y21 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; Y22 ; 320 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y23 ; 288 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y24 ; 287 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y25 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y26 ; 297 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; Y27 ; 336 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; Y28 ; 335 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; LEDR[7] ; Incomplete set of assignments ; +; LEDR[6] ; Incomplete set of assignments ; +; LEDR[5] ; Incomplete set of assignments ; +; LEDR[4] ; Incomplete set of assignments ; +; LEDR[3] ; Incomplete set of assignments ; +; LEDR[2] ; Incomplete set of assignments ; +; LEDR[1] ; Incomplete set of assignments ; +; LEDR[0] ; Incomplete set of assignments ; +; SW[7] ; Incomplete set of assignments ; +; KEY[0] ; Incomplete set of assignments ; +; SW[8] ; Incomplete set of assignments ; +; SW[6] ; Incomplete set of assignments ; +; SW[5] ; Incomplete set of assignments ; +; SW[4] ; Incomplete set of assignments ; +; SW[3] ; Incomplete set of assignments ; +; SW[2] ; Incomplete set of assignments ; +; SW[1] ; Incomplete set of assignments ; +; SW[0] ; Incomplete set of assignments ; ++----------+-------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------+--------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------+--------------+--------------+ +; |RegisterDemo ; 8 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 18 ; 0 ; 0 (0) ; 8 (0) ; 0 (0) ; |RegisterDemo ; RegisterDemo ; work ; +; |Register8:inst| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 0 (0) ; |RegisterDemo|Register8:inst ; Register8 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------+--------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ +; LEDR[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; LEDR[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; SW[7] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; KEY[0] ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; SW[8] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SW[6] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SW[4] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SW[3] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SW[2] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SW[1] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ++---------+----------+---------------+---------------+-----------------------+-----+------+ + + ++-----------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------------+-------------------+---------+ +; SW[7] ; ; ; +; - Register8:inst|dataOut[7]~feeder ; 0 ; 6 ; +; KEY[0] ; ; ; +; - Register8:inst|dataOut[0] ; 0 ; 0 ; +; - Register8:inst|dataOut[1] ; 0 ; 0 ; +; - Register8:inst|dataOut[2] ; 0 ; 0 ; +; - Register8:inst|dataOut[3] ; 0 ; 0 ; +; - Register8:inst|dataOut[4] ; 0 ; 0 ; +; - Register8:inst|dataOut[5] ; 0 ; 0 ; +; - Register8:inst|dataOut[6] ; 0 ; 0 ; +; - Register8:inst|dataOut[7] ; 0 ; 0 ; +; SW[8] ; ; ; +; - Register8:inst|dataOut[0] ; 1 ; 6 ; +; - Register8:inst|dataOut[1] ; 1 ; 6 ; +; - Register8:inst|dataOut[2] ; 1 ; 6 ; +; - Register8:inst|dataOut[3] ; 1 ; 6 ; +; - Register8:inst|dataOut[4] ; 1 ; 6 ; +; - Register8:inst|dataOut[5] ; 1 ; 6 ; +; - Register8:inst|dataOut[6] ; 1 ; 6 ; +; - Register8:inst|dataOut[7] ; 1 ; 6 ; +; SW[6] ; ; ; +; - Register8:inst|dataOut[6] ; 0 ; 6 ; +; SW[5] ; ; ; +; - Register8:inst|dataOut[5]~feeder ; 1 ; 6 ; +; SW[4] ; ; ; +; - Register8:inst|dataOut[4] ; 1 ; 6 ; +; SW[3] ; ; ; +; - Register8:inst|dataOut[3] ; 1 ; 6 ; +; SW[2] ; ; ; +; - Register8:inst|dataOut[2] ; 1 ; 6 ; +; SW[1] ; ; ; +; - Register8:inst|dataOut[1] ; 0 ; 6 ; +; SW[0] ; ; ; +; - Register8:inst|dataOut[0] ; 0 ; 6 ; ++-----------------------------------------+-------------------+---------+ + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++--------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; KEY[0] ; PIN_M23 ; 8 ; Clock ; no ; -- ; -- ; -- ; +; SW[8] ; PIN_AC25 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ++--------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ + + ++------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+------------------------+ +; Block interconnects ; 18 / 342,891 ( < 1 % ) ; +; C16 interconnects ; 25 / 10,120 ( < 1 % ) ; +; C4 interconnects ; 45 / 209,544 ( < 1 % ) ; +; Direct links ; 0 / 342,891 ( 0 % ) ; +; Global clocks ; 0 / 20 ( 0 % ) ; +; Local interconnects ; 0 / 119,088 ( 0 % ) ; +; R24 interconnects ; 16 / 9,963 ( < 1 % ) ; +; R4 interconnects ; 29 / 289,782 ( < 1 % ) ; ++-----------------------+------------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 8.00) ; Number of LABs (Total = 1) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-----------------------------+ +; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 1) ; ++------------------------------------+-----------------------------+ +; 1 Clock ; 1 ; +; 1 Clock enable ; 1 ; ++------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 10.00) ; Number of LABs (Total = 1) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 8.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 10.00) ; Number of LABs (Total = 1) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 12 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 18 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 18 ; 18 ; 0 ; 0 ; 18 ; 18 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 10 ; 8 ; 0 ; 10 ; 0 ; 0 ; 8 ; 0 ; 18 ; 18 ; 18 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 18 ; 0 ; 0 ; 18 ; 18 ; 0 ; 0 ; 18 ; 18 ; 18 ; 18 ; 18 ; 18 ; 10 ; 18 ; 18 ; 18 ; 8 ; 10 ; 18 ; 8 ; 18 ; 18 ; 10 ; 18 ; 0 ; 0 ; 0 ; 18 ; 18 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; LEDR[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; LEDR[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[7] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; KEY[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[8] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[6] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[5] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[4] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[3] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[2] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[1] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; SW[0] ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+-----------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++---------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+--------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; nCEO ; As output driving ground ; +; Data[0] ; As input tri-stated ; +; Data[1]/ASDO ; As input tri-stated ; +; Data[7..2] ; Unreserved ; +; FLASH_nCE/nCSO ; As input tri-stated ; +; Other Active Parallel pins ; Unreserved ; +; DCLK ; As output driving ground ; ++------------------------------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119006): Selected device EP4CE115F29C7 for design "RegisterDemo" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP4CE40F29C7 is compatible + Info (176445): Device EP4CE40F29I7 is compatible + Info (176445): Device EP4CE30F29C7 is compatible + Info (176445): Device EP4CE30F29I7 is compatible + Info (176445): Device EP4CE55F29C7 is compatible + Info (176445): Device EP4CE55F29I7 is compatible + Info (176445): Device EP4CE75F29C7 is compatible + Info (176445): Device EP4CE75F29I7 is compatible + Info (176445): Device EP4CE115F29I7 is compatible +Info (169124): Fitter converted 5 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4 + Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 + Info (169125): Pin ~ALTERA_DCLK~ is reserved at location P3 + Info (169125): Pin ~ALTERA_DATA0~ is reserved at location N7 + Info (169125): Pin ~ALTERA_nCEO~ is reserved at location P28 +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (332012): Synopsys Design Constraints File file not found: 'RegisterDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "AUD_ADCDAT" is assigned to location or region, but does not exist in design + Warning (15706): Node "AUD_ADCLRCK" is assigned to location or region, but does not exist in design + Warning (15706): Node "AUD_BCLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "AUD_DACDAT" is assigned to location or region, but does not exist in design + Warning (15706): Node "AUD_DACLRCK" is assigned to location or region, but does not exist in design + Warning (15706): Node "AUD_XCK" is assigned to location or region, but does not exist in design + Warning (15706): Node "CLOCK2_50" is assigned to location or region, but does not exist in design + Warning (15706): Node "CLOCK3_50" is assigned to location or region, but does not exist in design + Warning (15706): Node "CLOCK_50" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_ADDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_BA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_BA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_CAS_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_CKE" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_CS_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQM[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQM[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQM[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQM[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[17]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[18]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[19]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[20]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[21]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[22]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[23]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[24]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[25]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[26]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[27]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[28]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[29]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[30]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[31]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_DQ[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_RAS_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "DRAM_WE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "EEP_I2C_SCLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "EEP_I2C_SDAT" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_GTX_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_INT_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_LINK100" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_MDC" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_MDIO" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RST_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_COL" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_CRS" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_DV" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_RX_ER" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_EN" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET0_TX_ER" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_GTX_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_INT_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_LINK100" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_MDC" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_MDIO" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RST_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_COL" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_CRS" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_DV" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_RX_ER" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_EN" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENET1_TX_ER" is assigned to location or region, but does not exist in design + Warning (15706): Node "ENETCLK_25" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "EX_IO[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[17]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[18]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[19]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[20]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[21]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[22]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_ADDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_CE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_DQ[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_OE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_RST_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_RY" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_WE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "FL_WP_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[17]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[18]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[19]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[20]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[21]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[22]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[23]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[24]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[25]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[26]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[27]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[28]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[29]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[30]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[31]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[32]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[33]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[34]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[35]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "GPIO[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX0[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX1[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX4[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX5[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX6[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HEX7[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKIN0" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKIN_N1" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKIN_N2" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKIN_P1" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKIN_P2" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKOUT0" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKOUT_N1" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKOUT_N2" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKOUT_P1" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_CLKOUT_P2" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_D[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_D[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_D[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_D[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_N[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_RX_D_P[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_N[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "HSMC_TX_D_P[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "I2C_SCLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "I2C_SDAT" is assigned to location or region, but does not exist in design + Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design + Warning (15706): Node "KEY[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "KEY[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "KEY[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_BLON" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_DATA[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_EN" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_ON" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_RS" is assigned to location or region, but does not exist in design + Warning (15706): Node "LCD_RW" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDG[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[17]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_DREQ[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_INT" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "OTG_WR_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "PS2_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "PS2_CLK2" is assigned to location or region, but does not exist in design + Warning (15706): Node "PS2_DAT" is assigned to location or region, but does not exist in design + Warning (15706): Node "PS2_DAT2" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_CMD" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_DAT[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_DAT[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_DAT[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_DAT[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SD_WP_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "SMA_CLKIN" is assigned to location or region, but does not exist in design + Warning (15706): Node "SMA_CLKOUT" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[17]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[18]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[19]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_ADDR[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_CE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[8]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_DQ[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_LB_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_OE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_UB_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "SRAM_WE_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[10]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[11]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[12]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[13]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[14]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[15]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[16]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[17]" is assigned to location or region, but does not exist in design + Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_CLK27" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_RESET_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design + Warning (15706): Node "UART_CTS" is assigned to location or region, but does not exist in design + Warning (15706): Node "UART_RTS" is assigned to location or region, but does not exist in design + Warning (15706): Node "UART_RXD" is assigned to location or region, but does not exist in design + Warning (15706): Node "UART_TXD" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_BLANK_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_B[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_CLK" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_G[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_HS" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[0]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[1]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[2]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[3]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[4]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[5]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[6]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_R[7]" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_SYNC_N" is assigned to location or region, but does not exist in design + Warning (15706): Node "VGA_VS" is assigned to location or region, but does not exist in design +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y37 to location X115_Y48 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (144001): Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 507 warnings + Info: Peak virtual memory: 1151 megabytes + Info: Processing ended: Wed Mar 22 09:19:57 2023 + Info: Elapsed time: 00:00:17 + Info: Total CPU time (on all processors): 00:00:24 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg. + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.summary b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.summary new file mode 100644 index 0000000..90909a4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Wed Mar 22 09:19:56 2023 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : RegisterDemo +Top-level Entity Name : RegisterDemo +Family : Cyclone IV E +Device : EP4CE115F29C7 +Timing Models : Final +Total logic elements : 8 / 114,480 ( < 1 % ) + Total combinational functions : 0 / 114,480 ( 0 % ) + Dedicated logic registers : 8 / 114,480 ( < 1 % ) +Total registers : 8 +Total pins : 18 / 529 ( 3 % ) +Total virtual pins : 0 +Total memory bits : 0 / 3,981,312 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 532 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.flow.rpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.flow.rpt new file mode 100644 index 0000000..40fc3f4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.flow.rpt @@ -0,0 +1,136 @@ +Flow report for RegisterDemo +Wed Mar 22 09:20:09 2023 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Wed Mar 22 09:20:09 2023 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; RegisterDemo ; +; Top-level Entity Name ; RegisterDemo ; +; Family ; Cyclone IV E ; +; Device ; EP4CE115F29C7 ; +; Timing Models ; Final ; +; Total logic elements ; 8 / 114,480 ( < 1 % ) ; +; Total combinational functions ; 0 / 114,480 ( 0 % ) ; +; Dedicated logic registers ; 8 / 114,480 ( < 1 % ) ; +; Total registers ; 8 ; +; Total pins ; 18 / 529 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 3,981,312 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 532 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 03/22/2023 09:19:27 ; +; Main task ; Compilation ; +; Revision Name ; RegisterDemo ; ++-------------------+---------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ +; COMPILER_SIGNATURE_ID ; 198516037997543.167947676707173 ; -- ; -- ; -- ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_symbol ; +; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; +; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:12 ; 1.0 ; 429 MB ; 00:00:32 ; +; Fitter ; 00:00:16 ; 1.0 ; 1151 MB ; 00:00:24 ; +; Assembler ; 00:00:06 ; 1.0 ; 364 MB ; 00:00:05 ; +; Timing Analyzer ; 00:00:03 ; 1.0 ; 534 MB ; 00:00:03 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 612 MB ; 00:00:01 ; +; Total ; 00:00:37 ; -- ; -- ; 00:01:05 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+------------------+----------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+------------------+----------------+------------+----------------+ +; Analysis & Synthesis ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; Fitter ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; Assembler ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; ++----------------------+------------------+----------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off RegisterDemo -c RegisterDemo +quartus_fit --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo +quartus_asm --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo +quartus_sta RegisterDemo -c RegisterDemo +quartus_eda --read_settings_files=off --write_settings_files=off RegisterDemo -c RegisterDemo + + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.jdi b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.jdi new file mode 100644 index 0000000..bca186f --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.rpt b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.rpt new file mode 100644 index 0000000..b78f94c --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.rpt @@ -0,0 +1,286 @@ +Analysis & Synthesis report for RegisterDemo +Wed Mar 22 09:19:39 2023 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Wed Mar 22 09:19:39 2023 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; RegisterDemo ; +; Top-level Entity Name ; RegisterDemo ; +; Family ; Cyclone IV E ; +; Total logic elements ; 8 ; +; Total combinational functions ; 0 ; +; Dedicated logic registers ; 8 ; +; Total registers ; 8 ; +; Total pins ; 18 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP4CE115F29C7 ; ; +; Top-level entity name ; RegisterDemo ; RegisterDemo ; +; Family name ; Cyclone IV E ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------------------------------------+---------+ +; Register8.vhd ; yes ; User VHDL File ; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd ; ; +; RegisterDemo.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf ; ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------------------------------------+---------+ + + ++------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+--------------+ +; Resource ; Usage ; ++---------------------------------------------+--------------+ +; Estimated Total logic elements ; 8 ; +; ; ; +; Total combinational functions ; 0 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 0 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 8 ; +; -- Dedicated logic registers ; 8 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 18 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; KEY[0]~input ; +; Maximum fan-out ; 8 ; +; Total fan-out ; 50 ; +; Average fan-out ; 1.14 ; ++---------------------------------------------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------------+--------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------------+--------------+--------------+ +; |RegisterDemo ; 0 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 18 ; 0 ; |RegisterDemo ; RegisterDemo ; work ; +; |Register8:inst| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |RegisterDemo|Register8:inst ; Register8 ; work ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------------+--------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 8 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 8 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-------------------+---------------------------------+ +; Type ; Count ; ++-------------------+---------------------------------+ +; boundary_port ; 18 ; +; cycloneiii_ff ; 8 ; +; ENA ; 8 ; +; ; ; +; Max LUT depth ; 0.00 ; +; Average LUT depth ; 0.00 ; ++-------------------+---------------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:01 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Wed Mar 22 09:19:26 2023 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RegisterDemo -c RegisterDemo +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 2 design units, including 1 entities, in source file Register8.vhd + Info (12022): Found design unit 1: Register8-Behavioral File: /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd Line: 14 + Info (12023): Found entity 1: Register8 File: /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd Line: 4 +Info (12021): Found 1 design units, including 1 entities, in source file RegisterDemo.bdf + Info (12023): Found entity 1: RegisterDemo +Info (12127): Elaborating entity "RegisterDemo" for the top level hierarchy +Info (12128): Elaborating entity "Register8" for hierarchy "Register8:inst" +Info (286030): Timing-Driven Synthesis is running +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 26 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 10 input pins + Info (21059): Implemented 8 output pins + Info (21061): Implemented 8 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 429 megabytes + Info: Processing ended: Wed Mar 22 09:19:39 2023 + Info: Elapsed time: 00:00:13 + Info: Total CPU time (on all processors): 00:00:32 + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.summary b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.summary new file mode 100644 index 0000000..4744268 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Wed Mar 22 09:19:39 2023 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : RegisterDemo +Top-level Entity Name : RegisterDemo +Family : Cyclone IV E +Total logic elements : 8 + Total combinational functions : 0 + Dedicated logic registers : 8 +Total registers : 8 +Total pins : 18 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.pin b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.pin new file mode 100644 index 0000000..bb54ae1 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.pin @@ -0,0 +1,851 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "RegisterDemo" ASSIGNED TO AN: EP4CE115F29C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +VCCIO8 : A2 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : +VCCIO8 : A5 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 : +VCCIO8 : A9 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 8 : +VCCIO8 : A13 : power : : 2.5V : 8 : +GND+ : A14 : : : : 8 : +GND+ : A15 : : : : 7 : +VCCIO7 : A16 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : +VCCIO7 : A20 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A22 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A23 : : : : 7 : +VCCIO7 : A24 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A25 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A26 : : : : 7 : +VCCIO7 : A27 : power : : 2.5V : 7 : +VCCIO2 : AA1 : power : : 2.5V : 2 : +GND : AA2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA6 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : +GNDA1 : AA9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : +VCCIO3 : AA11 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : +VCCIO4 : AA18 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : +GNDA4 : AA20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AA26 : : : : 5 : +GND : AA27 : gnd : : : : +VCCIO5 : AA28 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB22 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AB25 : : : : 5 : +SW[7] : AB26 : input : 2.5 V : : 5 : Y +SW[4] : AB27 : input : 2.5 V : : 5 : Y +SW[0] : AB28 : input : 2.5 V : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : AC1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC5 : : : : 2 : +GND : AC6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC8 : : : : 3 : +GND : AC9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC12 : : : : 3 : +GND : AC13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC15 : : : : 4 : +GND : AC16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC19 : : : : 4 : +GND : AC20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC22 : : : : 4 : +GND : AC23 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AC24 : : : : 5 : +SW[8] : AC25 : input : 2.5 V : : 5 : Y +SW[5] : AC26 : input : 2.5 V : : 5 : Y +SW[2] : AC27 : input : 2.5 V : : 5 : Y +SW[1] : AC28 : input : 2.5 V : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : AD1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD5 : : : : 3 : +VCCIO3 : AD6 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD8 : : : : 3 : +VCCIO3 : AD9 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD12 : : : : 3 : +VCCIO3 : AD13 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD15 : : : : 4 : +VCCIO4 : AD16 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD19 : : : : 4 : +VCCIO4 : AD20 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD22 : : : : 4 : +VCCIO4 : AD23 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD24 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AD25 : : : : 4 : +SW[6] : AD26 : input : 2.5 V : : 5 : Y +SW[3] : AD27 : input : 2.5 V : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : AD28 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE12 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE13 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE22 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE23 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE24 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE25 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE28 : : : : 5 : +GND : AF1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF4 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF5 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF8 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF9 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF12 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF13 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF19 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF20 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF22 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF23 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF24 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF25 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF26 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AF27 : : : : 5 : +GND : AF28 : gnd : : : : +VCCIO2 : AG1 : power : : 2.5V : 2 : +GND : AG2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG4 : : : : 3 : +GND : AG5 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG8 : : : : 3 : +GND : AG9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG12 : : : : 3 : +GND : AG13 : gnd : : : : +GND+ : AG14 : : : : 3 : +GND+ : AG15 : : : : 4 : +GND : AG16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG19 : : : : 4 : +GND : AG20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG22 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG23 : : : : 4 : +GND : AG24 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG25 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AG26 : : : : 4 : +GND : AG27 : gnd : : : : +VCCIO5 : AG28 : power : : 2.5V : 5 : +VCCIO3 : AH2 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH3 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH4 : : : : 3 : +VCCIO3 : AH5 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH6 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH7 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH8 : : : : 3 : +VCCIO3 : AH9 : power : : 2.5V : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH10 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH11 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH12 : : : : 3 : +VCCIO3 : AH13 : power : : 2.5V : 3 : +GND+ : AH14 : : : : 3 : +GND+ : AH15 : : : : 4 : +VCCIO4 : AH16 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH17 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH18 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH19 : : : : 4 : +VCCIO4 : AH20 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH21 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH22 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH23 : : : : 4 : +VCCIO4 : AH24 : power : : 2.5V : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH25 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AH26 : : : : 4 : +VCCIO4 : AH27 : power : : 2.5V : 4 : +VCCIO1 : B1 : power : : 2.5V : 1 : +GND : B2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +GND : B5 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 : +GND : B9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 8 : +GND : B12 : gnd : : : : +GND : B13 : gnd : : : : +GND+ : B14 : : : : 8 : +GND+ : B15 : : : : 7 : +GND : B16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : +GND : B20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B23 : : : : 7 : +GND : B24 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B25 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : B26 : : : : 7 : +GND : B27 : gnd : : : : +VCCIO6 : B28 : power : : 2.5V : 6 : +GND : C1 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C12 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C23 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C24 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C25 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C26 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : C27 : : : : 6 : +GND : C28 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1 : +GND : D3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D5 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D18 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D23 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D24 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D25 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D28 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1 : +~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 : +VCCIO8 : E6 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E8 : : : : 8 : +VCCIO8 : E9 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 8 : +VCCIO8 : E13 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : +VCCIO7 : E16 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E17 : : : : 7 : +LEDR[5] : E18 : output : 2.5 V : : 7 : Y +LEDR[2] : E19 : output : 2.5 V : : 7 : Y +VCCIO7 : E20 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 7 : +VCCIO7 : E23 : power : : 2.5V : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E24 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E25 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E28 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F3 : : : : 1 : +~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : input : 2.5 V : : 1 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : F5 : : : : 1 : +GND : F6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 : +GND : F9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 8 : +GND : F13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : +GND : F16 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 7 : +LEDR[4] : F18 : output : 2.5 V : : 7 : Y +LEDR[1] : F19 : output : 2.5 V : : 7 : Y +GND : F20 : gnd : : : : +LEDR[3] : F21 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 7 : +GND : F23 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F28 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G5 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G6 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 7 : +LEDR[0] : G19 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G28 : : : : 6 : +VCCIO1 : H1 : power : : 2.5V : 1 : +GND : H2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : H3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H4 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H5 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H6 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H7 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H8 : : : : 8 : +GNDA3 : H9 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 : +VCCIO8 : H11 : power : : 2.5V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 7 : +VCCIO7 : H18 : power : : 2.5V : 7 : +LEDR[7] : H19 : output : 2.5 V : : 7 : Y +GNDA2 : H20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H26 : : : : 6 : +GND : H27 : gnd : : : : +VCCIO6 : H28 : power : : 2.5V : 6 : +GND+ : J1 : : : : 1 : +GND : J2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J5 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J6 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J7 : : : : 1 : +VCCA3 : J8 : power : : 2.5V : : +VCCD_PLL3 : J9 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J10 : : : : 8 : +GND : J11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J12 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J14 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 7 : +GND : J18 : gnd : : : : +LEDR[6] : J19 : output : 2.5 V : : 7 : Y +VCCD_PLL2 : J20 : power : : 1.2V : : +VCCA2 : J21 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J26 : : : : 6 : +GND+ : J27 : : : : 6 : +GND+ : J28 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K4 : : : : 1 : +VCCIO1 : K5 : power : : 2.5V : 1 : +GND : K6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.2V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.2V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.2V : : +GND : K18 : gnd : : : : +VCCINT : K19 : power : : 1.2V : : +GND : K20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +GND : K23 : gnd : : : : +VCCIO6 : K24 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K28 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L4 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L5 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 : +GND : L9 : gnd : : : : +VCCINT : L10 : power : : 1.2V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.2V : : +GND : L17 : gnd : : : : +VCCINT : L18 : power : : 1.2V : : +GND : L19 : gnd : : : : +VCCINT : L20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L28 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 1 : +nSTATUS : M6 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 1 : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.2V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.2V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.2V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.2V : : +GND : M18 : gnd : : : : +VCCINT : M19 : power : : 1.2V : : +GND : M20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 6 : +MSEL2 : M22 : : : : 6 : +KEY[0] : M23 : input : 2.5 V : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : M24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M28 : : : : 6 : +VCCIO1 : N1 : power : : 2.5V : 1 : +GND : N2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N3 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N4 : : : : 1 : +VCCIO1 : N5 : power : : 2.5V : 1 : +GND : N6 : gnd : : : : +~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : input : 2.5 V : : 1 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 1 : +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.2V : : +GND : N17 : gnd : : : : +VCCINT : N18 : power : : 1.2V : : +GND : N19 : gnd : : : : +VCCINT : N20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 6 : +MSEL0 : N22 : : : : 6 : +GND : N23 : gnd : : : : +VCCIO6 : N24 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N26 : : : : 6 : +GND : N27 : gnd : : : : +VCCIO6 : N28 : power : : 2.5V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 1 : +~ALTERA_DCLK~ : P3 : output : 2.5 V : : 1 : N +nCONFIG : P4 : : : : 1 : +TCK : P5 : input : : : 1 : +TDO : P6 : output : : : 1 : +TDI : P7 : input : : : 1 : +TMS : P8 : input : : : 1 : +VCCINT : P9 : power : : 1.2V : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.2V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.2V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.2V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.2V : : +GND : P18 : gnd : : : : +VCCINT : P19 : power : : 1.2V : : +GND : P20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +MSEL3 : P22 : : : : 6 : +MSEL1 : P23 : : : : 6 : +CONF_DONE : P24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P27 : : : : 6 : +~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : P28 : output : 2.5 V : : 6 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : +nCE : R8 : : : : 1 : +GND : R9 : gnd : : : : +VCCINT : R10 : power : : 1.2V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.2V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.2V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.2V : : +GND : R17 : gnd : : : : +VCCINT : R18 : power : : 1.2V : : +GND : R19 : gnd : : : : +VCCINT : R20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R28 : : : : 5 : +VCCIO2 : T1 : power : : 2.5V : 2 : +GND : T2 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 : +VCCIO2 : T5 : power : : 2.5V : 2 : +GND : T6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 2 : +VCCINT : T9 : power : : 1.2V : : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.2V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.2V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.2V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.2V : : +GND : T18 : gnd : : : : +VCCINT : T19 : power : : 1.2V : : +GND : T20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +GND : T23 : gnd : : : : +VCCIO5 : T24 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T26 : : : : 5 : +GND : T27 : gnd : : : : +VCCIO5 : T28 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 2 : +GND : U9 : gnd : : : : +VCCINT : U10 : power : : 1.2V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.2V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.2V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.2V : : +GND : U17 : gnd : : : : +VCCINT : U18 : power : : 1.2V : : +GND : U19 : gnd : : : : +VCCINT : U20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U28 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 2 : +VCCINT : V9 : power : : 1.2V : : +GND : V10 : gnd : : : : +VCCINT : V11 : power : : 1.2V : : +GND : V12 : gnd : : : : +VCCINT : V13 : power : : 1.2V : : +GND : V14 : gnd : : : : +VCCINT : V15 : power : : 1.2V : : +GND : V16 : gnd : : : : +VCCINT : V17 : power : : 1.2V : : +GND : V18 : gnd : : : : +VCCINT : V19 : power : : 1.2V : : +GND : V20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V28 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W4 : : : : 2 : +VCCIO2 : W5 : power : : 2.5V : 2 : +GND : W6 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 2 : +GND : W9 : gnd : : : : +VCCINT : W10 : power : : 1.2V : : +GND : W11 : gnd : : : : +VCCINT : W12 : power : : 1.2V : : +GND : W13 : gnd : : : : +VCCINT : W14 : power : : 1.2V : : +GND : W15 : gnd : : : : +VCCINT : W16 : power : : 1.2V : : +GND : W17 : gnd : : : : +VCCINT : W18 : power : : 1.2V : : +GND : W19 : gnd : : : : +VCCINT : W20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : +GND : W23 : gnd : : : : +VCCIO5 : W24 : power : : 2.5V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : W28 : : : : 5 : +GND+ : Y1 : : : : 2 : +GND+ : Y2 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y5 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 2 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 2 : +VCCA1 : Y8 : power : : 2.5V : : +VCCD_PLL1 : Y9 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : +GND : Y11 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y12 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y14 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y15 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : +GND : Y18 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y19 : : : : 4 : +VCCD_PLL4 : Y20 : power : : 1.2V : : +VCCA4 : Y21 : power : : 2.5V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : Y26 : : : : 5 : +GND+ : Y27 : : : : 5 : +GND+ : Y28 : : : : 5 : diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sld b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sld @@ -0,0 +1 @@ + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sof b/1ano/2semestre/lsd/pratica04/RegisterDemo/output_files/RegisterDemo.sof new file mode 100644 index 0000000000000000000000000000000000000000..e66135f0254cbf467d67e23e443967ff8f8e8aff GIT binary patch literal 3541738 zcmeFa!H;amlHS*ke5A$%A<#BKTo{BMc<|y9FlRW=Gms37jD*LM4atCH3)XgOG>;=u zn3+es_r~zbh(Cc#FYkh0n=7vG#(;O;a(Q=Nd#8nAIeyjW9>YLx0@6~%(f7b~A;XnJMKmCV)_=kV|kAC@I{ORAjdjFW}fBc)@ee=7& z`ubN_|H0KubNP24{J($a-~PL!{omJL82`?{{cjAry3&8k$eI7}>Jy%tiTy{#|H(i8 z7gzu3mHv!#*fq}htFM3i&At+^^1R^|I0u9Kk)q1 z|MNfk)z#I1%+sqk_W1SR{_fkq{oSAarFHw;KlmTM{#W1p_IF?Z=D+^>uYdjf|K?ZU zT>Z&!|LRwN_U&(e{cpbhi{Jg(H-Gb&zy2>j68V$m1kde=RPxuq`r|+Q)i?j@>)-zF z&;I^b|MDOF;?Mv1kN)#te)SiB`O9Da(ZBrrU;VwW|L8CO;*Wpv2gm3?xDoy*;LJSzxete{9+^FFXY=l|J}d-2fx_V_}gFqeAz@_#(##M@6fY z1|I?3P~~V8{BEjjs##UJae35ZC&;W`3=TH!bE0d^fny7+<~Mt@dq%mIl03(2&-FOE z+`KIH`BKwXl%0FGsEvBZ>Ii8Htk*P5~DaQTY?_K?DofCJI^19#~b9F74>gru!odJU-U)`Dq$AXEiv%#jpp8eQ@dDV$W z$fkWxbZw*4hKtY}I@wL-y0RPdyt3CxP-|YhxZ6Bgo4Zc1;o?+nvld`a-a8)LcvWqu zQoGTcME&O*E_3Idze;sXySZe2!evUWGpBOZ$P-L1fozr1o>q;o3$`=6%TGkp)`E{t z_1f3jz*=d~zPt#Jz*^6{Pm?+aZeNV+g5Opq>nmxQ!Bxs;X9=bPk5mDfQ}^|%at?R0 zrULecG{vsh1yiU;NOi<1eNur`AQeajQh`+95h(ET5!CoZN__Q1`p7m?fmGn80xxbJ zxX*v?p3Re~z-24&z0W;ayua7za0ny=HY1%;?~*=02c!6~fE1(c8xQ=Dow8!iFb zoZ(iq>C%piJ%VWh(lf!Kog{W4788a+5?q_c1smi#&%6~Y$iG6%TLTV$$pB4LLpN7c za35$Nx273~;NWtFfP!?*)KHv!RRqXQs~`lK6^7k}7S499*_#sXSGK0>Cb)~kM|TOD z8S`=?`Kwvng^4rEKA1&3#yhpQu@cfN6-WhAfmGnZ6j&Cm50-zISaxSlcS27`b&F}{ zI$>F&-pY8s!lGugVv{WD!NCi@XR3H^d1yX@jAQeajQh`(;6-Wi{qJR)I zxG6EjKgcz{57yXO9xBM)@i}fkTDU;rmJHCfC${fdeyP7$m{9YlB>^dAt2!@~P+TTXyS3=*KM?pldmA zA8OuqFHJh60;xbMkP4&%slfA9Kxw_MtKFqub-}f1Ul{sxTR;k4ZLXt>d94d>wdZaf zFIKisb}L;nwC#I?<3*@}O1$4bNXx#n(hCmV7H+w;NWsK@8ItlOtjhrDSH`!VW%xyC{_Zu^Zk7wD(= z8ZU{0lYbSK@c7FQA6yA&SeFYZAzgd@S!-?z@i9BI!K^UM=uIKsOu71rpEVsG*lVi% zyY~3j9{RowpWEY&J+A(aJ^sEustYIEX7Phuq{DVe_I2#ftU=clt?}bqEo`_fu=chA z-n1wy_En_Qo@*`mn645;PY%7hVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHq1oG-q)|si ze6RD%UG!cYCk>X9vTez9dw9Yl?j^Hqxo6Iz@AA&LO_n4KWA!Z4#Zh1jobO@{|24DCv*3$9%oWT#%t3H+HCK?z<+|X334`mQAR7`+bZwC9 zB=fdg3=W$XNLx7jcHVyCw~tfTa^7AkB2|sMikXAp^bXUc;D7u34xD@5rq8?m>^>Dp z1)iM(yl-?&JNN)x27J;b6-WhAf#<3~e!uK&SN?FeOqnPZc$NwXT@P+bbOmCUX^`tY z`(R=P)jgVBWd`ElmkiJ}HFVBCm=!9d-W5zb%mwra^#)Vp=;*5=gvaaznH7e0V@f%w zY#cPr$OVUXlGue* zauK{}hD%)R5p2v2_dCe7%qS&eyi;}D_=zhcxN9-Hg$m(r$pBrOvJXa9VF>GT0VQO_ z6enL50gBm|n{~nKj{CP3DTKF^#4f~Q!Z1jJYu5(3#^>#e6VKb97kXIU8ieO=$pBq@ zV)OPObnMEZAQv=mDd%Ly?*P6im8A7}pJZ&BU2y{AT0_w5h$_xvyN` z-dVaEf#t^YlRusLniwc{YHtJi+b$P3f7|6InhK->sX!`_3Zw#OP~hU$2g|O-l-6Ym ztC5yj#PB|q^{uSU#Jf$^1=p^%cTt4+)y1AF$NpX-FM`~^-CY(0TLml}tU4(NBc?d{ znhQ|OenI@6nra#%x4RbNR~LJ#9Qzus*Q4ruO!bjb-jsAQeajQh@;lSl?ch$;4`O8u!d~!m>o2R(p?l zW^cXRiKhZjN`buJp5$`QyeB=C`>mifLNVuxJ%SC6AuZV(8RS}~xRSB%qPVe*$_Q>6 zSz>krg>XMDNXx{)Df?i>h#`;batl^Mx@Mt@ldp;Z#q0!`6^6U*gApqB=p>0rlkU@Kq`<5qynixDv%1K0;xbMkP4&%sX!`l7X^f%!A*%F{z0zseX#rN-%_!= z<8$18G*IwxO9trL6Wa%C$f0O)LHl4rJ?@25kj+KsMArtna^5zT6i`>n5Kxd2Q=B#z zmWxq1D-3R01-YPkOF3z&Kq`<5qynixDv%01P6hJsK%MQn9nO|16Qu&FKq`<5JQ4+j zI@>!R)dknC4RYl^SW`&>b)^ge1sO5L>9OyF2~D^HDafWhPIPUME9Y%fNda}G3;_ih zF~wM7Q)w1yX@jAQeajQh~>!fKsTx+S&c+Teqh##<^r6+a);yHxjmvUk-Df>EC5PM%^#hSm?%WztQFb{q$br zB~ftlufh@@fBE5q&P&xTSYyH)$m`Eqb5rn)*_jPyg<(c-3h`#j)qgRr>F~f_Qzd^- ztwgKL> zC@c0=q|=^jE%=zO5=2i9y}DwJBg%SS?Rsyj*>E-FSc8nIF->Zo=*gkk+nS_NM?`$D z^UGcIUK}S4mXoq=$#i>o!Xxe_vuwF%&Z6(~&g`w5{6r4i{2$Qt0dwCybxypacE^j? zUQ<+;uGk0j803?sxa|_lkDGs)} zIXdnNE}&*UFmxNR)lINArC_y3gB>ZcX}t~1hU*G)Oa)SbR3H^d1yX@jAW}d8;^oR_ zAr*0K&JS{(Y5&$r+qAG*AsZ;v?v@PDwRq`Vi1k-%T~>1toWi}tuDBBZICPHZBt1Bb)^ge1sO5L$)6npmk z0;xbMkP2K~<>N*oHiG>em6#d+_Vak`?si& zmI|Z-sX!`_3Zw$5Kq`<5JVOQY`(sA{^a%s{b+Uot?`UKu)N-WDrVNWClg1V5J8 z{#7_}TBV@-&)aryHHnZdY#%T!6-WhAfmGlTDzLoyUryyRu@s%g%^Tz_Ti)tt(RX=g z_SQ|F4ynLXQXucQC%Kw4?@4*TbuQ7ex#S=ZbZX`cNoMs_W)Z_X^EEM0cNy>*auK{} zhD%)R5p2v2_dCe7%qS&eyi;}D_=zhcxN9-Hg$m(r$pBrOvJXa9VF>GT0VQO_6enL5 z0gBm|n{~nKj{CP3DTKF^#4f~Q!Z1jJYu5(3#^>#eoVSR+&J&);8iV#sad7ADL4acR z3!1kSdNSj80AG~xYo}+~n_0xKnK-kI-;Df#Hnq1q_m%70J4<&Xu-tfl@~1Oj69dIg z?QI}`x}*Y6N`dmEy2)lzfm9$BxKIUheS3-vQ%*q=aXgjvtdMfu@L1~2A>=60; zJGeHDy^%q#Wr{0&ot=-kGJ=~%Xv}V)5bl-?&@?gB*C{TTn64G`5WK>=TtErwnuRJ( zzA6F~vlC=i81A+YMyS}MlO%Q_788bcrPT%3t_^aH&)fX`Z9(*Pp71=@7_?`KgF9~z z0u-~~f8N3`4DBSb3$babKq`<5qynixDv%1K0;xbMkP4&%sX!`_3fx5jA!u+@Vu*i` zYkVK9v9ml>kh|k^+*Y!1fx;~rpleh1Z^ zngZ%d83GD2Vv3W$+ay44S_L7gCw|iZICPHZBt1B zb)^ge1sO5Lsm`=b5&_zr*-cM#D0uAv;p~VBPVFSI3$babKq`<5qynixDv$~^6;M!r zwX<8oG>HJeu%8qhAZl~})Ikd}RCr57B! zE!=Wxk%CG4kLa3*Uods_Og}Y`sNh3Ojx~r3H!jaDwqE{DZ)*~T$M5nwgYYFTV-tEE z(HQm1VXia%yX?oP`{f!7-MH;H+FYQY-fO%h3Qqo2Si<8kKYY-6sk#MgOn3u%{aI^n z3Z5}Lv%#z|%;-%a-b}gOXR7ePUQ;E1Pvl#B==(N&ZjU$ixZ+P(@P|FB3n$xV@q=8X z!*)sbb?ncqLDv+m@#9-9Y`842_O=1uv?wd~Rix9NYc2Sgt`bB~4!yc!jU&o>UhR5s zs@ZTg9<}t`63z@%8;#FDKHb>XZ znKfwPh`;c*_=&-a%dWBzD7bg+!{$=YEm*sxIN0ju=(sDmfSUQh&~3n0H^JJJg4G@k zcBI6n^|oGEC5zuBNLnh83Zw$5Kq`<5qyn)50u(P-HcO0{F~gWpN(!heWe6z9h$&9~><}O~t%6+8yrrD9R3H^d1yX@j;OZ)WF7j-%;BdA~ znJ5)V1yX@jAQeajQh{j-2+ZxBkLrSJ*9N(AAFQdQfVxtKfP##e;`G?}!GtDUffQuZ z9w)li!*6~N=pS&fm9$Bcp?hu6X_${NCi@XRN%=gkl!ym+a*4nEmI~+1)ik>Lf300|&;Rx|DJ5rhzhP%z%c5e!Ce7$o27JbrEfm9$Bc*F`UZ~m9l zflMq#r*ZQ}Jt7BRdtUlRj$mjR!2Nd;1YR3H^d z1yX@j;Bpkm_3bIHML7jY#PRsox3-64^Gs-vX5!tZ>Vj+62D!%f!5Woyi@~Ap(d;TS zQ1I9RY;O(O6Wa%C$f4+Q5&K}rN=7ObO6J;jjmhXv0wr`ef$W?Q6hrC;;eF<<7|$+k zv6Dx3`yxDdO9p6~8aij*T0KJQur3!+Lb_&ZC{DgA0u-|oWL6k>Z{4>K(ljF%9NI}@ z7h+Ftd~W=r?B&F2Ud`ezT+>-*pBsNjo7&q$HkP?lfm9$BNCgHISpKm!;CUt&nV3hX zanD>QEKAgBwfBf;_SVatcq;Iu6v+GSNiOHid(u<6-wH}26mzcFBiP^=(vrQAL9S(r zD;fPgnRTP3Q5nHaBTLL~pb+ks4A3+&biWbgaw$Z$4h~vY3=|w(t`Ja=t{JD|RIAw} z5unYP^(rU`L1u+v2MA|-R?I}5=JPrlqag>==rUI!z zDv%1K0;xbMkP4&%sX!|5#1#;Sf9hiuCJEt!QO ztjh(IkP%ayd{qP}W?%N73SJMk4@UBqKnlERj}u*McP+%PF7{M8-Xz(5|KmR2cWAR4 zDtN5*6b{zw!Sk-=yuEb=yNa2E;N)tqAf4H8y?cyfS}KqVqynixDv%1K0*_RI{5w!* zyKslIWy(aUKq`<5qympb0in*pO^KnF2Dx(owyCCox>AOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3 zJ3u%)VuDjUN$f&wS}O4P6p+VPa#oxQT;;sYN$`jjP-^#AJ2#dvzqhW*+ns(f!gV8^ zx!*`~1GusLd2#&;yK&}iP`esKzqd#HAp!%{9zpvcE&I+&FF15txaHC!1(Wt4(KV0w zdiA*VQ}c)lKD6XmgUH}s3~r?)kU!sB;&ok92#m$3=Gj%bYf3 z34_}{K-+$Lukn&7#QImE&1&cmA9U(+3)U_#4zE9J%}v22W@k2-6^0qTDa4y8xBrwC zE@rQ(lD{YNtv&R88$P$k8+%;wCoK5G9@T}DZL|16F4AGUB>Ot{XV#!=iq`n?trj+1 z7Fc`R0B>5975ggEY0tG5d`wpfq9=!5U9rXyWj(KUy*JftxEgY-LB`aWCN)p=*mZFv~a{D{V5^&8ZA!svj|MwZV$*tCFRYTq@6v#wMqx-x z1yX@jAQeajQi12FfY5ZjT-hu!hV&WaI@A8GmAq-8u9=r5lF*Y|GCv91lWW*FFUljq0*$FZ$3^RIDi2cgeba-IG(5|$);M%o8uAH|`B?Z)#G6WQ4 z#1togb_kH0RzWUk-cn9lDv%1K0;xbMaCMbG7kRc>a5!70Oq2>dKLwU`_Va5zJATv( z8iWq`^&zZuRV^404@k zA55&Ex<|9C%s?Fck^!2ghR*r?traSy-W7a;AMY|X6mDM?0dmtS2tj6r;g0)Y&E6DZ zzp^zQ9+)t+E3GcLHti!r2f5BOZ(mwLu?_}`J^YdZn)b@jDf70NghFap$`DYHuC;#^ zju7vrRgnA7+jeg?iIB~$10XFGNCi@XRN#>+u)O(SPW3Xe6rIM+8{{lo-s)%3cX?;_ z)=i!cslZcGAn&&)xtcTYNl)T_d+H`G?)w-sUr2J2IqHxU=iJFo&3sJ^6nUE7Y~BjV zXogE%>=A6t4fi|9wah3bBQGD}i5uIfjF_&)>=r77`)NUXHf0}-q{0x^t zLb#h2pleTT-X4UGT{#p4Da{JQ1J7GZIGOP~fX8f6V9fdMUi{kWS@vcY@oOf|EaNvL zKcG$R?aqDi8oVgW_3iD;G4nNXJC}1@oO5|96-WhAfm9$BNCi@X%T*xPx2L!^ij%)PC_rvn1-XcQutFtQ!qBd?y5QP0 zCgUL2dFHKHLH-q5-WqW5O9p6~8aikH)+7{CyHbXLf^^N)P@H^K1jtRRAOx8e1_a!< z57M+|Z%U|N*_y6NepissjbD_#oLJ4PS=@z*Gt2CA;}2<5dwa;nGIuJF3Zw$5z<>hF zqIJOYOfE7pk51#BxlUM?sMBii5zp+cmpk!P;7KWv_uG?P&YAb5r*gj)ltw7#T(L*6 z!7-#In-zmx%M@2Kc6L7E$_Q>6p)tFGLbzKpK-0v~{YH?>r4ZFRIA~chP;hX$LO?;f zW}J#st!9%%fHr5={U-$>$gD8z0O4%Uia7{Q?If`av6wInlHl64L9X$6o1eceh`!Df zp2r%4_Dpeb=j}m&V)pybTlj^cog{Wy!l6h@1s;(C@`!59Qd5CcAQeajQh`(;6-WhA zfm9$Bc)AJ*tqpEU45={4HNFql*jXMb$ldWdZYx>1K;f1Q(6uT1w`3KDur3!+LPkt+ z@>LO_nEfL5!6>mMkOFVo<3!imT?_H6i#=72H%WHiWypD35a}HIw^u@@_3{)B*0jpO zMaTwMu6yVB}{Yu5(3a^5zT6i`>n5Kxd2 zQ=A_Ayd7yYeNutTQ9v%Il&mBb7*;@rJ<~B2xHturiu~1%_r2+M{a=i8$-=Ztas+NH z?Ot5nKJ)gw<_kys<$HtT55E|w#QN=nwCp=8z2MMo;g(B_6inKGMAtn0f~lit`l)$D z1s_^+tU+YBad~dB_40RmTazd}ewWu7gfDR!o6zfs#;9KobDinmWj{vUFV|S;#%;gR z<^uinUgITEaPqIh5*~l~;e*ag)h$?K!W+oz&suX+@Qm4+4Q7R5MsEu7X3Fh9Wrd5` zYpUe$iF|7hecy)9?eWGQSNsVJ{;)@N;bhw^evpfF*e=Pwj{TW6=$fK6etfHi4VMMh z-ZsFS7G=f0igem@tpy*`Rf6crp;uR|aYR|qt6lF+H5;ym9BYsWGN%b$+>v-iza;!E#czEtzf)Pk6+=WR@-W%vtnZ-kH61lb^_8d9ywd+dI?fJ4akX zKu)~lRXAR}_L`!)bT8Y%JO;UBA@kQsyejM3=IFXPvj#03@fY3}KQUNw*;N(-1^2Ff z*j(zl1#6cS2V3179d`v6P%|GGx((RsCRm$Nu-c=+j+EH6-qs7NWbwN+V5m_T(o%s- zQJ`E(Az4Q%kP4&%&q4vA%Xqo6Sz-(cGRSqN{aY(}(?VS{FH0n$C%0sPuEk5|Lae`9 z>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RUz=WY)X?4N1YlB=lZ<|UAs4Ha% zD9DH@PX6o=AUCaoT+qCwoU~LR6-WhAfmGn?Dt|8WY_s5SwoI8Q6?lFMEbHv&*LZgP zcomTP@59I2LstI$6tH<~b{yL~AJql_t_^bKK3G#p0d=Je0R zCRR}0quEtvAP#=X08LXv=Y0Ow3KdfC3O>P)cbOUrx37u-xoH)IAhW`7$9=G7Zwj$r z*_sXyOc>ggRu^2G_K~53T<4j$FRh?h2Lr_(e#rn$du8a9d0R|EA+;-I2q;L`+P?}% zh?PUGeca+WP`^|R=^yfb_2 zCQpY{;3+AP_uG?P&6)S4Cvm?$brTo&eT-j3vJXa5VF>GT0VQO_6enL5 z0gBlPGAj)0hN^N<**NH#;LuJIyAX>B!ypN+T^r;YpSLgKS5&tc9IB?f$_x}dcEGy7 z57@LPHg69?x2_xtazXQ!a!zLa4&aM2e(m%udozppH4|r+@tct!(5Ciw=e}}%duQox z1eP1mPyTe~Yhs|-sl5&4PnT366-WhAfm9$BNCn{D6a3Uah} zKB^0@T^r;Y-v`V6+k)upekspmjX`^+IQYAR0u-}f&_38erPYO|n#N>ocP+%PF7{M8 z_BE^*>K^;I(quOfhgwhJpr+-ZWoqD@{ae#V$h_)QIJjAt3n*S)Gp!XTUvmM9*$FZ$ z3~N2gL1p8hX+|zMw3Eax#Gc&v-1tS=%Zb&zn#EnXrnAgGH~x?|wYP_CEOVyF^^8;p1Dp~mZ;Ne?-9@Jt(QCTRNzS|koVh@T+W&Iq^EMf6_iG( zc( zaq?9WpqQN?v%+wY7eqm@QiCu_IO9fJaR3H^d1yX@jAQeajQh`(;6-WhAfmGlw3J5`in-W9( zgIweLVE5U-rDAu-=eVt8py1(_4A8YH`?q8jhOjOdP(nsbaq?9WpqTxF_Q3`!tu8dx zwRYD+{OV#)m1AGSx?#x_FZiuzl!HY>p0+J!w>Tkot*3CXCgFM4a^Bv$f?dVTL2z<4 zSCII6p>|p-kP4&%sX!`_3Zw#$UxEBPP-nY_hqGnMM5#b3kP4&%k3<2X&cRKIp_T@@ za{soerhvLqhJb>MnBwH`HVKfMRzV0dD-7#?p>j~!IOv(+&`uJ&5Q_=JAPKHr8|2D) z+f-6OT`5CAK}Jk*sxxhqM1VGDcGJ@w3SK)vI6Gp3Q#(oQLTp+pkP4&%sX!`_3Zw!} z1r*d@?d+B?O(MWA#<>K4vt1J5?2YBmi>upb-Ui{?wC@d#KSW@l67IJT(z5TY^nydT zg8Iuq6?|yPu?CUh#^t%i*2~}NZB3%^_+4IS5Wd7^Y(lRi z8l!$W%yp)Jm;D%Zzg%OX8@K&Nn+x>QdySVw!O6c0OL+X{hYvb0RkvV`32z{;KWoiR z!82xOHkcKL8NDgQn<=;ZOcfs3YpUe$iF|7hecy)9?eWGQSNsVJ{;)@N;bhw^evpfF z*e=Pwj{TW6=$fK6etfHi4VMMh-ZsFS7G=f0igem@tpy*`Rf6crp;uR|aYR|qt6lF+ zH5;ym9BYsWGN%b$+>v-iza;!E#czEtzf)Pk6+=WR@-W%vtnZ z-kH61lb^_8d9ywd+dI?fJ4akXKu)~lRXAR}_L`!)bT8Y%JO;UBA@kQsyejM3=IFXP zvj#03@fY3}KQUNw*;N(-1^2Ff*j(zl1#6cS2V3179d`v6P%|GGx((RsCRm$Nu-c=+ zj+EH6-qs7NWbwN+V5m_T(o%s-QJ`E(Az4Q%kP4&%&q4vA%Xqo6Sz-(cGRSqN{aY(} z(?VS{FH0n$C%0sPuEk5|Lae`9>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RU zz=WY)X?4N1YlB=lZ<|UAs4Ha%D9DH@PX6o=AUCaoT+qCwoU~LR6-WhAfmGn?Dt|8W zY_s5SwoI8Q6?lFMEbHv&*LZgPcomTP@59I2LstI$6tH<~b{yL~AJql_t_^bKK3G#p z0d=Je0R{H+x#q}~;Lf*?;zJQqm+#CPStV4GOmo^uEp#YDulZw z19WZ5J{VbrA*{;_Y6x zjo$%$QO2*Go@H-l5x?e|&N6;8@&nq`-tOENufdD5Wi>LTCYi!&q-7Q{yfa@D19g`H zpL9tDQh`(;6-WhAfmGmf6v*}MDXv911xdv5RMxjbgM*tAkrtctgIu|PyHV}-fpEf= zG6WQ4#1yBxbG1n#K$|nW?JjdDcdMfu@L1~0y&J}wE8yrJgvRN_6wM=m(V`t|h zu8iQO5gM}_D1^Hu12jzxow5&Bj2QB`F1KJMq-z$cIQgmwP|Qw{Sz%Z=rj&!q#zD)9 zfr3LjN$f%_CJcilxOQ!jYkc13=Wh$5uk(cGvBsc1Qyl6{yUBGBpqpoES_QfPyoE&= z+DT#;V$)KAR3H^d1yX@jAQeajQh`(;6-WhAfm9$BxQhZp(BP)T5dR?8_&!);XL+a~ zcgN?rzhmJ7gl2sVOx?DgB88OAlS4Dtg_6ynv8>qCp&{WsjT?_H6i#=72 zeGTh|?{KzEnJ5)V1yX@j;E^aG)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY z(<%r-W`$whFH{aH8wWiT9NI}@7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PIabjk_gb| z%x-#`L&0kY2xmu3aB3%sU5HIf1yX@jAQeajQh`*Usepp|tDW5vrbz_&#W2@$48oVVj7{iuL}S!1hq=!5@3J4G?w4yUbmO+) zXmf#ndav=4C^-37VF{1F{P02NrRo-}G2sp5^=GZQDR{>0%m%Z+r)1s`{SCLM8uC?G}x=Ii|IrQp^HI69jd9~}ksb<5~kYf!prp7d>d7>wWW^Zef zMja9Hz0NOp(R*>6G+0i`wk6Z;;R%npm&~%|o;i!Y%R95TZt@d3EN|8)VtZ#AedmZv z2*`3RZhG*pU*O*4uhvl`MXj1`IU{Ls}|u zDGHQJDJ1Ji1yX@j;8`djbQv#KHcN~lK?b?bw0~x;Mie%aYB(cx^F?vbe6BQ`@*frnK{qs?!vhnBcBRz?*QR}B=pfg5=Iu)>DAvJ1v4>wWK+|3sI%VD#lTb+QN*MwQ z(zW)l!V%)#vAJQ?m6N2W`$N3hAax|oCFwMnvzZLksCwV2&Ph48hW!ols0Le7OY zWgm=e!VuQw0!qk;DNepB0u-|oWL6kfos@&h#zD^nhjx>Ua+ zK83S(?Z&>?&Ey(HaMxmX3l+lMv;bXuV)OPObnMEZAV_Ie7#?iilKjNR?*P6i_Y6xjn9o=l)ao- z&8u15g=;#?>~rG}X;XW9$i^~vDv%1K0;#}&0<1(Y%4A|SI*ohgI$>F&POH5~JhQi6 z?!;4pC#68%Z%=YLXWo;Z%KcVQ8lmFdrs{%g)7Tpsl2sVO zx?DgB88OAlS4Dtg_6ynv8>qCp&{WsjT?_H6i#=72eGTh|B~!fMx1LcB77cmYwwT@G zgxIy7!oiw^=UvNrd+Q2z6*C9H$<Pi^`3Nm7flfT;}KyF$EA;_#Stowz^ zL1p8hXM#gJN$f%_CJcilxOQ!jE9Y%fNda}G3;_ihF~zCQv`rEL+ML-Ot{XV#!=iq`n?trj+17Fc`R0B>5975ggE zY0tG5d`wpfq9=!5U9rXyWj(KUy*JftxEgY-LB`aWCN)p=*mZFv~a{D{V5^&8ZA!svj|MwZV$*tCFRYTq@6v#wMqx-x1ujK_aw&ym9jQPn zkP18t1%xi+<;rG>F(k+!*O~Tjt>jG$b29iAUCaoEbGd`^Yt46%0Xr0pl5;`m4R4(zBeruxI6`fk_I;=y4ACvGRSqFeK4_t z>K@ImG6Qk&O9p6~8an6mw^pc-dROoXe!R=nP`G_n1jtRRAOx8ehCA+qHG5Nt{mRyK zcwoZNuC%(~+O&@h9ppOCynSf}#X1-$_V7yvXxb}7r_9@85(=qZDMLU(y4LE=x%!2IUgv7)D6PMD+;%!iJ`l+g^=Z~L3r+#4A3++ zbk4lBdW6(rT`r)6bj{RIoP1RTC}tNwCsy-n z7I)#A&NBPl_(R&%-X5~C%$*9P0;xbMFrdKlkF5dEGr7pbJUWeg<~m_nqE4&5M?AB) zUhc$GfhVOv-fvHGIcMIJp341JP#U3_bHyIP2FH+=?2Qa^EmK^{=2yPl# zVs-rnBwHCB0w?w zvj0@@da!-4>)b*O?MkZ)u3a1C%6Z#VQb1iPLqI`BOmTYD^LC`m^hpI$fm9$BNCi@X zRN!J2$iD-1wo7w3Tc%8u3Zw$5Kq~M^6cFlkH(V5Cvt7c8t_^bKK3G#p0d=Je0RMnBw%P=k4Qi^Y%j>3rGCr zdxPQ+zZj^*`t5_X>^m#H;LvU1mP?BiOxk}$*F5}!siSB5sd+>NA6jy(L1egbd2X@w z@^^Y$lPElXm)9ADFL4>0(CdiCs9z3qo$23YKStdz*I4MrZNJgx0{!$}<0VmW@~^@Y z9)J1agU(CUEm&j18_4U=T60tIjMWGN%b$+>v-iza; z!E#czEtzf)Pk6+=WR@-W%vtnZ-kH61lb^_eoBsovK49*({rWCC9Xs{zCHm$dT*>GJ!j;TN@kP4&%sX!`_3PcJBK)hVpETkfi&G|vD zGwt76X`2={D`W$O+TD@?x)v{;3$gxct;=c-f>T(R3n(EYra1Yk2vE#UkXd1v(VIf- zSGK0Z0~3aJrPT%3t_^bKylpBepsti5pdcfrIQg?ffZVhSazXQ!a?(=-MDx&fBJv0_sW`0tzx>iqq!8*6+p$kegOPa{m?;(o%s`AQeaj zQh`(;6-WhAfoG^de!uK&7yWRyOqnPZc$NwXUAK2Wstc}7V?Sk(>pc5lVg>m-K75|j zOc>mf0h*?U&iVYU)gz=1>v91lq-&;z;^eC$KruT(W`$w>K&*05**IvLkqZv(B(V#z zm@o{I;M%m03?1Y;&%AwU1yxOVl^H1Z@Jj}0+ABk+%-dpx3aNJmpWw$5+rJ7YPOB7j z|9RW)ttJt&h3x~Tr2?ryDv%01LIsvL|I4XdCYGYpxOsz|Wy@RrEc!0*%-*`m(;*dj zN($ut_9Rzx<~=Fzx6UP6HkTaaflkeQA<3+s$}D1dXTBx|>MjF5LoR|B&2Wi}J%Ww7 z;eH3XmKmjFjCZPz8$WSn1a~cFw@@M6Eg7I|Q})5gDhy#=E}(>rnBwHCB0w?wa$%l+FcMWm{6S21%CoLtQnq;k0~cwoZddML<-gcD6;G7fT`XWohx zv}s}U>NF4szhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q+Xrb{vo|Hw zuWU`%B)==j=f*F}UQVp$)hzD9#F=IGx$%dzsl7d9W0^Y@NCi@XRA4}XWzjm|c_tT` zm`A5^&s--gOVnw#_lRfq*2|rED)6Kf$ouU{F6Yd9(o?zL3Q8l?W`}6M!7-#In-zmx z%M@3O=aq?9WpqPD` z^aZbVV@f%wY#g+#7$`Wjlf*8>k}mep#pA6DC%X0y1sRvZSG#s&^Yga_k=A*_b5|z? z88OAl)%+kpF*`wKg<-8nIjC$L^h|JQCy8B%J*GQ8$srX;1yX@jAQeajQh`(;6-WhA zfm9$BNCi@XaRmg|!A*%F)$h9f`JQztGR;2*K7E80!>;fkP4&%sX!`_3Zw!Tt3du8sIy&~!`U)rqEsLiNCi@X zN1}jG=isKqP)mbcxqsVKQ$SrQLqI`BOmXsen*_*Bs~`lK6^3=cP&ue<9P~_ZXeWtX zh{c3qkObGR4RYnYZ7M0Cu9P95AS0$Y)tRSC z+(qxjanfKpDchDzw}&S@;$AY#mV4$b`Y!Lx-nz+8_zQh+UGx*-e+6 zXqv5V6bGLx1cq({wz}C?vq_?c25Hw8@Nh4Nf^@Cj+nPk-*;H1qeK$ioqyiVHK)Jx0 zvZPcX6-Wi1t^z`9@p5Ie#28XxkZaj_P&hY9>N;j!>g-pwHHhG@#q1U;gu5jJbS+*w z7h=~Il!ICa2R#!U!n#~Q2^lfPsaCV$5}?hQT?^(=@Y(^w*%1?*+DT#;VliPDB*C?7 zgIqapn@S3(D`f~M$cQOUkA2>bG@3rCKq`<5qyo=Df&971vt8xG*)nCKR3H^d1yX^F zS3oYl!YnWq*ef8%Q^(QWa8dBhb_plCHprFxU`-_j)Ri&>6lBB{r_F_}d*%Y!yB zriRY>{H@g^qz>zH0VSksriS9=t0F)#J3(fJVcn)w4k{Z5O*3-Ap`9dlAr=#cK@wb> z_K~53T<4j$FRh@e>8>&Z#U6gi08M*k=#+U|tWY8Ku3*w(E}%!KH?)5hju0NR-+$f~ zBOgc-yHH?SDv%1K0;#|wRbYAZznto2VktU}n>XrNw!GEPqVMv~?5&$T9a4d(q(I(p zPjWS9-jniv>s+E`bICy-=+w*?lFaI<%p!(&=4)c0?lR!hagif_9BGD2T%gDv91lWW*FFUljq0*_WGj!RwCu zw-zacx0A#!OZYpHkgsd+P>^vce7=UOFfVf6BGM`c&tr{2d!{(JnjZuxX1|DeONl2o zeh2VH8NYUVmc5xp{F;d~%lOU64`@?+yK`UM6E4b@)yR~ZWD2X1mRZE`&U{S_)LjOA z(j^s01yX@jAQeajQi01+AlJ92xEAFUBoW6`S>Fl`ws$_N3$9%oY8H24 z;>*Y>7 z6?jq#8adr1*H*+IallvY;X)|$!5hM*D}SGjGdj2xH5v9Mrh1#pb+ks z4A3+&biWbgaw$Z$4h~vY3=|w(t`Ja=t{JD|RIAw}5unYPb^l302r?@SJ3u(wvtkZ{ zQ#(oQLM$c>gCw|iZIEkx-sb0T3!<;{gy*rwpgmI@+(#XeWtXh)qic zQh`(;6-WhAfm9$BNCi@XR3H^d1yX@j;4TUXL4%tTL;QnWv91lWW*FFUljq0*)L@u%=QEaDy=Rw)wOolLj3AtPnBa|!@6O4 zkMBFQ*$ovu)_MvDH7y4{%Xxe23ibp87Y0{z1?kKNT+&j3R3H^d1yX@jAQgDj3gq8` zI@{$toGnu(N(EAZR3H_2Bnk+14sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-s zVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S z@Y(^w*%1?*+DT#;V%OKt@p%rZz++Khx$i%ga5W_5NySw}k07`o%bx zEM&VRN8rZt=f&0SGjA7H3rGCrdxPQ+5g4e%`t5_X>^m#H;LvU1mP?BiOxk}$*F5}! zsiSB5sd+>NA6jy(L1egbd2X@w@^^Y$lPElXm)9ADFL4>0(CdiCs9z3qo$23YKStdz z*I4MrZNJgx0{!$}<0VmW@~^@Y9)J1agU(CUEm&j18_4U=T60tIjMf5L)4>``4f**1$G)fHC6%XTo2K`vRy{B;tq%DT2Wx^B*_K?_Ixg}22|3|3rr zm4!gTy=xyfmwIl&+9k!oRyRk-UBLy^%m;>U1Gc&e)}|D!_GqvpB{r?M^};Gy{4Na` zY7~aFRNzt+D3?-5){zRN0;#~WP(bK1UaoAG7(;>#a-C`a)=J*AP}j`M5=rREEg7I| z@zS{v>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6^0qTDa3wdYdSnIVQ5!cU2yH%AXm=Y zrji2cN*MwQGGdC8KRX1-O{*XmG;b*KkJT`5CAK}Jk*dhGjP zLKCh)3bJXB6I~nR%6Z#VQb1iPLqI`BOmW&=*!tZV0dmtS$g-|1JYT;Npd3^-4tgdy zw3Eax#HOVJsX!`_3Zw$5Kq`<5qykS$0oK+RZ~6VQvt6RY*)rWDQMpHKhNc1!tALPx za8sgNDf=mdT<6&b6Dz3h(d;TS5C^|xfTpRTb3T7-g$k*61)t!@yG#v*+gC+^+_VZp zkXd24<33okH-*@*Y)yv;CJgOLs|&78`^eBiuJg>>msU`$gMnfXzhr=>y)tylye%f7 zklK|p1Qeue?O%l>#Jgz~>^LY0Gh28v+%Jwymtv1#lW%n~2gPfXWEb0DBe-iZyM+qjYdwX7 z+Z%Yg0}^5^+40^{vogd*`FN;M%o8uH|1$3SYcab^O3-?t>xHy6?pE zSYyzhDGt8o0u-}f&_38erPYO|n#K%kcP+%PF7{M8_BE^*>K^k}n(PMRQ0pli)U+J5 zObwi~e{1>(nOB_(2RG|-0mZ9prnTbaYc4=BJ3(fJVXa3wsB9cG&Bz6Zc9PhI*pnNd z8^0)fIkB2ov$zY_be7rY#vjtA_V$pCW$siU6-WhAfdK_riC&b+#At)MhQ#k)<_1=psrH!{ezOmT&;?xMJ{jmija z8d+j?1BGxuElA76z$yD+#fTw~>v9WLLb_(5ij%L30LAPCnH7e+?Sm02_UI&uU5Lem zpgeVr#fk2MDEnd0Ei+k*hb?DwCy@C!pbN$f&wS}KqVqynix zDv%1K0;xbMkP4&%sX!`_3Zw#eQ9uY9+>{vNALJU}2fNSyEfu>vKF4h(0|gJaWPq+s z*}o;LFobovfD$rdij%L30LAPVv=25=X?3BguC==s;#U`YsvP?o)(uOhc)@QyqZ}+6 z^0aL+yTu8yYdwX7H3`qVmh<-373?Zz4uX@bxq`&k3$@cyfm9$BNCi@XR3H_2{0ijX zfjZkYJe)04CQ1cTfm9$Bcq9r4bq;Py47D`KmHW3%H3ig_G6WQ4#1togw@HB9vPi^`3Nm7fQ=Ms>Bm%TKvzwmg zQ1IFT!r2iMoZ3lZ7h=;=fm9$BNCi@XR3H^-DxjeLYG=2EX%Yc`G0r9Uo9&VaXKyTj zUR>Qi^EL?ArhRW{{2>AZm2kg(kd}RCr57B!E!=Wxk%CG4kLa3*Uods_Og}Y`sNh3O zjx~r3H!jaDwqE{DZ)*~T$M5nwgYYFTV-tEE(HQm1VXia%yX?oP`{f!7-MH;H+FYQY z-fO%h3Qqo2Si<8kKYY-6sk#MgOn3u%{aI^n3Z5}Lv%#z|%;-%a-b}gOXR7ePUQ;E1 zPvl#B==(N&ZjU$ixZ+P(@P|FB3n$xV@q=8X!*)sbb?ncqLDv+m@#9-9Y`842_O=1u zv?wd~Rix9NYc2Sgt`bB~4!yc!jU&o>UhR5ss@ZTg9<}t`63z@%8;#FDKHb>XZnKfwPh`;c*_=&-a%dWBzD7bg+!{$=Y zEm*sxIN0ju=(sDmfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zvs0Yi<#kd_KuiUQ?Q z3duTBfm9$Bcoqr>UB=6m%@SiskU_39?cZ9-n-=Ptd08R}J-HdN~;U5T^r=edD~P{KwT+AKtV=K zaq?$}0J&)uH9_raP<3aBe(2q?&iDNc`lA53V%6-Yrg?Qx=O zgIqapn@S3(D`f~M$cQOUn+sdN8zVq&S_N6wm4)Z)Hv*J{%Em#@1c!E#*oD}%R3H^d z1yX@jAQeajQh`+9Nh!eE`r<9WUv{=jbU0h4dn76YvHW~*S}JgP3J4_)Zc22kXFp|- z>pc5lVg=Pbnq6fE;^3DI&@?r4&gXBfP$BiM;1m3Km#Lv}`>F_#n^r*xGAj&s+y`s+ zrV#s;t?BT&Hr+$mx-n5G;ZD?XW8;rKa0N0 zJF~ZL@^nZAo{|E2zdgy-oOw@r68GCvH*s;_$C&v-l9S9)hom^?PIhYMYhs|t6Z9s> zeGMCf9R~$rW(!Y-`^AyzQtT0I@~tlBpm=SP>|z^i1a~cFw@@K`t*3Bsd!vwZp-tHb zBbzXUb-92NGGdC8uZjT0>;#z=hE*r!pt5n$Gr^&qBz9S(xr#m1*xwdJo*{dOf{ah$ ztX;dYFLpDz1`*t~nB78!a5pVL*PhtCJqR7UawrH=niYlzo3|uCvGF^AFUt6})3fZ& zEaKNpoLR+S{G`;x%|tmh0Qwmt*E@;&v|QxH#wXR4R}PqynixDv%1K0+*{m zu5V9qZOSP~B95oBz7-m5?|f7jT)Q^Nwfu`o;fr^wjvqM9eK15?_nmkiYYf^m#lhEH zfMWIw+6Nn`w7Sq#)0koHu7&v3#hxn1zJ~Qe-DBQLliffZYCVO6nwEo>seyC$Z%rQ| z^Qu$f;AUMepm=r7v{sya%>^iCC&;WYto0}dm5qa@8M)xlP7=EidvfD*;}>NwCsy-n z7I)#A&NBPl_(R&%-X5~C%$*9P0;xbMFrWY{(Tg&fSdC8Op1Dp~mZ;Ne?-9@Jt(QCT zRNzS|koVh@T+W&Iq^EMf6_iG(c(aq?9WpqQN?v%+wY7eqm@QiCu_IO9fJaR3H^d1yX@jAQeaj zQh`(;6-WhAfmGlw3J5`in-W9(gIweLVE5U-rDAu-=eVt8py1(_4A8YH`?q8jhOjOd zP(nsbaq?9WpqTxF_Q3`!tu8dxwRYD+{OV#)m1AGSx?#x_FZiuzl!HY>p0+J!w>Tko zt*3CXCgFM4a^Bv$f?dVTL2z<4SCII6p>|p-kP4&%sX!`_3Zw#$UxEBPP-nY_hqGnM zM5#b3kP4&%k3<2X&cRKIp_T@@a{soerhvLqhJb>MnBwH`HVKfMRzV0dD-7#?p>j~! zIOv(+&`uJ&5Q_=JAPKHr8|2D)+f-6OT`5CAK}Jk*sxxhqM1VGDcGJ@w3SK)vI6Gp3 zQ#(oQLTp+pkP4&%sX!`_3Zw!}1r*d@?d+B?O(MWA#<>K4vt1J5?2YBmi>upb-Ui{? zwC@d#KSW@l67IJT(z5TY^nydTg8Iuq6?|yPu?CUh#^t%i z*2~}NZB3%^_+4IS5Wd7^Y(lRi8l!$W%yp)Jm;D%Zzg%OX8@K&Nn+x>QdySVw!O6c0 zOL+X{hYvb0RkvV`32z{;KWoiR!82xOHkcKL8NDgQn<=;ZOcfs3YpUe$iF|7hecy)9 z?eWGQSNsVJ{;)@N;bhw^evpfF*e=Pwj{TW6=$fK6etfHi4VMMh-ZsFS7G=f0igem@ ztpy*`Rf6crp;uR|aYR|qt6lF+H5;ym9BYsWGN%b$+>v-iza; z!E#czEtzf)Pk6+=WR@-W%vtnZ-kH61lb^_8d9ywd+dI?fJ4akXKu)~lRXAR}_L`!) zbT8Y%JO;UBA@kQsyejM3=IFXPvj#03@fY3}KQUNw*;N(-1^2Ff*j(zl1#6cS2V317 z9d`v6P%|GGx((RsCRm$Nu-c=+j+EH6-qs7NWbwN+V5m_T(o%s-QJ`E(Az4Q%kP4&% z&q4vA%Xqo6Sz-(cGRSqN{aY(}(?VS{FH0n$C%0sPuEk5|Lae`9>#~}I;1t&70!qk; zDNepB0u-|oWL6kv^rjH|m96RUz=WY)X?4N1YlB=lZ<|UAs4Ha%D9DH@PX6o=AUCao zT+qCwoU~LR6-WhAfmGn?Dt|8WY_s5SwoI8Q6?lFMEbHv&*LZgPcomTP@59I2LstI$ z6tH<~b{yL~AJql_t_^bKK3G#p0d=Je0Rn2Z!RNyHokoVh@T+NyH zq`cocmx#@Zi?W$7B$?G|nMDlm%-6&~-DSXM$VKp?87^_LN3bzB-0vXQGNY7?@lMro z!!oXn;I75&7Al0hB?EMA%03ubg(0lV1(c8xQ=EKN1Sn=-Zq@~_bwgD-sB9ecOmJu? ziCu`rgkg{b*RBn6jnCT`Id2htohLkxH3sdO;!tPWO|F9g-8@s%D#!)RTgo|^@jHMo z%J{X@v+T_*;@3=^S;lWhen6Yr+nxK$_3fRdyAfD!JU{uu7B+jq z2IAnC4A3++bk4jr35C?Ilp&xXT{ATlCtno-a?>gZL1u+v-A`5yDjNq)YxbsuRxY=W zCiz`KJ~w_*_Htr1uV!%y+=H=w_fhVQ-LR?K;Capaye(-lb*``R!|zDHakTA{tm89V{c@TYnkE- zUuWkdu8iQO5gM}_D1^Hu12jzx^>vC1CZ=n}JOr<>E*DTjx@Mt@ldp;Z#q0!`6^6U* zgApqB=p>0_Ti>Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5qyl$QKnNP#lo;Y4Z4w|i zt%49_Rv6a(Lgk>canLitp`9dlAr=#cK@wcMHprFpwyC6mx>AOKf{d8rRA<^Ii2!ZR z?53wV6ufqTaCXE5r*@Lqh1j%IAQeajQh`(;6-Wh|3Mi<*+Sx5(nnZwKjB^S8X1gT9 z*&EBB7gx8>ybZ#&Y2O>;w3%6Waq+rtiBf93{7fc;J(@)JK zD)`WnV+|t1jmvY3t(U*k+nPk-@w>dvAbg3-*o0n3G)Db$nCndcF8eX+e!0d%H*Wil zHW%op_ZlyWf|Gw0mhkw?4h6ZzI2`o0aH+vANruJ{uc{9%vk!pXK-{2&+Uuw9aU9s4tD&^1MC{PQz z)DaQi>-=&Ty%)zxgXN@bTQc1qp74l!$t+v$nX~A-yfb_2CO?tG@@9P^ws)q{caFG( zfSh>8t8lz{?KMSp>0Y*jc?@#NLgufNcvaT5&CzvpW(`_6;xD`{eqylVva2iv3hrI| zu({N83)U_v4z{{EI_?TCpk_WWbQ`eMO|UklV6{hs9VxMCy{#8k$>Miuz)+(wq@@Cv zqCmNnLb8rjAQeajo`nKJm+^9Cv&0w@WRUAj`?pr|riHp@UY1BgPj1NoU5l5_g;;;J z)@3yZ!6~fE1(c8xQ=EKN1Sn=F$gD8T=uIK^D_hgyfeAyq(&~b1*9N(A-ZqsKP*=(j zP>>N*oc!4#KyF$ExuAJVIccdtDv%1K0;#~&RsLM$*=E7vY?(4qD)9UiSk~Fkukq~o z@hTwo--nO4hphbhDPZ%~>^Qb}KB^1;T^r=eeXypI0_sW`0tzx>iqm7?2NRlb1yYbr zdz|RnAXm=Yrji2cN*MwQGGdC;=EBzR#t4v`Rza3^W#ReyjR57KvT@Ke!J(Zbb|E$` z6-WhAfm9$BNCi@XR3H_2QVOuPzIe;;m!0hr9nO~N9*N35Vly-qcvuC5^n;rc-AdU{ z8RR<8KA2cRb&qCOnSnU?B?B}~4W0A(TPsvZy({-H0_n4Q|4_k35C?Ilp&xX zU2Fd;93kFKt04EEx9#3)5+R#g2S8dXkP4&%slX#uV0rVuoa$v_DLRdtH^^DGyw%U5 z@AA&nk6;1(9dS-k~7l zQ#fnaZtRQQOs+u$cP(bOP$Aq+3(&PEHg69?$F3X-f|O>3;lbuD$xm$j4&aM2e(m%u zdozppH4|r+@tct!(5Ciw=e~FiUX2HQIy)dknC4RS62Vp90xovPypPIDg&k=A`Dp2r%4_Dpf` zH5Z_m{et$v1}d#CG}Sa_Si5T>es!^@%CWCuy-@d{oc&wV zN65VDR5-XbGkfdh zPCONOQVQh#_9T~c<~`}D+;0V?5h~tosxG)TjlGdUu4RfVe03Majcrs$aMQ>Vvm5yT zv-bwcjoiNbw|~h$skgqBMHZ=47Fl39S)?jQ;8Aph905nj5oVDCWR>+CBg;9;i;vvR zak~MMwne$60jfl+`vD#RPg5-pt+9k~KP^bh#K0;0V8w_bkLz*^RzkXFp^B5QiU7sz z1eq0v*X@H5D)#6kiCu`rgrQw&b-}f3gIweDHa~w`5Ph8|JdZU7?U~}>&fAj!#q4jN zx9|%?J4x(9Y+5Ri3Zw$5Kq`<5qynixDv%1K0;xbMkP4&%uTek<8r+l^;veK1-v@ik z{w)=|J3i-aB?AQyw`72>P1(OCt1yIhxquQfVv3WmiU7sz2igZ4sI2}K4SCwOnBC%p*tMR*!J35UUCVj<>xJ5B zsX!`_3Zw$5Kq`<5+`a<&Jy2J>hNr7#%0#I^Dv%1K0ym<7Q0L&L#869vT)BVSR8v4* zDMLU(Moe+?cbf#rO{*XTnH7e0zfd`-Y#j7VaA+rqU5LemVUPsZt_^bKylpBepsti5 zpdcfrIMtc9Ng_a-GrQ?&4h63rAeFFOGYCK8GB%-)5sguQALhE! zzsvrOx?irb(2d)Eqs;~S@0-R;qTu9Tg(W=x@y~aim#SN^#)MChPd~Tjrr;T~GaJkb z!;Ib(;?0!XeWnTz>=RY;eIkF_L%+4*S9^T6#{*ws!54c}7f!a#;s?1%hwYN=>)4-J zgB~eb;}6eT*l<~3?QH{mZc$e3k4UFI*IMv7T_uQ~oO*S|8b_4%yxR5NRI}k~$gu_) zQ)8OcJkgU=v$r)#qmGF9P3M=p=$kk$8Y~xO+mh+_@PbF&OJ><}&zwcy<(=7EH~EDe zmN)AQvAvi^UmS6SfSh>et8l(}?KMSp>0Y*zc?@#NLgufNcvaT5&DnKxW(`_6;vc*% z{%Ek`va2iv3hrI|zPZ$M3)U_v4z{{EJMIcDpk_WWbQ`eMO|UklV6{hs9VxMCy{#8k z$>Miuz)+(wq@@B!QJ@^9kgOvWNCi@XuR;N#%Xqo6Sz-(cGRSqM{aY(}(?VS{FH0n$ zC%0sPuEk5|Lae`9>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RUz=WY)X?4N1 zYlB=lZ<|UAs4Ha%D9DH@PX6o=AUCao9BAHBPFgCE3Zw$5Kq~O?kpD08YO~;UwM>~P z75MrTSk~EJU*p;F?J6Mk--ox`LstIvDPZ%~>^!!2KB^1;T^r=eeXypI0_sW`0tzx> ziqoy{g9%Nz0x8I*Jx+9OkSphHQ%M1Jr3?WD88O9ab7AXmV+6=et02p|vhaNUMSyZp z**NH#;LuJIyAYd}3Zw$5Kq`<5qynixDv%1?l>)4-4{!PVva4O9)73I%qEz6kR6yu@ za8sfy5c?^ET-VtL6Dz3h(d;TS5C^|xfTpRTb3T7-g$k*61z+I5cbOUrx37u-xoH)I zAhW{o%6+hAZwj$r*_sXyOc>ggRu^2G_CG@hxvn#BKUhJr4hD)n{E`8h_R-KO^R}3T zLTXpa5Kxe=wf_{35bvf{khjm zYhs|t%k*aRR!BxOT;gJnU}J8$-$AZrMkyJ2`3O(k*hXc&l@Z2b#B(b1~y@03XWuv(vNe^DN>|Oq^N9Uq*gM zo7&r}`^xq0tEGDqSe`t;_|uuMiGgCL_BN0|T~dKmAQeajQh`(;6?mBfxxRgwUcPtn zPhaNjy{vBqIodlP)dknC4RVd|gXR8hLG*RMl;^R=pgmI@{M|tTirEje4>nL~b)l)I zF&W!k3-POqJyni<4eNz^!~U%_*$u>@)>AmBX*p<_8aQYF*7OlFuR0YDp4R08idWZ6 zYsJaeT!3PBg3JoTT90y2**IvLkqZv(B(V#z7dJjPekl7ev6_#wxC_^Gmf7dV-_xe{ z_MVMp?o=QZNCi@X0R@(SYz=r`$wemS(P`W>*9prKbz1E`;+ehmawnb&+?4`(zrD!i zoOw^Wm;0@tG(yF@P1Ob0rm;6N$hAyyg|F_SxUr4O2yPl#Vs-;#z=hS%+b5i0iRB#B*!#e|_<8Kh8>qCp&{WsjT?_H6i#=72eGTh|B~!fMx1LcB77cmYwwT@GgxIy7!oiw^=UvNr z`|JvK6*C9H$<Q7gIu|P+f-9PT`5CAK}Jk*@^_mA$W5yt1eq0vb-z$KsB9ecOmJu?iCu`r zgkg{b*RBn6<-BbwDWI;DA)p{5ra0A^wn-vDn=`xVX$}Ri9UzaTWoOPD4R;BVtxg1^}=iE#GG^5>U_=V!hI;o7vn4UIoUV4xE2 zw-3^?zpV6vL$`%zE-g|pX@7~XdH4lWN6+*p^N0#Qwd7cX$nfOyJY(zS@AS4NQF#0= zA2SF);xaa&j}eVge;?+$(!b08jk;g1vCxg%exuC=`tO^@OQPW9Uxg(+{_)RuotLUx zu*QT>kWW9i=BD5ovojma3d4-v6ynX4+kK`A59||F@_izI+C#s!;a7Wnw#NfsVZj%B zR2NRR&Ef~SNQdo`?CaQ{S%V%aTH_DTTG((|VC`)Kd~Q)z?2kyNJ=a?BIb9`)o}7Ah z#TrMH^}O2k-c+;UYRIt$8B=4L)I8CXQ?s`ZcX}zr%R>|UbX~0mUFr=jdM^T_0rI4&66-WhAfv-XVq04x=vRPsb z2{OoarTtqgdDB8&GcQXdp(nRwfUd<$=R&N%TI;f!gWwd_8kn6j;{TUti(!g zZ({_=O{*Zwy0Y+m{Y8LsP}w->nc&b)61xzamI|Z-sX!`_3Zw$5Kq`<5+?4{Xtq*Vc z`?9NDqSMtfy&+KggRu^2G_CG@h zxvn#BKUhJr4hD)n{E`8h_R-KO^R}3TLTXpa5Kxe=wf_{35bvf{khjmYhs|t3-l)EeGMCf9R~$rW(!Y-`^AyzQtT0I z@~tlBpm=SP>|z^i1a~cFw@@K`t*3DCe4~(ap-tHbBbzXUb-92NGGdC8uZjT0>;#z= zhE*r!pt5n$Gr^&qBz9S(d5AsK*uNA+o*{cdLB^+W)~?;yUv@LO1`*t~nB78!a5pVL z*Y0fIo`jBFITQpb%?iW2&0CUR*!Ua3hcf=`^ep>4i}({0XO{7ok>Am#_V((&cnu!P za((;!a?E^9JkRAE7w24_N(EAZR3H^d1yX@j;J6Cp`t}mnrd)y~;<%Uft_A8eq~>OxaZV}`Z67UEYI zd#W7!8rBQ-hIuPZb^~#!^%M?jS`J#K2F}^PHGPE4t4@W3r**l2;?*_NT5<9<7oeD( zAhW`-)}tI$HV&F*+k)upJmGn)F=)>e2Y23{ z1Sn>I`@Dr;7}`l<7h=;=fm9$BNCi@XR3H^d1yX@jAQeajQh`(;6?lyTLeSu*#1Q`= z*Z4lzTlR0M*xm6tZz~xnc(^44bZyH1Em?&jtjh(IkP%ayd{qP}W}yyzEScg3zx9lAuxQBBw#DogC&aGx6b{xTJnvf0+h

    0x-8T?3_8c!-o)_m*`$>Tu zB6MY$PanL@Sj7~5H+gZT*d{Lxi{f7C`*B|A{n5oaLt86dWz_aNz-XVJQaUM($19Wc z>tli6%x@iQ#fjOAw?kr*^0KsSi*XJb?%ZS(Sw*grYR#qvLtKm1-4Rhr}#_O_Emx*f0 z{|OOan4e20M36_z9^Tg|t|}u;(Ia6K!zNX}vN*~_S_>oXz849c+6(b$PJC!Q=^K6Y zn$&tnxd+fnqA__hf&}puL_UfS#&3Rv5&nI?TUuvGNO|_7Zph&|s?eh)#jVcE|IkN@ zn4FS1hOKoTstySoJUCJ@}+B}j0$;4}&D?(WdIySuwJ?(PnMpY#3q-tpdj zZ*i+Qq4hP%CRRbQ&bt)?- zCkG(*9ZiM3=-1@e?_`Q9qA^)HXky>vXy_gTDSjyg=j3$1r|}h*jC;+Om;5!UI=B6O zc6vU4KUb_=NOZpxId04*pBXpA|9PG%vykG#(ty}|>jwDT=UTP5+&I=TU{1B!;0I?h zkl*$C5WEt(S`;b4Y=v>mEs#y>=E->X8R>G(nDNFxjoU+1&%aO`Va?2h4fiGJyvtdO zP`BB#Nq;fSJ;=H$Vp#p8$JtKkN&SRtiF!KZ=`*O$drfOLS0nVsp0n>Xazy_iq)vj` zDFbGAAo2k;qMnCtnPuvYAo%!v#*Bomw%%}7b&)@{1rs+KyZP<2;5kqpT2Q&3X4CMlVh)1u2z4?dTs^-c+j-Mv?I%_~B(wgd(EULZHZvKFb=FS&-sOL+ znOtaUbJ;HYlKO@IC1PMNXv1mBwTwwv#_c}jQniQt9;EG#lH;~BmJiZt`yDTx4wW8- z5!0%XSky)3^dqUfQ@ni$%%MUbB zz$CoCFAf@8?8hto0E4%OF0nG!-OL$Roonk=uJk)Y%?lvEj-zZ>mzSppoaeyq)CGXx zHUL>4VLX*4yrL*c;XxEbHq~I7KMGAOU`%EY2=*E4m?7a$q*I~jYo#_3+k`i<<h1H6 zvRPj)uuPY#uB_XZv&cjBAboO1K ziUhunSimtxUjJmEP6D{H3irZ<6}^`@`5ks9OYly$F~}*hne8}%oG4~!0}3Y|&Xu^! zr&ec#-Qb=+LP4;*KWnT}JFn~1(j4XJpB|O#6uW>T{1+GF4_9yN3~x=8vF`aa*zA&mQK>-_%4nbQ?26uMmtHFEr$K`I6p(ohG2Xbw)$klf*fYev^V17G{Ld z6IirKpxksf-y}RV+T=r}VYnAUW+hYgg%R3+tn5Z1bJ*LP!*qOp8Fcsny8R=Dm*o~( z%Tq3-`ARwF4Ei$$2{G6D;SyTE)R}iP*20QEtSY)b^Gh$iR$2kL)POJE+N!JsRxahKqre>W{Uj&T&i-;P*Uv}x73!(=jqJJ^xjkf*%K+a~b~jXi zz)8bYN5|+?ZKJR_&@yF@w>M>k4qr@IoL)mL(VwI^=tj)}c0uIsuUsfT^jjD7Xj*j2dFx8f)9 zyYh`MGmnNG-X)jH;hDiWEY^JU*FCk4S;8Ja=x25`Ww|z~Z(u5vUzidalLlU+1x>FN zFDnOcc{96dH%G(z4Qf3W$5muNvZ&4X6-()EVa7 z{Xv7j*m=CLEFM1{eBq3jS}6#U<_#JnPr_xuH{@fQAlC~C_Tf^(tKI!k4KJWlXGSLH zrtk1iIu%|co;Vjrx6#I}ZYf&!4wRaw;EbE4oD#~(2W|AAR$|7cy6M>v^ z(6*Ls%B-zM%j@HVj)NjQHQXo$3{E_WJ|s<$&ct07NTQ)Ki{kZ0bi%Kj{9W<8A!m|&l6)4Z{u^09eW*Y3+I(dQmfY=m>e>yu_t_$Te2;&y(Jfo4091;dB;H2i zEjYZN>-~JidDf@(1Tts3&=Supq6rmyXB{NdUPx{o-&9pdZ2csTs(m-ZYabVKi!_L7 zANTg`&_oj|cr*5V6ZzRO{?7aU$*UG-JvbDhK6_>J+hO2(`}wCbRwO3xp6zsM+<5wAGfBpNk+z8n4F|cYZp#*4+O7CKhN|zw zhitSpykN89n73})-sC9v!8{K!YO>otMLDjs|T;0T$==NZ=g* zRr@}>vR>J?kKY&ua?A))Frmp73b7iGtm8weT4 zs5qc#axDIBr__h;`N1x)c38jjs70Td3~hrpQ>$0Fj3nDoC$|vn8IG?L+J@aiWWp4p zU1vtwgyo|2RGUj>#R{DKew2bHcDTR8ea-^Ujh3EaRCj#sG%_~X}^grRj>Lx8En)QyL~X(f~OZW{Q)cfV^pv4^a+9( zn+`QqxqN0V6B{j9g%r!l_C2QMXkV;grywM5tXP>dUv4Rh*W~+A-0#Q`v_nEVr613+ z9-p-d9~}MWWu&-;tVO(FqjTE5X|mgYL*N2|0|Yh@SkM?cjRi?l7E?LQYyl;0E4MZ zg8)Mxrl~u z9V}blYpT7)uf64|z2$!+8YY3sa|@S^Zh+S-=Ue|sxT@naY?PTvD+-bK_mbpp`3e2% z6!}SNK+R5#3PO(AHf1`hB0hJKJ1i_|?9s&~`)wB=AF({Ta}~dA$X#BE5cUmDVd$FQ9bKxf`UWQYi;#%I7Eo8KX@ zkeo7z2!yxlGg`MZ;}lx8b+9@kPe}uGO1f655ES9t5H~t5Qb=3*qK}SG`vP!PBi7RM z`)-|02M*DB?l7*5jy|dti4ly@8RvX}Ev@0=kj1Q6wN>J;pyCfq7<)OSzH=$8pOt60 zFQh6-fl?;@HQR+Qw{j1Jf0&JZuBA8n?M6?Lbn&e?Sq81gSQdRZwt;0SOCKecBb_gE zxP}B81v&q&HBvo}+P^WBJQ7+}6`xa0bxs&j^)@)5BqLR0F3(hb&fiM)zah+2AY`Sk z8s2)N%4ksrZvF`(u0KvX4z%XmdooOoz}3VebbPJU9YEx7iE#J-HN`6bm66vp633!^ zCJQ_0IBBBCob^O3r&+w%bVdtvDW{IETFg?_ICP#DzWPZO_@C@(TXbnZ{Q7L5!R@iz^R7Af8?I<(;^z@3_hAhUUOTjcH6H! zI-uHg?^Me2-(J2w3mWc6@6O1Pq@<4l?9#;m^66p<*xdE=CBhGx)o5b6?5oMlkz;(2 zRT(U>OUuevd@hk~Dee(2?Mu8`U4Fo%4C2@|g#qWH=^MuGtW4g>@ckU&7eEsKu9 zZ^|>a(fhd&H;rqPy=R7sOiD(6L@EQ2wo$8oh4=xINkJHp)KY<@mIfrX{Qn`aAcT%5 z|A-r_03-d;+D&cQj``NEPq%;rc!vQWxi1R4<>fE1OH!5?S#pPsJcsxPlKfmAwi*Sn zK3@V){8CRU8c{2r440i&jO~|vi1B0+hU84lg}IBOJNw`J6j4MIW0y`zLp8mW-w_f8 zxan+IX@WrIO1Iw?ZIQBKwlD^C1R;x~@*qs{S!~b%(_a+Jstt`#v3pcJaOJWNhUiqs&McN6&gicV20LN1Km@>K!*0tS z@kqo0u>=AO5eIfmR05^8t6y4FLMCGI>daKxdb@;jn(lR%@#?mU?zgVV>UskFv7}as zfIs+KT0Q~5qs?d(#|Zb`xpfo0|MaV2^q01Nqoudi^4v9Hq+_;Jbk__W9???KyJNck zx1GqeNenXMPYx33mvwsENcDV&5bI!%=|KNu+^3qRo^`vD}LdZ;{R@_ znaADN_HyFl8-&`gQW)qehI0Ntnx%!Sgu=4c)64h;D@FG)NUZTIedLL34GtNGdISWkhcvn14W)YAdh&lO zKJzQm6{H=cusxSupGap?>yX6ko)8j9oRb9k$gy841f_siN#;7mNiCjqE**TaMPX54 z!Rays!ZG@^8<=U~zwX`MUjo7;N6Z+20408bKouA+_+mW$>l$c4Nf)b8l!aXyah?V8eJmm; zcMH+z+(wuMge!P;6{XMr#n$u@eG9KwImq&>0x1X}`lk_&6ca+I1eJcKa}$VZ1v82} zS42D+SA+?STYZ&Vsp-w%78ob8j+`-D-@Zv6-G2eBnX#24C?Rc;OK^OOB$*@O^;eO# z>%Hgpa@G8=&BYZ3YCM0^PFOVliS6gFXmF8Ge;elokasNCwxJeCazr@s15_Xylu1EU zMPwdneisC0jGqQ>Da`GOC`Mv_rv<;1|0c-66AiNZBg*k93UU-d|JnWpa>FG zbb?lB_+m~0WcS5_0n!rL$nPqpMB;WxTaxv{T^yf^zW#HJ)&86R9$U!+(SXnZ4^+3g zM?#}h>p(K-)c$0TTaRO9%s$BCE6JPMqL~96{r_u3E{+6OmClhrxdu>44ZjVb>Kqdd zI7EZ#D6nhw(h4V2z3&%;%Kj$;6_cBuYVmdQo zgUfw^kCS$kr~C#PH~oerdyvmYsZNBr8y3pY6dnereMO|%#!upj&MCo+{uO=)$lbF4 zWE+>=m{@)$=;!IIjOZizM~wvyA2|8cFCl=3&mmpF?U-tcJ72tYYoI(;5bXK)Yp^ci z>$LtEQ!@LLSa-B!uD%=r#QZbxkC`)y&%dPg_t+{YuQ8&<9Fbq)?}%k_Yyp!Ru3T}R zS~!zh0Q@lPdFq~I8yF!J7M_6@L1p=%0Z;t+M+XBBuk{UIQtr%AsmxMo#kY7heRDeN z#(9g*VC<~RPx?m7U_Y1lo=zP77IUYspX~+EzJ2)wJ)$-Qc5azdtN$E97Z#J`06w;xw~ zC)b5t_OX#>b}4YoOe>sN=JeG73+S22D9S$~n24#Jp`GYlA zAo){wvIk{A&5(FPhzAJqfd)ckL5P44GO~zWk%L3QaVPNMU=@F06Sn(_E+zNn^6sLm ze}Ik!`IA&d%|QF~i|V^EXeb+dVvJ;xXN+VRf?f#PA!vl48iFz&S@fCK^MUUinrmt@;u!4mNSH~N}HQ~gKMaPyf+Nf^9YTgv=m}& z$EcCQWgy%wXjLQtk1gYv-v`%*`g>wK*dirhiK_>ti;8dtfYwA1^sBaLvp9ua6Ee@J zgv>K^Fo&|LAk!#iRSMwW5(&65m1smfT9;)Fc`93BEYz8^(4<{B)EY)oqh)Kdf;Hni zI)XVHhsY)}O;MSap&lR|b!d?Jf`H)Q08D%=px2t6F;wI8Kzt)!j9r}<4sC=OF>K&M52Hi%fzbt?yOEqHk#8<9oXq>Q z64*co%|3ypEL8vXQBW05DTLYGps&sOqZ_><&x2TA?~v2|(d{w(nI5ZYTq8I(&qr~X z%O8mw^J{+TdKn|}-}``-8}rv`E!&4!Gw1PZYFid*@UNIwIenEqtR4pofivd9>?iX1 zP_2do{s`NCGz75T$r|k3Mfcb-(W2cu41O<>PH4gqAMMZ}-nXN0TxUtdx72-O%m_5o z-OjGTI72OD0xk5buX;Zse@fgl15)S1@{16qkm35M_UmMaQqf|rs}f_ls3|%Sv;ADQ zMTWA=sQTHdDE2vIj;`^WG~?&;hMSEb>P-(vr6oB4a2 z>Rd}LHp5wg>u#Vj(3ko8RkPlC|B3JKz{d2rMtg^P>Z$TpadCBjsRG&Y2LcPrZvo%Q zg$%EoF(`YhL``7|4UcOdFDyFI8=OyyQf`XWn2LXXHitXhG)rl15M6%PZNJC423+gn-dCD=!I zBY6Ex7_RYlr&~Ir&Mo*rW}OVU%(+rE#K^Mm9sgBNxm*wN*gUZBIl_*tSi1O1m4;w! z&jbw{pg!TtqUDI-*^5Iv4>e?hd{M<|*M;_}T_d+&kH0qy7DXF=el2pnS{M`a$>Ty- z!KVI}){YX6xq=w+QQckH#ppXpW^j`1=tOED~4 zkoJXZX>*n81{SNXe`rUUClhus2mbDEFxWs_*d>Bx1g~!dS19<@7>;>a_UnbQm6shw zQ|Wky$-(^3%<)sk|4FV zX#WZI@k?s#Jel>X)*@Pi5b^;3_MJV!?e+=!q5m^%gyl}?c_U+csC5;Vxz&OQR|xaf z*JFbeZi1Oj!3@1Tq%AVWEl=~mcY$7?m{$H#QkPl&l#K^J=VB-D1byNmH?1-JT*ba3 z950Ra#2R&ph2J7Cwx|GO@q%6}d4le^*!T({Fy2){aM^~YU^)o3TsvcloKa9qbA&xb zTkmtDZ4a&M1X@PLjOfrzl;0r9OR1Icn{}Grpo5?3Udpv&alsZ;i+>vSw*B$qtECUp zV4g2zzYD>gN?ttjdY%Pr4^el~HX}pX_?^4uelFWNEJfME*0RGtx9nm^3fioq`Lc$R zlL@>X*l?t`%KZv1fTvHUq7gM8<{wM%^b*i`kb2nQJi2G@!uWNyy$O@m>Niq@8vB4{ zsQhWCq05br)#P`xJW2-%hf?f%;dYfs@~7s8#P?9yL;8lS>xLihbP^p{O^)a$KMrNT zOjSkioVRqa>u7kbH!nx4<}Q9gEn0KCFIk%W!ctVp^3e4u9mx_h1;FlX&sF#gXpLE~ zDg@FJD$JTXHvTZA)9mgbeKGPX4PV9a8Iy{3W-w zg*AdPuC?AQj6xZ|st`NbNQWs3t#Q`*j@ssiZRz)(WLJxATb&7y&&@jE5_l(oH}AGC zXz_7`f;+s@PuWohMw#nehNsnJlJ93A&ikiu4#@4qw);0eMSn$-nX~TgcMjx|)BnGs z?SEBr-GqGiQMR!7E9{8m3@&cw9*Xd_5Ek2Z;FIY$w&?K2pWi9^oRK{7)Wgbb@X|YSifwA` zjpv3Rk;afpQ;+ve_RUi9)FO>*l9(DdUiaBjp9juYD(%~7klJloO4!N6Wy9(5kMXeB zL1n26i>(HLnS&$DrD&@e|GlSXSfubShKrU8_PFo@c5)>8J&ti=bN{p>wFzy34nsW` z<2;bNld7`r_R(InM4?|F7`?eYHC&rb=LRgijkxygljdV;#Oe@A6jLog%+VC$8d(o$H(`;({vq zges8+&y~R`@O}+JYAZQ{hR!gF%exn88BDmcrKu6tk_f`@2%P>+-`fj+ElTZ~S!tkU zZ}wGiIl|o5Ru}RZ3b&jOuV}=^$18lrX@CDD1;l8|s&{+S^6yr%!b1x{GBz?0XKuVF zI~X_*2Lp>H3KZB@k6oR>E#k!IGXv^d29lS+b=Fe~223>qZ@;-Y$*~h_=2DWwyzrz9 z#)rAwZoJUQ%uFbB)n0h*>_r#NZ9?NT;&b-F%4QV-g z?NrrJ9v(FrG9zFbDs@G-$evHEsJzXHnAJWTa$eZ+@Oa{FafC^~oC`kt`iF-|F{#kEoK|a=jO9S| z<7@HZ0m9D%j>AJmXlMM%O?mV&vJ4hV{S0WRdC{HZUowNhOJmW^%ftDd6UA)Y;Rq_x zrgK;=*je#&G0YB3mx7{}#w!%`ww47C69i)nEtY%kr%(gx2>7NhKk(OR->)5F`8v>i ziXLPMm}l!>Xe-yqD`&D_=!5n2kDtf*68zL#>~{y0TasERy*MUWpQiW6`m^e6D2Z#b z8fZE^aSyn|_L^HPpB!KaUoF(B&`*Z9R_`&t`gI7egd5og|N2cm6K_CYn@01b&Ui5T zsvlaL?g1^0mH61vJ^E#r^S&%QqtC@+b0HU)X?H zUtK4*mRyhAHL4Z$t?y5fXx07>pizjP0rt75b^uTEC|5WVT3_hZX~Q%w`U1^(<5UNd zQ(XPIQpd4r=Q|YP#OOxSB+PXTzZ0r-e8sDF6sL12)xAR(l_6Wp}0b>QTnPgUcT-Ldluq z=P~Zdip*9A#zRs1DmH-39AGK>QBXpSLY7T&8|U^cl#HE7D%+2eM-Hzs-O#z|^FvbL z(4lr*)~*TG4HjVBSkDGv)^A&%RXX}j+b=>{;K6zNY~1@`a!GLAREef_eC+Q+%hdYD zkM+GDKDqd>vNktD_PMsMxgAU4Z&+MU+G_`Vs|U8y@a1fhelkgV@eH7 za{9@;?S(mTAp5&{;tH$J8jh~McIBr$%u`ZGQZl^EgEWI9fonT$qUw!EHFvvh(8#U3 zmgz4X$mQ`CtmN1vOuQuifO+4SaS}q#^nvU}^YH<;Kxc1TZ9^-8qoRo)T84rH-G_a&&7;@sT+7W*L$;F$I<23mCYIEZQ z%ll$-R8uEAVK8^NBI5w2Rrm9Ofqu?<<*mGA4MHP2cER>Ctznj=e1MbSR_SzAUhzS5 z0DOONfMmef1;04PtI+h!?%-JUJ9Ad~@rxozCMo+F#A$R2ePvnSq7Y|0(4uSt08 z=4tp0i2Gk2MQl2s=hxSl^tzkxCO^uBvm_DR`i?aTo zx4Nf!3hkw5e}>MI5Q<~*q%?V9oG+HR@^vfFBQ5`EnCNlr zJ^dkm3aJ*%d|#FYEwd@u@$TNc*5ucJv8R1|h@CK+y~@U#;gg~o?fp=>^8-a@bYDPgzrBG0$lGM6BRQz)5!-|@94e}{>4+!T{+ zdhQzlZvb|J@Uc%!d^0BuWDFbf08WrnovYFRroCKy>6`8H( zRc&3>j~2-Odo#K-LAPYtUPwW?~X9jnx$`eZDpu;Q{BzkDQd zm<+I9ux}8DyRY$|uI~XINHpi)5P!_qg*&*1-$$w$Jb^&d!r4cPJ3E0w(^B6{dKfN*2w{2whe)2&$UvCf(ZuaxlV_mVlq`zmu9`wZpq_LHNIV2=TR{646Up}MFS0bqaZ_$b1!&Kw9L3)&8DH!9{<;93>5+pnxSY$~(*W}OxG z3J&;fX5Kls@4lPhlDWHPmtEODekD_XAuUL6TXz8E92Wn1#N&&*RTj^7DYZzG>SG>y zfPxH->s_D||7uMz|mU3!yH+jgK zI404{?u<(xQ!s`D`6Vm2EyWBX>cG^fn%J5BhjFgNU{mn>?5yC#f4NptoA{@e5awHE z{7ip~u(r&XBl>T&G{(ELmyt=kw|3V-*EFg{i3uH(X6|BCu&}$>X}uwmfY-|FysRu6 z0z5dA6LmWrfXRX+0MG`05F?@Yuk>#G5bLitS62aLJq7f0fu({iAHIl=YP`-99y?e2 z+p9|rTTUmZ=GTZ*Bha9dcRp6ivvV|vnD(rv>OTe1`V97`>k1|RW_RYHdb5gzTUqIv ziLs-KQcqQM%1b*)-?HFeZ=r;lj8SB>N0hlh&p|tAvP*i`7LD5oXIeVE(f-ePzg4i| zgpPJ{uxP6imH`n}4AcyiqLi2K18WJXVR@WeU(qJ9zGI}3?RIfyNc35r z_VESsdDLMmI|mZ!4)5Ix8ZNM$C|rjjL5z!I1uUTrbQh!+PRiCPYAcU!RRS+e)!nvP z>XYB?btI9@L^p@a8P*dklB{J2xvjaC0xXARVblagD09nPwE z^mUybf$~vRSiKAJ4~uI>TSvoaXe%P=Ly&rz1K6)Q|7k3JnBFD45?!zqPUZ5@z3x)> zP~4x)TPs8NwC=PyMtD?e&DyfFRM0VVU;Q-HdkmP-)`Nd{kBpz=c!6PEK>0I}SfY4! zn>8i?ijAnzmK=%wWcx{?#f4T{710JkV&#rzdWB~euA5l->#(W5m&^km=f1gg4sN~%uzf>PDy<7i#>GHK0(jDDE zznd;-vQGuhj(Z<1JX!5rQ6YbE%&fM)RvQ!jd#&%w!V#-?Y)W=qQRG=At$AXngua48 zM9dIxe~B#o_0OHUUPx}@qpH5SjUMb3A0YU}z~|TxgQ+CN0~`IUrmdan&(h<<8pSF& zaVyJ{i6Y{NJD;UR=G8_bR3MGo$}4~(er2|A`u-s<-J{XJS?wkfB8CVgoBn-$TiNA& zbJl$L{^_5-9A1xS!OUt$l!bzEgSK^u(w-{xQV*};dV#dC<{Vy=XXwo8>zjPp$48)` z$=VL(nTEvjDAVveCJnjZ6dZ>tK?#S<3z0oa2pcL=8R4Xs^8ulh#T|54Re-30lyytU4d)%5Wka47t z7$Uf*3=-Z>XkhhRg$${2C4MZ>bGW&`P0Rx%PK509A;4sm=hBU)-uVwVi)P7Q zNaj{EulTPXf8Axl(LZZ^JSLZgO_h$s17}hE-G9#gM$N8k=8>~&YDsGU4!YqzeY8o)f`33NgP`^_NxdQn1VPru{l{v|Y%bL=LN zWg4Sm>>{(h?gBTyN=-1j&H~3coGSkd!a`m6H=b8RE*nqH&t~N?;h#q&_tYCJE%O*v zIb36oo5fRV?@J-x(+MRRSC;=8#=Euq`jC)$j6=gS1%(i$dBH^161QL93!L!FU}O)F z>DDS7byv7!p;t=^&eu1(>;0(yEY(OtPKR}#q@jz?b%&$jib8C+b&2{j6}C%wUH;MP zUIQdhnIv!t>CP<9F4wtI((vwAX9MI6*4CA>ydh(|MARcwzUVTY5Lk<;x|=msdeqWx zzC(gfQPEcvQJud>BJc&`d#LRT#oNVtG*+3JkJ}sW+xXX|X5)g=pyr(R-l4O|v+5xA@B!k&bw{y+ z(pc%(SOqWRJqU>rE-?N?4iRE=V%Qt{kK>mkg6?xsi;{wjc7 zLUp*@pH(un{^3zuOg5(VR#vI|#m1S*)grFlI{(XSO!a$1?v1sj+QB{T>wxHCi<+$_ zVX+XW)Vd23$q935hJjXG`)Qo;0ZP%+YdVeB8e|o|Ct|C|QKk(L!hw7k+rwKuAU4?Y z1Eu9nKtKe{;kL*K-GNVfj>i|OdLkqUVVs)hzCH(ha@XDI)y2h*Udk=YL?yNLz||g1 zjDJBV-?3&kMRm|ZaJZ#*7l~Hd!yU(7uv)}Hm)6HI~h&~ zJJ*~6_w=R+yQS=?T>?5bSH7wt-_gwtToZn}`Q*N^VR-)=jP+ld$^WA{z)UWnmC3azP0YC>i0W{KJV-@h{P$G|lVK=vxbV+lkiJ{YmPAf3+#)qA<`8Y{xk|msoYjQ6L@l>MS=T?mQ&Q6%xgODI%(YP<7J$Vb!Zt z>^Kw)4Y4S`sWdL(JQoL1YGpn>Zrnc`%!UzHUoJ%2rcu8~2{2#a)nOoXFjH7|af?=y z4rD-*T0v$MX%_!6Se;dST1l3_>v;`=SW#qoE%;&qFQ^hf)dTFUpRXK>>5#lhm7T4D z0@YUu-&9u;c@VTcjBAk`=O~A~JWoxYKJQ>{cF4dH?_#RQF5te7FNmjkV62JR@6dsVb{JPXPQ0I0br$M@ zUvK9@MBJx`V`NDLuy3QFo2NQD=r}@pacS4)j*I zcyGCtEiF4g?+@a-z}}<=r^_q47I~DMUw%H;BDiCgyoYwC-SqJ4Zl)?kH+7u=@1xJx z@uahO#7-s2FE&jT4{PtEyVy7n58OWo=%y03c-&D68tS%K&eukA-oEs4f0}88wlCv} zFFy^8Kl=?TMc_hA#{Zr^mZ&y-xdg?gQxUt8o71<)&lkB{VtMuQI-S%vuBv%sg%Y*BsN6=1(Rr}LYL zZ<-SOyS=Zwkkwu3jO2da4Ka`%S?ZTF__1)KWk>PM)YPFQcdBtk`489fuICmeNw{lN z?odYUtp;7)F2O-OskOR#gS2`FU0(U|j?>&Cl~*+-#5|~7o<36#thMIjJGAAw_m-8= zUf3jz+RfEg~w zHukh`HU83g{zxBRZ6T}znPf9b>S!te&n$e=vIhl3nb|ivR4*me0c+g%p!!!kbk*s4 zdbPoyNpRGEPi74VOXXdo3)tB6PNuKi^ z_P_`V*tYTM_%B2LN*uk*W4^VSgi^EWtLP-(3#3L+5@#wj{~`x@7**2cFQMSKA>^UL z>oeQ?ymLI$7OZveWvuqXRJxpsF6*eMKY)>@i{^z$ks=M3%|p>0d7N=?1xUitZ{8e0 z)N9!at2_E34MVt3`u^O4jitTih3`sjB!RI4hR!P&2}kAC=RUR?YnO{kN5^G z_OVXxsaDxOC|h7rWbqlPm(fUq6TvUTU<{~u<(J7wmpG1sa4?!o&dWa$+-O?4`WG3#=sFzY@d|FO>bRdSV<7jt!*I`gA7 zk^i{r5>vhqW|RwP2$|N4o8(k4y88ajNqD)9DE}tfw-$uAE!RD0p+Y?^h#uuagt2VA z{+pfTl%E2_So|sy+I$5Xz?(<%+~M;@;xiR_znybO69$)4dhB=#yr>|T+PC8#={H{90E3Tm@@WoSVXc;c4jO|Vj=%ZX+4^3sF9bc!f zUfm288mdj3sxA-q`aX2j|FhhxG)AD@*!kzB$gg~GmF6g8#);Ey2arzB3-5yIi}C(a zx(KGa_-xUg?4%guUag#F`W-Jm#L+yxI>q8$Tv&6V%z0Rhr{={cE3B9_ zgYRh#FZk(p`(0%(A@sEmdL@|!?{9-cG5M|I@G7aaW1qx22xR?=U1Vg&nnaI+xDQY8 zr)BoG@qMq`M19lcwgvRQjb887D)Z2wo53tJ;S6u({3mn?h0X^h;Iei`!2>y?d^jF! zr9WWOv}60#i*0#ko(Q$K4v92PS!m?BL(p&qS@Tm(C=s@2cl~SCS5s_D?+x_&(}+KI za=HbN+476^Tv*-im_tHWpI2P^MH;gh+7BT8q4Aacs}Dk%mDcJE0gl6%LU4v@ZiWOs z((!~IKix^*&`m4e?8$Vm4TMa*hp4Sq_(iGn#!KI43y+t1dEZb~L>-8T3tXKZBa5D!+>OVQ@rv7NblkkDHTM^)F0(CgcaDn!W4S1fFmv218=Re*Thxq~&nb&0hMM0Guqp?yoWTQy`T z_MbMHOfGF9;k5%lZ6Plm*<2njb0Qns1zz>$dUk`#$twa1eOV%~a4=q_rQH{rRP{Jo z*FTywe323#Ycx!35N11HbydN47i9mt?)%y_JssS;`7R-Df$@s6b`ZLHa1S*9wZTn8I z)A6pg7##Th6{bmvRGsM|`AAy=ShrtZk0&K~%{zH?P~&|XpKT-j03!N+B6(uWU#(+YEjf2ICt)@4XnmY1i@b*;lA4=+HPDb^a#wz)5Ovm3d=UiSEJUCRd`t zwA*ZX)s|mBe%Iw-lQoltVylSz#zV6e-q`fw`^UVj^f@#H(;+cdvgB8?tIBAetD4NA zZam%USxEBDSqN=gp^=o&VL|T)PZXMDWDkb2brh$Enl#c=XCoh|MeZG!1YY=ysX_1? zf2hqmRSFK|BQlZ`_FE|QcY1{1p<6zS{-DL=j{2;cqZO1JYCnQe=1o9BdzW8|NR#6y zvp=W1;4Y;nNkaOm^~U5I)U2LN6VX>j1$xdmqN2RTbycO4b9&l4MKe~lXL!JgD>Ye3 zO#n29!dWX}1S(v}A@K8o(W8dRuHc!D%DfvgPiS3`ktthdkrhDb&?=RU@tXE)X=fL? zvDfY8W~H}fpsn?>=}gG|-E+@5_woC8W*?88$8%rhGc)g* z%`bE@kgIPf_ChzG9CWI$%Fc^gF~O+*F!o&$EO-;?`t1?T7i)`CvWABF1unfl%yEVZ z!9gcycu#F?$;IK`%=*3A^^^rWI&rStF%MIn=I$56AGa*&n)+3%XI~7*g%YnlN1&$8 zIfw_fAr^crD1D@dpVcUmqGmLhiKYl9R*s$tB96?jq<|t`hIGr;0@Zs=vjh=IJ z#~j_uh1alpsFNHFF4#Mz|jl|uX$1Ns7t8@Yry()O#cfLQ(5mRyD5 zRbnHv&xa8WPx|au@gVv+X}3c;x;1gcYPE%WGy2%fclGfaaKU2iy867Ww@~^tpXcb8 zv(m9a{&LEnT#=Tnhxt9j6b=;ZCx}0aVh8O_*B4TG&gNgkFPdyu=SiBZ3q4KWRx`|T zdrh-TB346`j)}M4mpObVcq*uxfEM`?4|Lz_=RZl6j&+H|X0VRWvXg8^xom$JvLE=BKw- zXGhGoIt6{(>1*AzoU3Lsr*HS)$Nku4=dt|6eIHU6LY};9l5=2oyHerG!*1^K)q&O| zzUFKz?m8utBdeP;54z?>%7 zV%s~jz+}?SL4J~~jT>Bq2(gv&yHFeU#Ujfxs_-J)7eztT%868FR5MvG+c`2FRA;CX z8T~|OsZh@^J%d`WoXIa(Ar}V1QStYot~Fu}m$ptGG`B#>>5B#hci1hJF%7-+X3SrbJ@M%FA^rCm({5*!5eWFc72!c@;&)1?`^dCUyNz+1$2Q3_2ti(o}5d zLEpF<^5V;ZXi^7+X6}7*ez-`(ufmv0Nmj1r9Shu1(=#5_wu4+7FoaUvZ25Use?{`4 z2%|}+V1I>ggat1T)km?gs>$-DOl6Q?4I}pKwt;==?YKV%2{s~k;_QNq(lrz8b|=l3 z-XChwD2zSgPrFOQ5M)(E952e|+00&P*2)B)vc_5qwck{9vKN+89ob&sv{^ZFB?!gJ zCGiM=I%@wYbCn49YA!GJ?aHRdqz8Dq-Yw=~Y|Ne6QWjn6yOxL3*AV>mr^Hh`Zood_ ze_n5(Jw)~Sr=8z2B?vD9bisTy1?Z=v0iKs1SDAO{XtqXA)Qy#39S$??w z;caq__<006=7iqlq%wt+k<|QwpSVw&pGyi)Fl2NW-tmKK+d-)f@c%}ga^N94wL`1F zaR)g`!spQt_&10uE)Z2AlR+Y?JihUQzV>#t8BR;f? zf1>v`u%V=WA-CC8VcsSAe9QM&b=$;`0ypU|td})z_Xo2M_IkJ9T&YfO1>v&MowEfR z+>tcbU3xMyHbv0wIEaTP%KSH){5yX64a?3m#W>q5KklfH+?jXbt)+%_tYw*beOMPl zU-O_)-chWXH$14hO^wIljTe6o zg}D6c+3DA&%5P;kyX<(_64s&)#DTyQ7L^xh12dcx8j(Hu@zY~_ zaFVS#*54&I?^kT@%Rg69*Aazt`uu6&BQrI{mCL%Jqw(iSJi)A^-fpcS*duaDB~{tB zZ0lA0URvI_pYHpAPTm{&ma6SPI~bqb{9y2HpE7^>`DWX%uA-u0gr(qo@h6mp;fz5?j7nR|ShM|nL&cdWpx&?DhAB-b>Zz875yL?&?&!{v|X%YICu!0hG;DD!&bX&sMT}TBtIz zDY%G=%gf!6&lD&U0}EVU## ze$TuVe?78GDp^@fIF&i;^MvrD~?Lnb1mxacBx~;lC?37w5e9wEWLuld8sA^X~GG*`h!MdUvycb zgoHz`3kjq3sB7Lk&7|u(rd~qOk#>)$ zl3&8qf)xO12?7gknat`7vWa_|_zqCd4W}taOvEJ2(Q%K#EOrOl>U26~IF1V~Rq?>~ zC;d@)grw^9g-*nrT^Bo8%f0Y>EhO+v4J(I6Z`348_29L{~aBf!XtvMbnlnnlfW|^U9`K(2Lbx<(Uhnd#B zhW5P%4_g7weP2hvV4mE!tV7iQCD`(nA?d{9FB!}8E1|fmcqr1iY{(Sy`EaUbM$@E>B+CC8j*U}vD zC!fOhx%hKRrDJIR@}ls}MyllZ_nQUBMJ}d3BuP$6W^iGngPz?$D*IwhAqi4lKkHywI zg-2Q=aP(7G41;bvwU5P|$%qa3u?F5>x}-9^wvBLVr^4-IR6d<{Fq_yP!gO~&OCC79 zGZB9?`6y32txJX_&DLcR(E2tQ!n46%f3z*5v(Ttdi<-Oy9Yd9#bGNG}%L%XAibWS=PPip;1|ht=-~gYbJ*io)1=jUF_u9p@+1gb*lo_N7ppO0m>+MQ6kV`(28W?l&PwGID zhgqCB4Bjdz7U_O{9x-2BAp9Dw2}ypjAty1^@dy*9DnV97(KhgP(x7eqA5mM4}iu%W0B!C>gX+?Qm#vh23JSXvrKmtYX z)VUFz%D@?87(?QLbGk1Fr3$LtM0l_kov)L%1JB<)?zl4xp;GNdeX1InVF<$ZyAo`q zd03Do?9#WE8eh?Np2HMA+#$%hkI(qKK@Y9i|FFZ5r@x-ZE=in5I z+{Oy<`Z4ZiuS4AWQ-|D_YC@jdn{&LeqDsgPgl+PzovV*1-i6#-* zNdYL1lu3>|*o}-nXK0xaZYx)6&=A-v5+P^io_ko`xpMpxTk|BCB|VBH|#oiuR&vzv^=g*!4Kb@xeBJKAnGs^7oeTGG_z0eKaniXu(j; z&Ru7d(4Y6rNlCf#ch_)_x=-@T{^gPuLleT?u7uDDk<^EN1q5*L_0QPlVIqJ14F0Mi>Fbi_!kT#WNwrTrEZ78~R#q z>q);d826m{D9T(BxblaB=M3-CW5ci(av<@d}XQZGT3w_Z+TT^Q0Jt)Ai7%yAxbqiZtPsl=C3)RPmKuLc6A zZdcs5r%Y7qbXmQ7#K`Ke#u?H{Am{8GpW8mAPb#wos)o#70X70M1rQwT< z*#r9@#6jZs4BxybKAIYSFXG;{quWT4TSrR@&awi& z#w1Pa^VV1fekqg}`4g6Y2lVl$i4UaIBG%6qt+TDB+8x5}_7F4T5>N7<#ABGtb^E`H z>b@-j(lK;fUHx^6Wh@1gc3KWWUMf$9wmN7Gp2j_2BeiVkV)jEg@QI>Gl1$Kpk`7tgeMaO7*<*IsRjCPTNICM9YfR zVE`2!R=J3!T6%=v&VtdO-ukvd8E3G}Tejn=HAMO3ZWyfb{&9`O-dUz=fYa_i!aqZd zMR89r{@8kcthQ+1^QV4|mc#UBis1M4S6mIp9Cu@;+ttrUGjzOqZ+Qf*EAA8kPji~p z)q}Gq7=o<}%wNA)jVsxH@;K7R`*Uw!o~{$y4|7$9>Zjv7OA+oJ@#Ng5UJJ{qNkwl> zrc3eKFV%I#If<|H6z8r|NFCTGZ7~VB?mzdN{9D*3Pb~(wam(?2xmm%CJJwPPo^1U? z7U?|QB>^zat%FH4_iEMQaO5fT7RLMu-{;?Kdwg@zuW`Y;?wO`nO|KmbNce_va!*M0 zFBy+Kf4oorCS%|a-H$YLiRxTZt;YA9iBC;S<#J667=;=)(%w@BTg&Rr=NFZ{D|=sf zGqk31DX?*e?Msmdy;(zqW;zNq#yRYcjLIyU^Oa3CyqC;3w@X)o?Eh7uFZKqQQisS> zaMGtixo@24SfNfAO^d6l78G{|r6wevuW1Zd%al->3r~l`Qp~k&`*pM~kC|TvBuuLM zLvFq6Me8vsx^5;~8x@MmXGoTL?guBWt;lfj&o#zkjULFY%6FOhr;Mf@6eQX@P zv!EkT;?J$hd2QWa<{}L7`XNH~a`htj{kp&#Hp+h<=wBzPccWftruOaJ;>c5hUyy9e z(ml3g&1b3QmzNUeCeuviA)$U|d;je9>7Q?<{y7XX&z_Wpkceve=L|8;Kq286_vbXW zJ?LPhv@7m@N5W0jI9WJj5sx#@6Eh(X=L8;r@CG<#EP|=-6@aI~ccDFy9xgb`4x6`k zY`N+pzj`?1TrhQVa8>{hu6u>%1M!H##jrip_-=G9a?1rL4g_*R$#CHJiLD@823*Md zcflZA-I+5Gk05~r+yBll2@vVf&Hu?LS4Jlbn#O|Mg$UwU8yZ*-S_jGJiqpiH$d+ZH zQ$PbOh?hVuGS>xXhZ&ZKLmmCU?ek(?Oq+g#atv@3sG%zaL2NNAA_f?vZXQH`K-vR1 zHjLPf_f!d6P8=riX*0az)?_ z*kLAU22es%>Wq`XWP=ee(2*dR2~H5jCsdXO=+SIC;RHI|-oiyte8OdeX!5Yd6?imo zV&DbF9x&Iv1_Eh#0NiDaF1RiST?@kN;JB~|vO4-7PhSHt@mXDzhZvj{TgP0N1=!Ny zF=%PzzhFp$74YE1PM9^#f_OyWj96W2`~dnpa_a$39&-SJN2AF>lB@_KKMaFhSuC1} zjvO@LoeGqv{^Y`PkV1LB{>AT>-wR*}nfuTx9c>OO6(J~KS6L7!z=s+(fSy9;KEO#~ zh9PiKfRhUvfsO^`nBYWE#zF*nY%vS;k84@GAeKJhHB$T zQE4==J~Rsu#WkUWGey;sp_cJ-C}}d#+O=MAT~dP>*Mt$y0Od>r>qgsPOdxO>z?BOc zg{}tW7~`&^hREO=ab9a+Xv$Z6M;r(vBM(;rGPt1e=mAj9EgU<_SO6~1TN)PF;w%rC zb0H{HL_c{s;hZtWM3Ffv%NV)hbZ#j{0G!l0pV7a=hDd)(p>hj-eYg-PbR!66Y$c5~ zVNQ4EI=@1C>YNQmwSNl3X`&Vrjjz;214me%=vsMDDDqMz6txIxh<+vqdZVvf)`gBh zrhyS*XaUrg82mc+fD9$4jzOy-j{Yy5rLl-tb41~>K!?$MNRNj&1O_Sx=K*rS zb!lj65S|Pt8ogT%!W(V;Z|2p+-A74_!TGW2q$s(zH-J{^Poi;=d=IT+&|08PL4quH zni|%Rw!|dJ!I^=-kfK>Z?xF-?Y*iR=-9)Y|{p;UcD+^};T*1&Bv=1mp2lpH`bP2SE z(6*SHa4#K>rIPa>xmH z9peHaNCAHb`9DP`yRFXrYrqsUZPkQDK9eIb0nRACD}TB5@1cj;v5S6R_&0KZJGd?u z?Ty;H0vE#8vDU=^cxwD0x*X{N;5aaK@^A=%=cM>_3yc2%>`5};%^t z6p%ra(}Old8WV+vagise0@YlI1oRXLW{BfOvni<3c>Q-Fe-a)82A&wrC? z9it^t;0a2u<2_;<#016xvDC0(bR;s@(Fy{Hfzw3@Y*;rM*burAnG4`}|5gd>V}B`Y z<6q?&A`&ns(RyR@r|8G0ijMM2>ti24%^%)c-~fuZ=^XJcQv?*d7}EXS5s!AqSTRn!!+7t~OI=A~*5b z|8gaB9nq6WK1VCC?5SyV1W3}f>7Uz@N%qKNz4WiN+c1%rGQ>%szOf*(0UsI|7JVI= z>x_eAhUMTK05R%Vi9g~$7&9fb=(zZE*y8h8qVVLO7kFh8s}yPc04IVeh7be+2p1w8 zodts3#u4L92oA*#vp}N&R}vV*GX-LsO4$D`=5Pl8y;8|R!Sg+6Y-&X;5JmlWY=3j8 zY|w{m3G4&lx(qZkYD)l~3N+K$4xsIk@p5o6fCpTci#7(~b#X2z50QUb4)So2tSV!D zEZ{CN>xqj0U;BXqClEbGEYd<>J@CXW9I+(?3CdUw7R0~l&@f=;4Y5UU`4Rm}wAl)A z6=L3G2+GmLIirk42(;K@CMbBjw%|Y8;pb%Mfn3`E>)8(UwX9AwUFu&85msm{utxo# zjmmY7q?%SD!XwIRLY}}@G$2n928KYeo5X_Pqbj=;5X*%+lmps`PMd#vvn&=k8dHc) z<3eeCi};6b9RQ;=Y>)v$#1DFD{sYHlqEAh8rXdji7LEhuApqyWLYeDw z0UjEBC)yi>kcY>i?LoGNxPL;Eipx@za0Pn{$>(In2@u_@`&ZktApVJW|34NX|6y7f z6+~ziU<$`M1M=8Vz>` zj<}24GN|%Z8`t6t>sc@UEk&^Ls0o&Cz$|nW(5( zrJrIP7ZjONa*?rsc-+9yyT!B*KPq1$ZYh}uSqwO-2zMHw^z`}n`YQKaUSix5-KPuo zY7hxFzVy&QuU%; zQrpJ*9afUW*GHp=k%_OXETn^#ZHhw5%CuYkOPW#pqWcbwzFk7Cs_q z>xl)N=@P%T3Jay}&95pp9XrxctxkyVaaTr%&<6AsTfPD1_1{j9d_QFc zKC@x0cpKUb7g-|rG^u_nP;U`xN0X@d`04IPg)f`_e6o%AD;}Azt4+k)hZgV!#2>NU zmk@{;S%R?5L|ztQzL68ew(YA?Qbt`o8jCV((P5h%V4(0`Z1mqkkqgA9hV?xbjJ+X4 zJnf?$>ClF?+*Fm*=`(A66zxRnUmni0s(6I~sjY>2PICSmiezj=5l{az9$k^+OkB#; zyeJrXg!O-HKFwT!4C||MylNNnmXGKBGHhHsUe~+RBwD7M=a--a^-^-x6QwZ978$AH zqse-yW1yVnUd%o=yKQwZiaT$Oce9R#`?$(tiAwP*@L{gxxN62oVvn^Z!;yuMq+Q~R zr~jJdRqS@Of7MWgyDGL84Wp?U;`*`42Bm&@TKdu*g-Xx;sQY^I+lDaka#5~jEU2hU<;Ba)jkBx}#>n39% zN_-$d@xv6F9)M_JUnW8gbLcCJDEACQX+f6|}~cR1T! zwlJ^x774anbZq@&h;kd+V6=UGZf zO}Q>wD9cT4)+NdDqh3d+rDc8z8g3)ut2arD{D-Gnt49ziInA)c9G_vePl5NGDc~Fr z2(5=Fs82#CrqCzLr4+KuR!PE&2H#ySB?%(P zF1PM}Ze8q_6_RJ9q=hubeSK-oqpBSI=ZAMD^$l6$91-wM&os1(e+vR19vfYoE(cX2 zmn_iBF*Pcr{>@=s(>DH|vjrWjg$ zTt3^9_h%RfSJEb!Aa&)w=!xfs?sz zAiO5mktKKJC>iQFZ|b>=hLP|`MH-4m7a!@5)8S~gy?3pvh-FqAcjZwS(>(rUC2!h) zJKs-0qNR3A(O2p7NB#cf!FglXh(H<{jlYdu55^4uCbb1AMf}_W_$9g7h4fC&o^5R zy~5-NeZEdvj_do=Jl<-PzyBMNY)c7o`{t_^`Ab&XfV}f)!p!M{=4>h=+dEpii&9HQn!|Bqi3gk_JXdt*4d`D+D;_mSk6@J|S ztB;@Y#{56kV@oq%7LW}kd0N%b1Iyco-p>bRcrk^dPFr-$UZi|c8)E>-ZyKVu4 zsBm2YbaLdv_xWiVta|zUaN@;+k1TFoF(x0}m?%9rwPOYc)h` z`AfjkGn-1MGH%4hCEleDU_TYT=B#u1F}~#FL9GSZjW1LVwp0;PxOU08ZG-9}fvsjg z`V+Q>woU#xn!8ThPxWKUL_Vwqdf97M>PLr=TbEeL!OD(6pQERk4dfxs>sd42*HM7;xkKbdx+M7&UvI5@ZBKk*tjr=4+@q#zWZgIWn7P9;8V{F;b z_zG}Nv}@eVqkv>f?}-Gz^ioY?1(&=Pwu?zBl_|OB8>Z~*@A>J;*ZHmVZ_)H%cby?s zwZgo?=M`<=siO;WqanHso4*iouVe3qm+H2kVKfupYH3Gq-@$}f%b(U=eQcJ*!??tf6jH?QeXvX64oTt&H zUqyeN%S}cqnaaQ9thuTKS4&!w%QG%xjn4a!qE+y%0y*E%8dM`KnUkyK!4S3)`RbXQ z7fQ>64t8nuGwNtWhv@+_L#&93UM>4U0OZ>j*X0Y7G!bv_=o@qTzOM{sTy1zuO+^#3 z%AvL!)8E$aLGNj|SXnC6pBl7pL2e{>l| z7#W3mTGG*NbqBg@|L&rE;mG6(wSEG-u6VFX7eW0th6{p}p7U@X%X^*th*TxiK)6oH zLqPSGaY4Qpx`A5Tk=8L*ubXy{+mJ?lX6LI(LS4d}D3M+zFf5!K-7tNVj0wZ|lg!5^ znH-VvP&}Eji7OZ8Prf1C?ZAK75-km~{vz}qFJW^d<7wR#gVni5@qX*$!ykoXUM=(A z$>iR#K)AkxlQ~x8V>?FHyB@n4U;^xhmCZL)7EAn%?b;GPC5e9tODQ3r9`WHuKa!sc z?q|<0PfurV;} zG)%0=a*$%r2iTph!GV!^9rrKfO9k3~T1Tu*-T2pyYQ4Ws4^0QzcFnGu%WoGh@|G;k iMi-ui$EPVN(xnc42CwA7aEKHQZ}6uvZEgJ=zdwJ(kEvGM`XwH%c)1Ef zKMUeWjN~d#vY(*#o6ff#HoBZ@wQW@W1%7{n-#=XX36y_<-xuchdw60Pj@pw8KNN#F z3#Jmqjj2|1exC@fE|OqzmWju$uf*=o?v4=MD3hUR#R>3Js5XQXhC($SUpb23x)l?s9ltd5sMIi0=I6qCN zNw88Z<17*>Irb9;PIvhx2Z{1j43l6f%Z}@&+oXeTdN@3CM0dEM5$CTL!(Xk!VCtWS zQs7A>w*7zguq6$jhz~(_2HK<5GSI{zR(>Wf3cd)i9&w_^Ph-GaYLtYZ zLW#?;%yJ0StAPh`RBy|FEYk`7RSJnRfkd?$Rthy}&mWRUDxg)?;PhuGD4g8!t%5~$xi{BdGw>f31NY*^ z8D{tm=(ORwsURy3>QJ=00 z70F5q$cg;$B85izl^9<{kk!a5+iCo6P@sQPeb$sbq1{Ar`xrmkNrtGUQ0hZZ`wMx! zP);Qz>LG;Rqt`WQZ@lv7l80;W2$|^ zkX$QH6XqYS#7(rim3jV@{fT!W>V9Ji!1+@87nDH@lBE#!H}gsjEy*Kh-N=@7Z=%{u zlnbM3H~gz9ijc2JPkKV=dM##mS}2!5D0mAd<BhX_Q$U#N?>^cws` z?Cy$Y^Hp>I)$Rc!wR;-KU*Tbg08eC+BE+g+Kfz;nr_pRQ#p^sk0PO`ryv^oQ{HbW~ z_-jN1GbuVc9H~1|E{qT(B^WXA3n!RnU+JvrmK=Q&uj-*ZhpF6&vLL&t^q_yu$R4`! zPw_bPmqBP^V|2q>7t=6~5THB}opr0W3ef5@k?9QgTb*X}+2QU%>&3bn{yAf}{dgur zLq3|BhXI^L-Rdv#n8X=kK03-MLlr+YjsFgB2TvW51Mg74;s^Sia$hD3sR7WH5J(yb z;(n(9&PD)P2BUS;J3#v<1Fe58XNa*fnyk@6kQ%{DtlOO-(cRNH-M{Z2mwi`3boU`Y zE4!Tsh&Y1)_v%isLSwvyub*6qQ^apkhja(ZeU4_G<*A&_xEm153lOG8VE3R7Fyh|J zx+cM}*Sk9wkoa8tIBZ(Q77r~0G3?b18+Sz-$yUTx#w^wZCJ>8F6 ztB-Jk$o%xZuA+3PoEPcJyYEagh?DXJh6e_fJ;@z%;)l6ma6&A?qm^ooeq}q8YGWK| zaF29nC?HV+wA}u%+v|+HarbE8OpZq#uiI8{w*(%|gO|^m&v*7-92~rOw!hbCK7Vnr z|8np7^S$Q&?n}CGNHl*t>vfgAHZSnH({{Y0&Y&}LCLQnac+i@3-GS5d2AxT-JDz9& zbZ_1HTo=$^f$2;s!CXiplQD@v4ooIgPbxt~lVSXeWxH4-Tj0Au4ChvxZia*k@RJTL-E#~{$ zbw+J(@}`4r*Yo;ryO=Py4>tg=1-Ju4l9SE`3RkIJ&C}A+V*jZts{& zjQ2>t!Vk)@-xqH>&P0s6gZ~h3yOTFCaCb!SaM%}4cXZfu->TNvDu(LFe~YHWk^6P0 zHSr4B(j9-H&zZHdRw;|Ox|B7^~ER+wh9v*E-=L;4vWJ3D```1eBBaA!3eMu<>)?(go4{%iem z-Msly*U$t}s9bJPz^f9dn!gHaBl);YeSdm};~DWLPP3?VVR$5fle9IZY0{v=@|mbp zO8ScIVkJ?5bTd^5DUs0(XNOrKWC-c_6z)Y1@2#=3-`FJ_ZS3rtJjwO(<#X@(zIt`T z2~K~-OQc%=U3Cy2e7X&OD!EVZ?t3|9pOxKyXMH+SuH5a$WaFM32i_DcZYS$Cu#9GQPAH=eKHIss|l%s`MfWug0B}&Nk7g zlmFu2%C`kgM2h=dJn_GD5KI(HFViYGc+-j0B|2PS)aS@>#^n;%>JoJU(1fm(lKp=k zb+bHSDC^1?)PAn<46Aus;bsK(!G$^8%%p7$s~CgeEs}+kRiKeHlDIFItDAO3L`8lq zV^AcmgS&Kuv$bWHzCcY27d;T+Xv@=lu^{RLMB&thKi)9J?a|^^g*C2KP^wt)+OjAh}uawE=Q2ha-aJC6R7BMe8 zn4*UHZ>WXyXhR@7(WUg72M2q4^bJ*92gHj&$iiMRJFW~u*p#&WTfLTv64)m*jJ_nSxl2Mr7Fkg8&#nkRRZ zw^+(cQ>x3geds~7$3yWtjHiF^#cMzGqv@Slao|qG5qWu-r)Txo{`CFrgAJh^llt*W zwBzVeCR(^&b#o_PAQ{1}IhSH2XN;aD_rya*x4w>>f^RT&skv<|&SPyhAP=3KL581!&5BvbQT>&!v2;ZVc!5T;6&YMxP&p-lLhfq46F+$^R zWdGIqSgU0fs5s4RE}|(kN)+Rxj}~sBCNXZb2aM?7!hDYa;xJC|>=RsN~w)ik&P*b|Snm9VnVi1ArSIp!*wC-=+7JDx?&8C#E8|-7(d0sLQKSSdIKWn31e#!5>=^b?<)%a51tQYEH z28cNc%yGz_(xXzPzc5r8knwXI<75_j#m z-7>x*B^eJZER?MUw+cK4Sg&el4*MxX6_P!OUHUcNUy{ZZ^^W^kMuDW)C{H!OwG!-0 zydEa9-p8kiv_T+`3n6N;x`tv+$0|^+Y&xMSwgwDX>@*F98?BEUNBMGd`3b7qLCUyM z$z*?kqm3lz6O5!s09Yy-M}69>d{`MlRa4n&UV#492vs>v=l=%)0RR7Z0pej`WDsCr zU}*Yiqss`SnShuZh=W|5;)7fR{DVT67$SiD{L&xlK#D;ah+*>nPF}9gADyXQ;0;EA^ zib7R`T#2lb18h5IP-+~^KcMgd`6t9RA_Qt2Cs)Rco-Cb(lR0WM|T00 YfSd>OGDKN$NRX$WdpuM%00030|FmI|y8r+H literal 32374 zcmeFYWl&{JtN@6+yE|N526xxN-QC^Y-JQYx;_mLw41+rtA6y3=bot)f-KwqqyFa(i zsU#<9U}M_1Ov1CdN{tYriH7UjguqUH&$jgW;QZ04;y=PGVX7z zWNd6KU+*{8FH%j8Oy0)bf=trf#{ElH{uJteR5bhl4+6u7{9pVZ^bGu8+8g|$@1N^| zOe#0+%)`u~e!xsXaZSV7m3p~1ZBlc=dYR1Gp{Zh%*?Khk0;(J$P+17FdWg>Hvrm?YXb5txdQ%C`jbf zxwfGpAnHRMmV3mpLGTpiMU!S<-2jnn5g&i6|{7iYzOAT=`XW@zP~*p&d(-Gecc66g_=PGSln^B zqK!L+;eADXcnnzkB=NKpH7SJae(q^e_;njSS zaBM+Pt>ZXx*r_>BK2#>ikOzfXI*jmvXip*lHZx4coAczcAym51^EH3_9^x|YMo@(Y zFA+wo^l=8685u6&Y9`&MjPJE7;|D_ zNRH;X3V<75^!gOMkPnqk3mLY=NOT-WxcyxeG`}2fEYG=2NKm#Ld~=RzL)un;J)W=P zRVh*F%84L87+BlAnB*@+jiE3hYPQq|UkZC!mm~rkaIR?9fpNiYxfOnEXW1GxV%V`5 zxQYn*f=ETu#(lNdd0b zE(_qpa$kPjH-oa}r6tb2NJ(sdk)tfZ*57E0Jj0{A$!W)T4?vi8A52xgI`ZMik1K^1 z%_DAxztQ$m-I~Be4@rhWBvNHkQpe)%0YMR+?SQ0uK-%7OONA0kl$HZ!iBh+6A|QWg zW-&SZ&lKeXQ?WOH-;kE5ov+&>NkCSWhr0{0Tu)-u^xHL|bBR1EGVFxYNiezE>KqUJ z1m?+_qn|H!;xY^SM@Rq1z{0Z8uV?Oqqqha_bckB{Ai0snX)HxD{<|_5lm~KzbRa1t z3es+=XizDRVS7cNY~vA4(Sfz0)LVz{N{Qxe zJJg9oC&kH~aYrn``DcRS8_~9YE!0c()WL@vpUQ!dBj{`c?c?`lP?_*A{4LU)A!4NZ z)Q+sXhlfvx0Ef#Rk1UVCMG_c7!qUb77a>bO=lbEXpmMw*vx6EHFO2fQu3n^S?vc_v zU2k?cF22XZl+(v%{_IsI!MB^h&4XO|7hqUM}+G$-t0_LzJVX@UTxw&rQ}jAQ!7J%}g4YO}!o3Wb%B#2WC&acR))udyio zd|P&?xexp4WB0&9nOrq+`8Dn8FziqzAwBMFe9vkKMPrPn8cF71TqV=ChV~gctpP?f z$oGz!D52^vcX?=Ky=0Ev;g2fw?@7ucQnT(=zt)SJtE#om{FIr?Un((vuqi4R_MY{l zR;j<4;FXlrPWyKXkVNKRQ7uiL_C9$BIs-7g4|P{?}8O%9!_Bnr!MHuyOaSSYsoQ6h_aZ%*M} z%#|?Y-HiSRU8QqV%p^B9lUQR4MGB)

    D)9hxnAx%CdRRR8gTa%E#f3)3_Ggi^h~m0P;muHN#h6ile1Sc;O$7&Cl#?IDcKq?ybUi+lAxO2oh;tBgj~MS ziYB8wL|(yK8DJS8Gs$oIYv$`@4oI(}bAot9$AO;uUdMc|NKU!zIoAsh?=?bo=bdns zmU0a8jbx@DZ@ZrgY=?ZIP&R(%{GaF-zv(&9c9XeGya=F5>klU3`aU z$u;5y{K>?VG0B+Z%+SfvTarf-lLqGIL~b6F%j8;7`ow>Y=}>=sfo916Q@$Q$0cFyY zgzMPEjf6}3@qdI||C9Dg@mb4@nn@x4KYO}*Bzuaq-!<~0=6-=@)c?DGSKP0WBLVxw z3z2%19et0YlrfY*VvNnp8%6Nf_un#?74n;*lXcyCtu}Wh#3V~S)t0#MP1y5=%Bu;E zK?hmwlH>J)-eDfwgp1cF9Tlr#xi_c4&3=OT`hrC3u$$XOo7aFfVq`Ag*1M@iu^wS> zU0}kVH7rQwxs|)$n{TvWEC2CmWzib3v%(kAz7M&$@6CMe(u`1!g!^$mnk#eSj zyr(ICslmtAuH^G;vr-ak_uAX7M5Q9#JUu=9KNQ9CTJ3Nr814p>~OVg(*izD>P zy1B0Zp2w$Q@qez}X1Ysp;q%*bj4;?=N$1u|@)t3+j^1Y_?yS`dmoDP-x9zDBR@}d5 z9R@lSR`mge&lesYk~=mYx!!{=gXV<$@b>}>g_K=IXXm{H>YHYE4s$#MS2i*KZu?cd z(zg$B`KR5S!#mu;S^>FW1BsB9J47Hh8ucz0pJdN-`WM+Ch0lIELR1K^-N~}fks1~6 z2*q%*jy%a+6MkY4E&QgMFOV$++fzzpVG;HT1e17W)D(4W_KQd zbokEeLTSMz!5Ji63?s`1QQ>1_64sswbRs>yWOsUP(ijYl zJ(VK!+Bv?-63`9~lv+JXoVRzvn`*oeY0r48z9yoYAe+VAz7bXn>ewbTDVYfi7MmfK zXaK4B2nJwHH8ZZK@UB9J?4?Hkd>jENAtBvqIn)7141XJxqzkk=F=J)0mr~k(Fv3y9 zZQ;wmV!j|?Q?IO{_to^I60$o- zd-k40g2XDCX?DZbQTkzMxE!MvCXCdg(=pbMqK-eXwU`X)pd*RkME_| zCv_%ou2VSx&j*sk@Wl~S>8avgxtt3Yyk{Az8LQ%!Y?n%%{eabv{%Y&+!T=F)Hv7;l z+d;9|0v%-yq8$^ydT6?lSEbf2Ivc(Q_}iz%ZXq%>%8)M=^r^B4m*;!obhvmGTPU{V zS;DVckJ8{K=yM!J!x$tI+rQr4GUlxG#@%Y|Xbnkc#kX2KcnQL~k}ez_c4tZix=ms) zTJf1=d;n}$%3JS?hjuKaR9bM(P}}vl2o6kWX7`>V|JJK6@J2b23E*hicf1;@a_0B9 zhclXN3v@S+v&Y2_P~#pegV!kB=)6ev+))ehWXQ;FFUg(cmm7PyEMh5!JM zYyMmXa%R`v_jgLm0GOS&+gtLNWIzykAR1Fqk>bgSMCq=jGkVEA9`SjQ+>+Lge^(|` zr0@)BX9OIt&NT%EZ-y)Vj0#Yn!*zaAa>RE-pVT#A@_~F6_It>GWaC2eYt;YphE&N` zzsOpS!w>TRh_6Ph|B+-s?h5*k_~J1_|JQp+PWL+AABPLt(9$l3E| zCH5HJbzivj2wIlX8tOq6?Grv#6pB`~Tbh_R#t6i@K$02)zSrZzm;`-0i#*t^xjN*_ z^5=wmSv(Y^g$r+`nBJhMzbwzfBo0TnQ3+ zeunNoffe)P!ycUWAV*-!h?l~2uY#PHNIdF=1xyMmh0h11gH{F1yfaX{hi;hPo`gjNGm4+BrnuX!WnKlcabE*-z!>d$!&02 zyY?YTu(;n87L_+qhbgbMr|*_Rk3gB_JV@q~J!|GQcPD+34mU$zFP+`Riq-M^O!RJ3 z*yUEoNlDvaltcA*|Gvpz@0g&0#LpRar=Oh#l*wvj6(Wiuc@VtNt_X)%lXU+N#^{1S z-#pzwE!>o_;#0TNh@1zE%2C}eIP0oFgqiE`D z$gi#a!9Vn&_zmbqS!iIqitR{kZQx>wrw-5!iby}hKZwG$TKPh8g53E{{&KnX_Zt@b ze)nK~V?8r8PzqJ55k`*99h)IryH*+|DSwEB6ifM$DF9F3sx1cM8qbMyz8C8C{(Hhy zCq9IM%2+BRc$enljGow|)tY1aKN$c)jfsK0$L0M@mm4_hcW|aQy#ERR8FXz*s^9$i z109}(jiD#QWyb#R=%0guHBT}0`y5**5whcwTQA<9R2o17Octm2y-ml?sRGMfKim$c zMd+TjGpFs&)-DE93cen>M*jQKu=f&45^R3G>DpKkdtdP%3f;WZF2};6trN9)iZ@>w z34$jfW0R?%iZP*~(kT%p`r-$EZnFq)E0G!-kxlFM_;O?MQY<30zyONN(v^EqC;J)7 z^0&h2Wm+U~J(i{U|5w=Gm?yc@y|`8f^_qasZSt0bf4SEveeU0=8~p(hBkh35RkCN+ z78z}={&l0x|K7I{ampiQMUB8kqr)={) zNf{^F7YV3bmUuycQ?m2gSe$w=UV6s{`HR|RNrAi;r;IrQF`yC**Juu<_o!n~*+r0; z39y`zm@EE^`+bKac!Yi21+$SR3Izjvd3$XM(E}DDTm?>%919}N=L-Z%BsFWjwJS@O zyQSk=>l=$~!!?XBZ9VwSYKdNYA08)93g2qVEq_?lQ5m-u&I>ma21y1fC)dRnx1lxf zD)=o$RT-*hYW#FFE|P!u&>4AdfQ*VJ-(c)TW!Umc+e!&{Sof*kY%6`z!AF^QdVR;IO;a4N~RU3-U=$swrj(> zH%$qpKj%n0_(`Q=FM*eghs8J>FA6;ohl@^b`C=_vBxD*j`V*}O22#-kkyizQ0|x;R z+K@C(cQz;(W@-jq{|uYJr*dxNG@LthB7T!dRMUU=`5f_qNWRd4)D!T&+0pSiyF*rK z5QcERv+YHPRy@ewef;_FGw?3=^PGF&-^>QUT`6Caz(_y2P$=(_#sJ~lq+tIRnYQSp zZPNBiKdjD}i3t}93dgU{%onwR8R>t*;LIQ1;V8^Ik>9SmSf;(Dh}o67gk$z3p8azp zeF=ro;g3;$W}Huj)M>6}#Cc1a$BDo7EfV^dnP$@=jwhX(+HIUF=lStoLK6;=*NF++ zC(%a0A(YL=&I&VRrYNSnlLpBK?Yo@e*YQ={Xnn?K@Quv?A^;J_ej8=9*Sy_y0%f1- zatpqa4JrJ@7WQ_@wbrLN|h zbfI{fA?WSyXBXDuH^on&wGjm<*f(8!FP7y#NjZ3g%h1d^X<#X{Xn1*Orm@D3^<^LQ z06T(h6S7PceWTOEZP7Ys#?)({7JxcxA)-V_OgqLh4E2iZE(Xh^E+}MwLAsQCT=xzt zou0C33G7dj^mm84Z@Bq8rn$FndHU~X=4{7w86d)H*60TUi($GE*7sS2S?A3Sg5lx> zVloyV~ zJ^t$f@7r^$M!n3K`+c!s_%2qcdcEaTdC2ebo_(NRSnkY+k#{r)26U znD-OZ0V|LN-A3hlLAK|!+7w;gp2{BFANFeUR^?q2aicQ)8riAXnXPAezVeZOb0aCN zgFGD)*_`vU`@Fd?g23k$<`oi}6NYGQK2zV?th}c^c7iP|xLihAV-=qXa*+r;FuH$_ z3x=GW`Y?I#)u+0OY_?FU#khA2co>NsI~x1puv5-i zJ@8)I^$ve0gsS25ehvS3{&r6&U9otL&w&GFyP%MmG*8`=8oB^$_9~J3LZfs+U|p-X znuL^y`(Z@5SeTG-s6rjTY-20=EVpv7@U23tkzjKH-3yW99NduEjI3Sql)s z&m$~QpFJezLiVDrCnRL*nz!s!TnB9N{V6e|d@n#w&MUMgydmiHimy<9H2f{FN6_%9}#Sogj{@jgsYY@*EJ|ztj333nDaLB7R3{#@h9M4Ts5Bl>vix^Ir!F)a%)fRxQ5F))Hv_sXnNQ!|>a#$lJ*cz0$|C2oXB@?96Z@ScRO7qVLW96x4d{m-&*O z5bPG1RmUbhZXjxnZNhlKJMB6|VWOT`Jo?IfgtjN_00Df1FIyJ#T$A?U9A=-tzbGFY zTRDzket+&GV6jNszgZ`6*ncD|b}JGX@V>wPkDbI%^{@T|zxao~hoBac0k}3*LcQ@| z$Lu%SCq~L-jeq5t=tZy_^0U)#H z3D9!~7$nV6!O0f~ggMM`2Lna&L7e=!NjgLhLIuyf&GKdtqOccZDI3_L`Sm8D-wPL8 zZ^JF!rbN>)`{o~&cfy!?Ut#{BY#J@9f1441sH|M5xxeKMKwTRM1ivAG8 zN`t=5TW3^My=4cE^Hij=7$}=xSB$1ov5qc=?o!i?*MZNr+2=4M23o8XESJ8oCD)R( zp+gupPj&69g8xyb{6pkhln!A+Lqs$fsjA|svCd9vZ5ln|v}ipWN zeqmh4wxdfklev4-Py$;$VKvDjs{0y$@itOzk_N4yOC|xhp4t86Qybl5Z$CV_db{-Q ztmU|;quw0pOwm7R(6t^~$5J9RE06FvVh!rilcP%$(Xb!aZ0Z#%jq!Bge7^m7z#>vhR$45SYoxdos=wvZkn(8~O2|zO2>YW`>6l9}XVe^^yQ7Ei= zKqJ8?{1hwI7gP^}^>y5#3Kk&}gOC#I59*MnHH2pZfkvqP$6x#LRE&{#smhUtzs1(~ zc~H&b$6@e{12Sy?V7`+reWTAWrKn{2&K#yqEcm*5={f6{-?+*zeedAJ>59Tey`Ma! z+|CmK`7(^mgOIguGeLx2l_E@+*tYdv`Qp3^2Z3H4Qf7T$Pb57FN7^YKpgCR(Xc7IE!7SV7mam+|>k6Cq0^poJDnXIQijgz7|J3Ctp zYZ0|Fte)yVpe_fycwEISOdmY-{aFDgUX~aKygB8d(#eZSN5g`{!wrL>F-cxHw>V={ zg~w<&qBa{BcFZxa!N4CMJZW|TOa25?5`JRt(A=P)jIS%LU%gFg(Ykk&th1cNPV z(_g-wX=A&XdA17-<`5%e{dEVvon5%`)JyRUo4ysrG7=onM6ngc-;1LyQ`qH@^}1_% zVH1j7Kpk4&ZxFY!a#k9tUSl?e&IpkxMDE_6K*dldU2V^bwPxW|_AEBjDv%+oEf>;h zODTkpyx?alE5y7gBVKdK{JIs3xcL58yuH`}ncgHJ6i+ED)VX~g0`{;thu20$@1F3& zy2b+wQY7;vx{2Hq0zVFhdZGz#u4HGhOd`rwv(r$J1TsT%QlQL;&TIe42O?u|kc^{k zfwis@@Xb;A?q-D~NKsH~?SfRRiXkv}5P{;T_Rpl#BJ$)AxZzT80AINek!3-X-aR`p z8~uc$a*;~h@<|&)DUvFUxAG0tT%blX#rZvWpDirg!C$i%c<*F?jrtQ}Oy9fRfXeoN zwgaVo4mN3PNifB?nq-f!SeB_tO_0_R<-ZYj1~nAtCAt-0%J_kq>DHKf+h=n^EZo#- zSqoKcusjJvN+bEKenB_E=7UQ_TwED2gnve z)gTqCjr^=s8>EUg_#%4BZELT7;BW!D$kDevw!jsh(OLBi)K?bI@WTn9Mam^Iq=Hb% zy;IV*JmS4)s_(6baSb$ExEWw}j#TctRlYxH8PUech>?H0z;IuI%v!N7&QbRFS0*h9l>^4#g=$2;fog!rB9f`<=ptM&kq?Q4RJ zw^J&N2env8(u_d0RB|uw>xGE&P9pJ~eZnl(ARD37hVxX=`7YtEi63<0RWA*>ah$$A zq|C4zeL2!7ol>cDi%Y3~0VS0>Q;-OMl80j6DtCIq?O`&W5geFB&Pt@aMX4!fAgk6X zH->}}l;f*Rw7IM00)@-@&4n|maA=KoiIRTj{C3jv;t}RL8Jf&{QMoKX`H~br`b`F^ zldp*BH!M)$1vz`H&)5eUU%hv>T60YPRqdB*N?y}c=(tuRfCnqrka-JF%_8JV`L!Yl zxWhw{htb zExuweCuqVBw8Ow`|krid~6;->7xL!`XsDC^*RLaRs1Ao4B zS}DvRWu5ciGk5eOFlW~Qh&%^lbL>o;x-PT_h%0)6Jp}P&#+sp;nZT;^R(>)z>#2g<^d`EPHCZh)3qrmKHW>+Ya{Lz_lA&(-#?BoUx#0LaoQi$(3VP%+-G zc}{oGsx-4jsS-;-Xfb5K81<;O;)4SbG8kCW!0ba&&=lO#uh}7&2aj8SJGJ7PLr7Qk zb*9Ex|1CHQv@#vC>JZ{7HJn?l<@!a@xXF3>CFs=`ICfdkTV3Tp9BHNaO$(gcv zit#x5wcm6%GtzYgJjCeW$*T?(03URr%5|;3lUi+Je?_OU4GPlHYZ$G1d}`+9H4Z_r zrl>;|T}k}d9eIFLrQ&lLh6>L`5V^b?X{F+I*=IwORq;It#FF*8-H3sYPoOwuO3Vw6 z#wvOAiN$uxgK9HHGm=PAq-x$&^fyF`H1UEe-47&++(D0bST%i>9AXs+Rq;?_z$B4i zZ3it*hr^;HapPOWA5Y!v&c(Qnie#M(cE&+Ik)<|?j`S&0i-%DH-hOC~Ru&d75*~-q z(%h9%uEM;>nYv;{U5Vlmn!&KIgy@r!uGA-CvvNOoZPI^Dy zR2>koGYo!NS0By1BprMwNRE+3D$>;qmWQ(f=VfC)MbE~Y1gq}eOHn4=pV!exsjg?D zu2Sg3k5XZ8a16+U)2_?5_}c+O8g-2bHqLCphqwf)r^2M;SOajFkZI}Wu(`|G|HGS( zU^*c*B+EYABeV)*35{bWR8YQ~$Vdk-h%I4R)$1D%2TSAeKxZM!=ql{|(Q!{I_OPs+ z_0qEgDbvubVITVFs;=S;cvCQP{L!UfFp8wya6c3AMdfGgo9q z$>wM+`C~GwqVc(N%XrL^_1RoOn+e`!;M5L*%L>3enDpjVi-B!kt%LBq3F$gf!QkFvim-Fd`t%v^0(ToHPN%*hV)rBP+!An^1Fr8@RGHBxT@ICI*?hfad>)@$*ytWCV-PHngbFj7!b}>Uhp+(>@q#D;5V65m31t(hVsSVv0ubmq*-fsD2@Gy0_w$<3Agjz=nt;jNM$ zYHsalsyJ;|<$O+G?PrN}2!Z++4qs$-X-QW^GQ!B2bk|Z-&7*i z5b33DRP$&Hr~8R!6p}1V5@yaE{I(Ox-w1jVBRG96MB|61_L=!3)92UR1S9dKMsD#` zrOZ~_7|Y_NKutDGSBiRWxUiUdJ!wM0)O_V~y&jb|LOY3qw_d~vGUNSRJB)Tfo1mx0PptQaDunP@OP zgmv)F<)d^Jk5&vy0+EgKZk-T9aG7j%>9`-1V03Y3)~B3c7t29i**Oi{9xcwSXFJ;b z`oS1Hu|p*v33cr6t021U5+&6b)Gd7Y zUS)I_7~W6EN0;RGStcXq0*>K(v;>hs0nYhe$al4)J@-{O1Ttqw4~d6Q0ORp>`UYW4 z#R0K5-$bmd{3eXMdlz$oJ2-l)}y#wM3g-r zE#a344g|JdWl7l3c_0nS*hrLgFPd92FqJ266PmMuV(o1>LaPtZful?Fai;Tds^jjn zbZH&^GBKz1W?<~2OJ(BQvGF#ehk_OIfj@B6q;rv3(+bPR+&2vjB1{*oROAU#D);e3 z?(-f6( zs%=`1szX#*hCa4My)#g;>-w7_K7VK&6ywJ()~t(qJs_d4MF{$d3Ja*ZjNHW8YPr#s zRWEit)N15HWN$th30Y;qojGQ*i4;^Jes2N$z0-_t%YQtod2nOXfKy}?tbk;)z*1u0 z&K=bygJpbbh`Grqek>Y-e^rb`lS`cvGwr_oFyRf0(Ih6|sc?KQ2sP_;+9m3&+N;>b zLuYkP{6>(J0ts1>f=-0li^rqDjh%u8l1DPz$yvwZ>Ay)6m%^;`CAtQAu955iZMy%F1no9H0d=Rq6zpK)tZ$&Sz=h!N{`{ zvRX1lg1eo}YKxh9giER{U}c|Y zDW5E>tSrBC8V1b}urD`F@>rJD=K2SGR;!mABFwA6%p`~?LzTjA#8|a!lY#psJ2Z`h z8`cry2`lf*{?Grh|LY6Jx#ghe0jGF>e))2g*L7Qx!p7>Ff8&^VCh=v!X46Hy?aN@} zr6uIo7&Nqir(v~?*bh=sUwUw*{CTL2Ai}3*otR(zsx_@Uo`(Oq`)ShQ$)@leSb%&)qHcG*2|FQee7TW>UH}QW&NO~UeM!1(Ckb&b z8D~R_oI-~K^dOCi;7Li7<=KnkLYibbi1d1z(wdUR55$Rd0@80i(BYoKzXkpd=5c3H z8K00O%fq^#BfKB>Umm>=+?A+NxTeeZjP+}Q_A_A`FUI^J*vfUn=;KFM?yL{P+o zbMns~c@k0olzRTE9k?>=P<Uifb4wN9Jd~6TuxK|o?Pt^QHAEep9xro`)um#0{ z6YRnnDdy*hv#JL+K92|1pbyFFI1c9A%D9m@$b%0#xTk0fgc?0e|IuaXDmSBlM^)0Dne zB-0cST2Bc2GYCa@#YLSYf@fwcqMi@s+9(00;*bsESNOyRyc5#T{Bktpl`U$FBoS98 zEBU9*9&Z3p3GQFZ*g`5ga|d(EnF3eOYt*I|s4ICl?h_4KjUfsCKn4l&A6Ete4%tpBEU_N* z+@0nst}su&qBzn~>t)7UYyn^NR#mS%I@HBa^4Tbf_tmXl4#Zo)TZ}-8PqfHVei-X- zVTh)KNI6ri@qf}}?fFT=JfCn9%gAt*5V!jZzMnzTJ0oi5e6=UIKzKajc|4+kMbyF$ z8ymEHf_exOldt8vnf%d_lOgIhUIib+x*)eovA5kmL}FbT%3?ycIyKnzo-$O`ZT|p6 zIX+fGxjmn!1PZH^8Xb$<#}gtpCY6%bG0zqv7CxQQVcqktFBJ+8lTq5ZIy;yR+)bjz z0pL+NYApZDzL=*9hNg&WBLplm?@jHnD8}+6*B3b{) zc>pAM%TU+~XwmMBUsdbhM~#8@njqO`(y$aRohzG+W^E5Zlbk&fU0B;eAQ7Ek+c{Ju z76|L7S6;GQsEo^&LWp*#44eP#KiY*i&wc(w+Jy^G6pBB2TT6m}XjSWNCSoKr$y5`o zjz$$_(Z-o14SSD9x1<73jW@9#8KD5*2t~7QC8fB>mf?nNe33Ld%o+<7;w#m?_WM#8 z^D7bZbaouUc>-e!vCiV1o`j{>dXR&7e_1nT;Wb$FgUzo3To5i8$Xa`|`q=jUb0t z-?8CgI+88riL>Gegzo+dCKSShbR_GPeWoIff9FEm%5w5I&lqo9Eg5jn`hBkGN+~s< zC~8_d-XmWf}11fid8_CqIwLQelkWLco<>?&?es z2Rk7l2UTX~Ay~Hu!JO6k+rZ-4S;6QXtIKhMZ=qpCmh)+>X$ZB9!It!s2E8E=2W64s zuUH{ATrzdtvpyIc=~@X-b<7#^#u5jExZB`UMrMcYz@%n=fo-MN$H!llZySKzX244= zEWtD;h*;$;Uv@mVf>b9ww+I$MEb)oi;OhCE?DsJ6-}ZjYHyU_dk$|`h&%XJ3Ovifx_vblLcW z1%wFXm%#+B+awxkY-V4-PU$4gEh}Z^cKM|DjW^HNRiULKNYwV>Dd6US>aiW+eZ{uZ zzumO*5$XVz_X{5+1o#5LjQ^{_bL2$ zF2f0pJXnVRy9^|IV^bF>#oWJM_;5IDDa@Ot7`Z5mX4|TcI`N=0``H!{_-Xxl*z4)9 zj(WdH(1uqKB)VE1_F?g4%V!%#HPq=wydD0c`3qT#FYW4;)jFcov8RG1DCuKKx^#%P z6AnQBu9&2etMP7D`%1d2nDEya?=dV+rDqfM{_^<2@~#sfspnVZS{U}LaYs^!k`xG; z;*)B0(IG(%5Xs}gfl}$!$k>Ume}iWV`VjOWB)wWM;h{d2BGRMeUbqneDQq}(S&~DA{a%)4`GgU8sBoJ;9{R#GsE9^7h;??QY zzQNCXdAVVhfKI5$O;ozB*JRq3FPopF3Nb92&*vyD`#Fk!SXkT&F83OkQ- z_Acx7*W?znXjbvHeDgKDyf2xn<|j8nOIxi9;%b!hGMao9zfIO0rw z2SRg`?bNO##~{A;f3F9TX~!PhPDh>vI_veR)UO~$)aCDgS3^ul;#w-bWGPXKH=hHw zaW3(3fJ3~V*wa^5WOG@4Ru#Y?R2;D4?Ih>I@rC7@Xf4XTcf+8ighl7W*>Q9Ds^KS% z5W0$%7J&xf6uYSwwL~KhUq%{EHpbk;@37)`ppm#Ln~_H*BJpOE!KsZnh|qE{pSw2E z!cDnGZp}F^MYu!7_f@>s5C>4C8OM~d>^S~Q)9$4Ehl?`#bN6*aoJpG(PYhA>ro2b0 zYFyDuWv#&<)(^GQcOnf?e7mp09HR@=M$u{iOd$bXE6E^x67*n;)D8eji=m%c|6-$Y zDp1?br3g&a8(1B}`GwU4e~3XZ2gs>BN8Rlo(I|C^Iv?qSYKTYuf_d`im+yvuW>SMr zYL!?OOmtq~vG!hnXc+0Z()f4m8tOeh8>Do$S5dOpcy(8%4W zqh9tCYdW#wxjGeXtsEWEycbgjKBm|II%^O)k{JAz&asU0#K81Db#6d!u z9RlLy6cJK=cfRpAxX0JbJ!^?uKwVW=#M&IXM^88Re`S54mahyT+;^#{CB*d)=64*Q z%atf0pF5W)9A`Kl+d8Sny8XRN&j!%-mJU`@qEe{Aq^lWU|Mr@rS3i{R9XeFwc<@r- zfjiz3%zL4HsO=_?EicCU2drK@z9|6C{`ucVdUG%e znq#Ea;V;Tfz}^&BC_2uzZP~g%p}i7IOj^hA8^b^S8r`bp>lZ2kH1RD#p6z;~tp`zR zZr$0O38&CkLB??(v1zVf^C7Bx2=VZ>FWB=~zN+*vo1m%u z$+)-c@>d22bj9J{9s{q}G?5Y!l5x%OF^gLL-=C6i5`>_Z&$+0HVNE`5T@`Ro!P;ka zepW-He_%*|81fwB7!=(+i>& z2G1c+qZB65y2|REP^7q-IS}`CT(k3{<-Sm=4C14bS#MX;xVpu{Qb1nbNaGhr`qff* ze)We+b(Uk=B?wO#a)Q2(qzp2~5M?AKR(m6V-dF(TvHMU~>M%gu(y%x886N_5#?6A9 z7pmuO&=_7f{U!CBdb5y3DPFG$lSh$cCMqyWKrV>2j5c7dFdn9_Xt}RM<}c3PE4)WB zyAf1d*w5g+(90@Z)mV&k0F1C_$zMJhAsDtrEt!8Q4xC7LR364m+~a=@$Bo&dn=rK{ z$DlCYhp?*r&$|LSLcVBVHZ6P>B|CWpVZ%sZnMztYN63tZ2RFf*0b&eg zGDsGFrr|NeqX)=DS)8H8YfuS_2*%OMp<`#aOs!Gjp^sto8~l}NXG0vP$@e`=qWgrO zh0V;QkBPZR>~@_eF7~|Nu2hM)Y{E=$b%&6kNhUcF$GFBQ7_3RDC!Y~DcN1TxPt`Fv z>ydmOD`jG0Pft!+8GHl%twh1cQZKPKLdK}_df>b8_xah9j9tEC@@gm7>=c^s`E><| z-k9#0jbKbsT{a|RnTHp%<(ISefVhayiz-KujFv_x_Gq4kf>i-Lihrh~5Jgbw{$Gjh zo)hk8iOyTXX%pp0wKjxqDcDpNjSRD;)Z@lgrs`j+Qam&sOXxa8tCn#8df-qzuH|3x zs!RbaRXe?!vUtk&S=4yQ(pgikj^O3L>qL?s--SYn|I+?N5MPz65D zdfPg#iT)ArBs<{!okmh2j-k{ZBr%{eV|LIe2oyWRX3Kb)FK3}St4`Y#z>k$qUw{_I z8U9&Ke!ipJI&xFO-#6b!GfTSoN7pO9TZPITXv!y3EkGvo0`Gyxn=`HDK+jr5#C4HY z6WOxdEHV@(C4(W;uF}=+xxFIw8C)M1O7Z-)FyWp3Hoi@?$23eqagW%I49{do^3X8| zLW5(*0^j&?X^Ruh;~ON!U5G?ut~F2zC5+nc^BY&l5_U?E0d7y?j{$9GFum;X?#9=)W8&FX9EY9gT=%xxrbTmd(QlId@Nibo72}?;C)1qEu~;% zdXml4;+-hf{6`}IYb zRhM8#B9#eNf#}00O6WCbYNOH{LQm>lTo+Xc@QW}xD&A5!&C0aG%mZswe*fZ%L_E_H zP#`?G{J)AV`E%OCkpX6(8eXmn<5@H|?)o($L+jZJjNn5?X~0O2?@4Xo%ymMNC158TH1b5o$JOi-Pt3!!E6UE#xMd zj(PGc=9zxNwZAhr(OVBJA6?$(mtHi5lH2WrD|p!BWWfe*#@BE4W3e=B$t3GNRNV8F zW=a_`Q=Dbh>aQJ7+rv1)^QzWRmTxU&MRD#TnR`IQh~p&g4mqk&=PkzIoeENX#{{wd zM1<8gDrv!D%=Ls6^ZA6LqmRV{8DLh092lN`^HS4IufxvwQ!Khf{^q*V)PE~7bn1U)@ukHORUwY z)6Gk`=;|!pz(`+Ndq!UJf`y}%4&-38zy`$4jB2VI5 zid;UpL9w{A!6f6393pWE1aclTt#}-(DOJS3AP?1bg+Z3l>){tzU36^PW~P4Zd66=Y z&Y(=cVws5p#SUo;WYq}%dlbxWB|BZ~jX2jTl*}M2!K@-OZKGm2ha4;uddP6egrIWr5Tb5VPOoOS{AlHC@y&sgicBCwe`nX(;zwgS~G!0rM$z5rN!~DpbZuPpVN;+H4 zVLyqM;!nLzgL)Lt&3*=6X}kfAZ3a1x$B)2NaT=%0n&22(qDyr65a*-VV0$Sp+Q&M0 zKMg+G!eo(tF4$V1i#s=YQSYf?c~NH7^>55h6&^;Za40}iwpeF)>A%Px{n`Zd<%Ma7 zvYs@52qw;r&|RZl2$Y@*H{ru=;l*L`XPWwaC5z##bc%Utr!^PeX{{VQ3KrB6?AG)o zO7f8t_ONA5{Q;AH6Y{zspb*@<+`8qP)4q)9mg1}V)fZ5NY2uVf;{s4qp%${LlqvTm ztt^`%CYSd9yWqDwPhQA56Lw-r&P`IT4A!3w7~;^F+vl(&Ii$csHDWg3{EnqUfQ?@= zjWat3I0lJl=~jrODzIxYdIn1xRW?VUT=9iysiP%>ZOB9np<$IGVV3B0?n8y7Gl1MP z4mqmJVmrg8#B|vb16?HwlUWi}G0$=y%M$BKDLhOz*L=OL@J{uc*w=fU{URU4za^A7 z8ZGU72PAa4%c?N-hkg0TGdSv#HbxFau%BmS>H3SXJEkb6X>QDkg0<=5&+W5xu@0UH z!X%a1A!SFF%8T9!4v!x~((M8*^3r{Nb%Yw>2vzAxV1~4NQ!$&Psj~bVqIOjE@A&Ome%_}ms;Y#}oYj zh5TLTknoF8uwP zvs3eS9;P&Owd0S-OMF|KHh!vVg*}CnT@Jex5ZgE#cOoi{;oVXb0Q1A_tP>4llrTF! zqs`20|6^8KZvwxJg@HI|a*b?$w2J0V3;UaC=DQPgSw4z`BJXvfpcj;X^&--hX6@ zpHD`6lsXKAhiH%Ih{Mh1bl(SSVW_N;&ds<T?7kH9I2I?!(M%pqapvVt4n|S$%2GId0ZuqIRnUQ<2WXrN0XVcJ*8Q zLcP*N0eQ~wNoAQmzgtBxwxZZ^W_`F4okf50dgsZr(I&jx$`p}usQakd4~>5~J3ZHu z@C*RdXBk(9h3D6h>qcUo<8<(i^Pyka{I2jK{XBiCnG|X|{aB|fVQwhl^GsrtMle@V z?j)`VJ97lY)lSkA`#C4ype_GQ8#(p-hFLJtl5fD4#NV)%`ilP|d&h2>*>R zRlM=L!l;DU2FFqP6qgj+3?dOpVNXBz`YE7nQet4w<_lvW{*G;_RQ;$v`(x||#K&cG zDuh0NkHi+bW_Z~=MS2Hl6Ytx)W3cU9Jb=ZEH{Lh$F_S=IoP=B~#|LP2*_ZR&Jx^#l zou9WwUbY?69-pPgX-+8FK2jPbzrPW^6jbDs746loa}QW-{DC?=YV9-31Xrr%t)xL#=mQa_%gviz<4 zxz_cbeH_)Css>BG?Z+4@ya`Mr^BjKM$!B88laOUe8XPI^M~0F6oV*xlSk$PP$u4+o3Q}tR!NyN!z$|82!7} zTs$47aTmp}mk6-yS;BaTqN0)LisBEV$cAxiGq*rV$Y7=E?@cRDudDJbCi!td)_81c zD57=Lx!||V+CVlqxD^=L0=J?{pLQ^(hwB-K$u*6H$_?gq7TqCS@e!ZuALON|c2{0B ztF!WzXDJ0qUzQ9Dif)&BA~n?Q;(&YU0TYA_J$CY9`TJTtn+9J|)8w`Yz&6AOEE+l1 z%S3WpBw%YzDPsYA!u(-nC$(BCpp^m9CL4PU6CNOsTQp>J7T!g?BG&asaRd8K8PTQc zb1EA)V4_lfN|Eka=CakTWIaq0SoH4Nlwf#q08!>99Ww_!fyCyk9U>U3nY}(@piW{NhL+4OJOu|`Bce~>Mur!%IJogBh^;`CWNqWaQ=DQ zMb?*)3eEjOzPtUH5b>?_N`&R4kWvHdF4b?D^2UlOS-=Ai#guDeuh{yA2@1^WfF5+X zgy-S87GjKW^0{8xQ=vOJFV1kKi!iTV3;bA0!}pgq10chTuv?blr3|rxTrN|D2h=LY z!)T`Fx&fh(R`FumtgeO#%{#WUc_Q#dvxW`mQCen?t_6+k>D?wMz_$-cfERo!2>|n; z=nH@w*)WQR9}6^6w{{yebF#~vvOP_Tm>MRni+%=!XY(L8 zaG5d%jnhMtZzyJaEhI2Ivj`DCNPfCAy-_OnSbjAipdqiqlN*`_C1nD(yVywa~ zPFE$OR*aot2>QgOO#&0CtgEAAr8}9>Uq5PBo)vzfoNGQr#C!_RDb^D|0a!Lpta=fZ zNY9U=v^TGE?=4g6Az2tPbWtd3kGKhI-@8} zV%_zC+Td8#c!NXdI|pG~BbDC;Tz7IQQhxGOz_XYu3^anSl82(!3hxCr7KAQvgJ`D{ z;GlFvSG&8e*VYjjv^R^hBr z&7z=Rxl?zT>65hMUH$}Lfpx4E@isz?&iet=4cG#^9d|j}R&%}&MSBa4g&o`Y@|^t^ z!9wyX%(hUx)Y7QKK3&lgS96oF%n*8w;GHgGGo8vNjp3bIMH%8&?GT-m3}80Kwxx*? z_~ih0KLVb6xO8K&2ZHTT*o)BcvL}wKvZ8d*==ZC-`{DG!lK<#;9}cW!qn=$g*r9gRjEPUA2l0 z+jL-Dcmk6ieqXDK!km=4A;|HTv1u4ou$lwHIhoRA=l5|0SN`+{0QM?nf;3bK+2PaE zncOa~!Y@8jBqTF;`+0Lw*2n>$d~8I160O2*@KSuRW(kMox*E>TV-h6$S8a%+ zl*{%uil5UYz>f#@5?&0rhe|()(r_R%Ts5Fh)CyW}n5RS!k7EUdZ~jG+!9gY5?FUN` zffavVsNSPM)pv;77ID#DOli4iAq8v3aCoCQc9Lf5;l_iFKlk0C3I2J5@ z**Z)rCaw9N;98SyYF^GKtuU4hf|7Sqng%ORC>ZW|i7dBe1V+H=@Cz$+O7O*+wPDX#wHh%xM8^uJdZB`Q<l6wQT=gRd0v(*Fb(4^vfPo$ zu4tYD6S%+R-nbmCh$1oCvo7KZCLD=8I#UfDkZ#8H%lyZe9`#3j;+<+T361K8zQutL zRVxH8!#6L%XOZ0^62}xGy@UA0Wf#<-#dSP}S}IytXnP52HE`vZp^${Irpn%* zVnxvSaX9%#7jU^Fw7x(i`2h7EQj_!d!39@VBA27Fww1k1;N@1Tk0XAva!1;^G0ejM zh+^K0##4L+eN}xcojs@yY6R@1rU=$wf2iiMoL{TT>WzP>#J8%qySPP{+cE~bYjY(K zu|rfeClen+Eg(F!kQfCf)N`%Wq>TTSBHg}Wg%=!h#4)~MG)0B6AEGaB#||@4>*SLz zp|?o-3D{e^rQkNS;*b+YYQEZzjJKVrBr&?KV|NpuJ05bWyn%hNfWu?A*tu3+?6!#d zY7$|t;9|5gCrMNVYJwN<&&(3-)x+PNym>Vr9<^GrFGq8jDW zhZ*+E1{*Eo7Gz5B?cOht>kusfYSaU~0Bd0HDf>1dxReVRKGxDe*tq;8O@RMf&F2>k+&GG_Ps#$p%1beR) z7{^}=SqXpYFLG`pCnyf+GrNp>JBvwkf4xNu*9Uj?#;5M5)O^a^mgMdQP4Ql4p~mQ3 zxn9DcH5%6Co1+bECa*Cxq!?Fp=^;0agRqUUIT!>EjUgS|q08x+1@_qWe7z6d0#~;)sI!=umEIuF znWW{dTW?t9kvft@1=p|@QMag#Nz;BU;7q~q#Z2F$5D55E;$rF%9S+NA{jk^sRY%1T zHbM-)P~dw;v%;tmSqR(a;YFk<4Hjp%CvImiCAu7+sQ_ie9hywbkzSn>T4lCwZJ|?` zahF+|YveJYOo%G$n84a-Ziv$^yW(_&J;B~uK(0ZjvpB0meO(QkQn2S@ z03Tq7V7q4`xb}U9Sx|Q;DXJjwOG`1@9d()>GC0^>rBh`e14J{wo}8`X6PjPAZsdM| z=!$K14KKFNLyeF+KnhQc6P|Aza0LCA>Mx$_t47eAM{p?o5rvg?^tRkD zJsN`z?(Hy8m9tU&oWuUZ%$;dn=|R{?zKaX+TFmBs_Lu&5oVYq_GxHd`{&SCpPin)> z2vj=XSJ^QU8a{;tirgIl+??lpq7M&|X7_(04if z#){xU44h>9D~HaXZjH|7U2+i_(fciR4^b1^kY^=>z@ve5WZuU#7Jbt+qsxCSXR;P1 z-oc7sa|K7ZYqm=eq2_6&&2ev-+lkhf79eLqvAs`i$VvPUfL$8>Ag)yLlJ0#{(%YbBf2TogLsJc&CVg)^!8f^shyN(i^(=Drq1qOSy0;X7AQ zn9iGwryJtCt8!@iJjA-ae%||CyipBJf}msQfAHe)?X@{Rh+GuokB&m0VS!7K(6?!` z_ily(4fr_~jr>MRN;R=CBnpol=1Y{zcb_&}P6|^89@!AFr{lb(=V*AUzDiGSG+_$@ zNq+3M=}`7MQ<)N`eNy?evV{R+OG(=>=ddJXSJ}B9Pb*n4sKa(}9x#DHY`l07Yjtk10;lDIYW zjwC}R`Fctmv3n;lCT422r5G^U3PnS7VZ{FiFTYjXXa0=Ngnr@QW7QY*Cd&~$F@|qYahjN&M)W!_84D9?Th#9EtsAp!sn~>@&uh) zTGqv%4BbZMjNR=4YqYsR#AsgfC>K>D=Hg7g21o<@dZI3*ECkKNG`UKBMHV3}7|!w` zSV=1`w{DOAVYZ&}kej5tnQrNuABbY?r@T5{N^U;mX@F8-Z{Xgcl){9d)Jz&Ft*<6A zml>+=Fbd@#BzHD@$qz1(yGZU0F4R195{Y=#R`eI8Z1t?zxOR_Hg22O;-7FwwGOo31 zSjAd0b&Vi0ILd46bh#N^y%Z*&pJm?{G$1b4Mn3_@qMIjb;P`E&;^MiF6;aqyPhWPL z7FV$4lr?rLBH7pQ%y-# zfuChdpb9}ji-R}sBhX?WHLs#Fc#Pg)(9G8zCDv>2DlyrZ`3UML2v030y@MCql2CS! z^jDv@6w_^BT>9V#6@M~%VW|f98*I!!p8(D=`E{%T+=g8P2bpXqI{I}Xjrz-JTEB}( zfO1G5Y99$J*-Z`Vy~nVx%G})5}naiGW5mAOecBLJHkm>u2pS73@C5U*msO$_n zHBO-8Rxfr5JiZ%x|5aYsbY>D4-wd&{%=9Gw2-DfbJ$4tZjDaMjt!s2OaP_BQtQLP- zCkD)hFsSn(C(Ok2EN8nJ-G-#PO9CFZh;w9#63O)NDmq0bEr|Ht&RE{i$1#SH7fS1Lwj9QOn+38o=K zxY3cgtryAoxOnOKwbwd+d@oqFqP99|+l5M{yGCARG*rw4KFsFp!5$dLb7@gjb+GLX zGPyh5;^Amj1IE+a=xIiH3VUmFJLk%8*2aS>@eRtIN$Bxr*4G6DUuCRmF+dAH0aD$5_{R3Ozif ztx7Bl4g*vNwwf!1^p{({mYn9FFU5dSROouZsJ&V6PX6o*&W_P^=CqE$HN88nM4m!| zdt9YD8rsT|;F@yspU&(_@T{sMX4y64r`^tuzI6JjUbeN!3=4?)$!rvUNw$#qlHZ*A zdf4Yapbc1+EU^

    tC%?mPOjz( z5??RWPD=$+fm9$BNCi@XRN(d%$nSx=+BG~~EmI~+1yX@jAQiX~1%x^WHzkHz8sy6T z+oqZV>Pi^`3Nm7flfT;}KyF$EA;_#Stowz^L1p8hXM#gJN$f%_CJcilxOQ!jE9Y%f zNda}G3;_ihF~zCQv`rEL+ML-%3Imf;A?5f_(b9H8%y% zn4Q^RRv2dVrVwwY-0m|~cwnEXlJ67w(;oV*4ZqssvppX83Jbp2qq=aiZ5BVsMLKMk zWM9Yr%o_Aa(Hehv*20F%0&8y@;B$+zVt+(B?YY*1&*>^b^yJj5E7mxotmoCP_okW+ zS3{09$e0?_q~?j9oSMC@Ng8!T#BVyk+(qBSanWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx z-nz*zo$Nm+{6Aa&2%fyMcIy)bsp;(b zvQGNHEwG6db2GLd_iO-7QzQD(JQS~8WnX{?r({B!_I-1yw`pOs8f=mR+UiF6S#{cQ zg}~5lz*aY_=1vkdG)TL)fXAku6;P0_wR_ueh3yYD+N~rVQh`(;6-WhAfmGm56%hK0 zmn)kk#*hSqTvyt^wURe2Y}VTb3O%_c19a`l(z(T@5Y;-mtmYs%g>|`r5;9_nQ>|vh zB|w`qy9K8?6ufqTaCXE5r*@Lqg;-1&21#)3SsCQYdD~P{KwT+AKtV=Kak}++JJM+S zqynixDv%0%4GQG{i@e%ZK3y$SCQ1dqJ_T5ur=HN-+W!{ab~w4!gD_@NYC>34qvXI^u${6v!koI;Vdpy!ofm9$BNCi@XR3H^d1yX^#Qh>$o;Vpk(cC|}%x>}}8lnQ*63J6^fZc20o zV%K7j3s*B`=^AR7`+G>zTSL9Xk}+YeUIriIOGz(BEw zUot?`J{me@-WHQkNbO1)0t(W#_MgHL;@z|gf|O>3;dS%2-J3!jUoX^7O9fJaR3H_& zVFi{q|KoHZ6HC!)+`LiGvgNIQ7JZj@W^di(>5vNClLC3ay~x#^c~82F`|YKh;NO^s zvY9U=nbm2TMGWuE*Tg{GWx%KJV)It;qM6MOk-xu#Yu5(3mKmk+@$wO#xUr4Oi0N9) zZlOZBpBAKNzD^w}d!*pP5Z2`aO2~*QPQEGv6tfd#Rv2El4@RUgw3Eax#A3oQNP=tE z;M#o3HNLjysqpz4uEP8hPXmIx7PDKZ5bm}Q(6zX?LhRata!~8wpl5Pi^`3Nm7flfT;}KyF$EA;_#SaF5z=1A_ESaA+rq zU5LemVUPsZrZE`@xvn#B#R~GT(DK%RgI_X0)6~%Yg&SzU$qHy3vi#it(j^2Gq-&;z z;^eC$KZwcJitQaxmab0e~N=Vl%RB`fE5ulizAhW`-ZcHf$m5qaz z6$1r_c9PhISWFlONpS7jAlLZ3&ClN!L|^9#&tr{2d!{(lnf8?HBtTEk)U*on_IV47 zFtn4zF2vr3$!(N*4^`?tG?6`|0;xbMkP4&%sX!`_3Zw$5Kq`<5TtfjN$>65MP(6cO zv91lWW*FFR}~eYnEgQeU;~v_7n=r77uk{oTp6-)!+_XEJw+%TI9S$*X3zej$0;xbM zkP4&%sX!`l!wTg0Kwa(PovxPYD@Y_?L5~M%Q4dl_mXQkFsRBY@?naP;Y&MZN(X~OY z@%`J}2P=sFbbl_^xO;ibL2=q#*t$b5Kr#Cv_Q5EzwSlR?oAx--wRYD+{OV#)mE%p4 zs$soQyE8S2;I75&7Al0V^%M?jS`K=a`?t@oU{5e`VQ@89kobC`c3LWMn+nKnmYr39 zg3nM<427J%(9(Y0{DVCv|Z{$w6e!KaoSYY-XY)oCw(r?)kU!sB=O zm_hgvm$3=RY;eIkF_L%+4*S9^T6#{*ws!54c} z7f!a#;s?1%hwYN=>)4-JgB~eb;}6eT*l<~3?QH{mZc$e3k4UFI*IMv7T_uQ~oO*S| z8b_4%yxR5NRI}k~$gu_)Q)8OcJkgU=v$r)#qmGF9P3M=p=$kk$8Y~xO+mh+_@PbF& zOJ><}&zwcy<(=7EH~EDemN)AQvAvi^UmS6SfSh>et8l(}?KMSp>0Y*zc?@#NLgufN zcvUWI8+kapJ~{JcakX&7KX_aG(O|`8S6K)Y+`IODbE)SRtX)zZY;|*X+!b6v&3s_! zHejopU~T$;mQ>s9NQq7Btvege>4zFUd*+Y|97KU~kUFxAR3H^d1-=digf`>l%4Q)o zq{txGmG*C~T(R3n(EYra1Yk2vE#UkXd1v z(VIf-SGK0Z0~3aJrPT%3t_^bKylpBepsti5pdcfrIQg?ffZVhSa-exjImb3Rc6s+y zo!(P3*-I*r3cOr_{C|-z*X)%Mr&q>hSSpYT^c7gv*?q_KNCl3ffYg5<9;G6`4y!Zm zItu7@(!4E;oWsv!d*`FNoCA8pAXn~#HHEC2Z#JAS@bLi4s${>N*oNj&Ijx?G+sX!`_3Zw#Gg97q3G zsiAW|e`^v7sa+{UKtZ}@YA8;=DgxxDRS<&A3d8z=SmmIyanQ78Z%Sz8a_d;hg(t3u zf^0}Q(X{^=I>>dMdHcZ%+O%-NdHd10r_9^ZytbCLp)qLF+J6d1h;3g%b0~PdZr-+g zQ;6g1wf@=#uj88>zr=f~Q17LY>?0NU3KUr0{EySAOe{sGaq~t!%Xk-lPn+4>d-j#N zQ-P~1koVh*NIvtPbQkyAOE5mTI8 zRaAgtc7n_b!;Ib(Vz;uIZjt6eaBWvwU2yH%AlGsyRQTGJ{*v<+k=A*_^H^iho+%El z<|hG)*$**qDe=O_-vB<8@n@%J+2>irpO`qajK7ThjyAQoSNFv|;ZU~R)u+@XQ&^3( z%p!(&=4)c0?lRz$E~!8&kP4&%sX!`_3LHm)T;E>eT9iwWL>%|Bz7-m5?|f7jT)Q^N zHNFql*bVL$gM+){bKX`mQ1Ea|2I$(I?cX-!P_#JEKA2FCd*KvhbJ01`G$!L9*LCKt zSV5Z>NL%c2fqAROGH2eJgkt2rlp&xXT{ATlCtno-YF|NfD0uPSx^Ew(Y0ci0P`|P@ zU6cH^AfFpQlzo_3&Bs~Xg^4rE>~rJqX;XW9&&D!$Dv%1K0;#}&0?VRx!1Dzz@&e!I zu5XEb&h`9QpP#tc@yvAstH;nZL@ox*?CoMjCQ&MI3kpoR-@c`Bc}s97Ry+9X;hFcO z=Kc2dB@ahlsy87cWSbqL{RYQSJ~k@`xt1xe7SY@Jh$|zwX@ti6Dh3MSZpi>m6GMHS z;)03kS}_m7E3C@}l#s4jsN&?SB0w?wGU*Fm>&BFFP}w+WSus#>XeWtXh{c3qkObGR z4RVdo+x+}(LG*Q=@I2NSv}cM#ooP?GP6G7wOiimGZ=biY2tzwb>_Y5qnA}F0_fVzY zLlfCUDv%1K0;xbMkP4&%sX!`_3Zw$5z%>*Qk_>K24Arya`pLqS(B0Nc2o&7cji3Dc z2^%?(W~Xu8M+WVg;;_3I#ZIc&&|p8%KG;B|)rF?I7Hhhb%D5E19Z)#C&fCUsL~!d` z%x|`r5;9_nlV7j^#q0!`6^8ZlCE5SvBsc1Qyl8f)rL!eHfPqf3UY{jFba8i5QcW8)dknC4RYnY zZ7M0Cu9P95AS0$Y-TJ&8X*7LOf#WD3$0;Q%Nd<-#kYUgFb-a~2-&duJWY>$ZT!-Xb ztH0Xu*5vJ?elvKGOBSYGk~8pRY4^*+^E2Cjq%1uCkH78o{u2T#yMaoaUouF`{<6{w z4&4@>xwJ^Zr2Qqj=HVAi9X(S%<`fltYRRz%kzp0EaIgZ(LC-q9ZMgg{A2SF);xaa& zj}eVge;?+$(!b08?ObD_8@K&Nn+x>cH;tD>!O6c0OL+X_pYI+7G_1=7l#s4{`nfeX zh4`4A*Aj6q;Pgk$8+oxRq}lzf7(O8wc%HLe745}Utz%)dsG)rw$0)P zxk!iYlI-i)pIL(*DO%$X&sx}USzzsL1AJ~#R_u>Rr#;tN@Ht&2h@PB!b;TM-l=ZyY z_1;vo;cCdS1{qUhn$$eelT)*|HA$n6i1Gtq~N8C$h*>caE zMc?I}*;_aHg&er~zoY3p=6-$ZoOoyL&KIw}rl>Amu}|jloa_GzVe9%tIjAlnz^igu z+sK31!NZd?U)TVerWW?2c_>~r?aCDb1^2Ff|7`80MC_6j&TiW0MAK|_qd53nAux0s zu+`1BnkPxLm3D1`!d3wb2P>c)bgkXnhU-aXbvB&&hZ^lxk`AdrDv%1K0;xbMaHk3g zeZ|X_%@Sisf>-v^{!yjVJ@I&s5h7zXGdQZAv|U$$gD8Dav#jdh46Ng z*o9b37zRmjZQB0~9pt*sy!~JW`BwfosdsQh`(;75Iu3$o1_dE`GTLNyKq4>sz70_RdFj!L@6HT;uy- zjh*FgF*vw8KId&E0|gJaWPq;S+5T-q4n>PY?1L358L3q8>f3C3H7|?3@o2 zL%wWSzG44XjAxg&*vSjbTQ!zB^VTF3Blo2Y0R`!rsi8Rest8c~3YtT~Yu!&)4k{Z5 zO>6e1gjO!MjwbnQK|VKrDElz6nvb)%3m0sb+2_XJ)28;?+qZpi>m6GNx$gB2r&Jg&EBz7Sd6NYxB)dkm{{V~WjK5z5$w*}GHdBXEpW6+){4(_}?2~f=b_IV4x zFtn4zE=xERX{o>sDIhmgYnGY{qynixD$rFxyB_I~3LH=Y{y~wJ3Zw$5Kq??eFt{l( zq}CwU_&!);XL+a~cgN?vtz_W>gl2sVOx?DgB88OAlS4Dtg_CxH0QDRFV z1>UsBiLSM~7UEYId#W67lI(uVkn^@6(lz#PAB0TnDK4%NTcbK3LHlP zIZi2ANh&a`fDC)4V=8bs1(b^X)sFYO>303U1zi7c|9fs(n086dz>}rjFAvYpeEF^U z!V&-Y+o1TvF9s^He)}LT`^!o%ICNWh=F%bsllGVBnulL7b@WVsGLNX>Q%jCDhzw6I z&oj1O{!VXe5{1X_@-c((BQ9eT`WVp|_4i?}EB(9d->CcL8VlXH?Kj$7p#Q#Uyd(-v z{#97Q;~)Qg*LkVB1#3+B1o`xHYizO(EV)x&5cCa54Ktm3*JbpZ3si zZTQt5pY8F$S6J}H9@T}DZL|16F4AGUB>Ot{XV#!ciq`nUvlcd77Fc`R0H0fw75gL7 zY0tG5d`?#hq9>3F%aSz-+7GstzN{aY(}(?VS{FH0n$C%0sPuEk5|Lae`9>#~}I;1t&70!qk; zDNepB0u-|oWL6kv^rjH|m96RUz=WY)DJ}(warW+xN9-Hg$m(r z$pBs3R3o^%TnbX_;Gk!MgUb~H3Nm7fQ>|u`M1VGD*7>3!1eq0v9UzNmvvSbtxhQUn< zT)XCSeI#tR^=COEZ| z#4g09r2?ryDv%1K0;xbMkP4&%slc@r$lsS;P4Uy!GEGjz|L;vp1&*hHP}1P0M7Mf2 zw+6YcvkxX#kiX;e_WfHm?m7FnCLx9UQigznbj{RIoP1RTsC@;^q2Tq(eXwS43b9|= znhp<47}}Lq7hIe6KSKw(t}|~xSV6H428uoWk^!3b(a`;*{}hf8 z@1|7{q%qJrxs>U3&tlgUuTDjahTI7kP0;xbMkO~}Jf#uErI91BTQgj+OZ;-QW zd8?mA-{qazTQ_++qyqP(K;CaJay4h(lk$G+Tq6FBc_^FtLXugXmRZE`&U{S_)LjOA zhFkv91lWW*FF zUljq0*_WGj!RvMVU_=T-J4x(9EG7(tB)E2MkZXM2{*v<+(bsvx^H^iho+%FQygdm} z%zmJGOQ9Dt{s!=&j6XX)%RbK{{=~$YW&CC2ceJU!y}GYl-@aP9CxPY3^NT;7`I;Cg zc4}_}`O_s8NCi@XR3H^d1yX^RDUj>im+9qu7ytBS&fdlP*5;WY2hGI0P1Ob0t_^aH z?}Ife>lTB9yW?}-Rx(iVa7za0TJGOIC?ZvjyNa2E;N)tqAeGB?d3Y!|y@=!-&>EPG zZZ;)qSCF0afnvy)4a@iyg$nw@8JaRZv%;_ggtI*><{&t=lf*8>Qb+8ei^mri zPIT=B1sRvZ=WDnMlb^pWh_uSV^H^iho+%El<|hG)*$FZ$3~N2gL1p8hXM#gJN$hf- zu}dBBq@@D4p@7^*nOS8jkP4&%sX!`_3Zw$5Kq`<5qyl%YfY9IIro@mGgIw3yzop{3 zN3*NUK*3`Nuq``eQ}%DkEDT{?E}(>rnBwHCB0w?wvj0@@dbfSB$J{~Kq`<5qynixDsWf@@_V4Jc4>N*oHiGhi%~c$3~pKlIm-U6l_J#B zuC%(~+Ox6A8iq}lXI1rDP?&fCLWiYz1*NCmzE1(Y8B z)z0pt6J0re8|RXRY?tH=JXzZP^6>o3mk)I;9Py984T?YfVxSW1w-3^?zpV6vL$`%z zE-g|pX@7~XdH4lWN6+*p^N0#Qwd7cX$nfOyJY(zS@AS4NQF#0=A2SF);xaa&j}eVg ze;?+$(!b08jk;g1vCxg%exuC=`tO^@OQPW9Uxg(+{_)RuotLUxu*QT>kWW9i=BD5o zvojma3d4-v6ynX4t2-TQIy|sXRLS>={AmyU)`nm0@!1{^e1!#H>``4f**1$G%v7j_G1Nz*J-%g!NE1& z7JoEEL3ivSP;l?s_sylATd;OXaj@0R*>P8J0X6f1q1%A1Zi2Nb1*<(8>_~}C>uq2* zJXVlnDsWp0l-nvdt4#$`fmGne6%gu=mn)lv)KIH~Tvyt^wURe2Y*xqy3O%_c19UB3 zIu~O7)moR;90aGZE*DTjMoe+?RS}?=oglNqFrznx*sp9&hX*DM?MkZ)u3a1C%6Z#V zQb1iPLqI`BOmXsOhXA>0734tkmU7Zkfm9$BNCi@Xhll)skyo1qr>kYkM5#b3kP4&% zhgU!jUtt!Q3hWh-^QrUL-ub95=YZZY$d&tGO(Co1n+@j+d_2IiDw%J6A53UsaIWFn zwLz|&w@oDl)Ri&>6lBB{r(2)5BaNm{Dv%1K0;xbMkP4&%sX!`_3S3Ho{C(M_li*_rZu1hPVa4 zvUS^S34aj@`MUOkf{aVyYghV9JPio$TFh>tLbzKpK-cbU-kyYxT{#p4Da{JQI+2uv zsxb#W6CBz}Vi#gBZu|}4Lm7W|dX{~jMf{0tI?MRW$nR)VdwX?Xyao?t%W7mwO)`bm zNXsl@cxS#Q2I?*YKIxJQqynixDv%1K0;#}p6v*}MC9Xxe1WCkk7wcP_XF`KC6Yn-v z7hJnG$hG{7N#U!zC~j<{vTiYLc0&aZKP^bl?ra~dA%`Nxf%d_KdfW@AAe$-0iKa0b z2f3~@Z^a7Qw6J+~8i<2mGC*9prKbz1E`;+ehmawnb&+?4`(zrD!ioOw^Wm;0@tG(v55i1r&C zLt3&~G03${akYrv&PQAs!A&DH-d8bD2zN^cXqp)6>l7DEOxKEe2wq`bE}(>T%|aC? zUljq0*_TOQ@LD&fl!MB~LCcDPf_RN*Vh>$BzPNCrYcD9sxD>wHwHuqCzb%Ng z&J&)yIw{DADNe5DCjpAt2{J1TYdy+AW#gb{f_Y50-T6rlsX!`_3Zw$5Kq`<5 zqynixDv%1K0;xbMkP3_|Ah-^0N(`|Ma$RTtmWt~h&8{*71&=r77uk{oT zYFZ9@mh<-673>KHE)1^b3KCzh;oAu`X{kUekP4&%sX!`_3LI8}{2r*QU7FL?GG(Gv zAQeajQh^&$K&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5n5Kxd2Q=IBd+awX7&6(ZwG>3xM4iL_cnBde- z61xz)zJAWnb4UelMSJ>12Wi<~R(ipq+rl%K7AcstzeLwO{DP^YXZn+QL9F!V(_;_~*OMOVuq{W5OrMr=MGMQ}B%0nGI%zVMcEX@n*^~O$uk%bUepC zQ6=9e@~1uYTN{40$7g#y@D&z(u}5{`WZNu$kc)KKF3G-*{h2lBk)k#J@T`Rmmj%|| zHo)f=WySu8blP*R1)tMZg6PSqS68fYL|M$|2M5=9Tl~=w1>LcSK*7Ch-#=S>DG|FQ zg|nM3Ingv*-6#$|R|pK<25fb+t!9%%4Gq$+E#To^3u801=Z9u&?^lDdvrmpc1ZZ4Dy0Ycacp3gK?a z09}ih&V|^u1?8aD!9mXihp;XeP(nsbajMm9xCCf(X4ir_6ufqTaCXE5r*@Lqg;-1& z21#)3+8|fX+oqBN>Pi^`3Nm7f)2+|jkw()e6-WhAfmGmYP$2(b1vrWQ7VuM zqynkH;T4d>SC|E+0(%AIeCj;98!igI*)HKk*9N(AAFQdQfVxtKfP##e;fxvsN+D^}2^g}PJ8E}NM!xFrKL zO%0v%`CF?;NFCPY0!m2NObx}!S4Dtgc7n_b!@5nW98@+Anr7sJLpw?ALM$c>gCw{% z?SF<2a$RTMez1b7rn|}v6npq312pZUp;P8L{`PrW zjC>$T>_UNQsX!`_3Zw!zs=)H*f1K)NVktU}n>XrNw!GEPqVMv~?5&$T9a4dNQXucQ z7rB}*auK{}hD%)R5p2v2_dCe7 z%qS&eyi;}F_=zhcxN9-Hg$m(r$pBrOvJXa9VF>GT0VQO_6enL50gBm|n{~nKmHW3A zDTKF^#4f~Q!Z1jJYu5(3#^>!XId2htohLkxH3sdO;^5BPlK{o+2b#AOdNJc~03XWu zv(vNe^DN>|Oq^N9Uq*gMo7&r}`^xq0tEGDqSe`t;_|uuMiGgCL_BN0|T~dKmAQeaj zQh`(;6?mBfxxRgwUcPtnPhaNjU94|yo(Xc$OuXAvU2yH%AlLXlSfjFTF*vw8KId&E z0|gJaWPq;a{_TSzQq{Ptm^lbeuI36-xm*`KFkx^#6l6ofiKa0b2f3~@Z^a7Qw6J+~ z8i<2mGC z*9prKbz1E`;+ehmawnb&+?4`(zrD!ioOw^Wm;0@tG(v55i1r&CLt3&~G03${akYrv z&PQAs!A&DH-d8bD2zN^cXqp)6>l7DEOxKEe2wq`bE}(>T%|aC?Uljq0*_TOQ@LD&f zl!MB~LCcDPf_RN*Vh>$BzPNCrYcD9sxD>wHwHuqCzb%Ng&J&)yIw{DADNe5D zCjpAt2{J1TYdy+AW#gb{f_Y50-T6rlsX!`_3Zw$5Kq`<5qynixDv%1K0;xbM zkP3_|Ah-^0N(`|Ma$RTtmWt~h&8{*71&=r77uk{oTYFZ9@mh<-673>KH zE)1^b3KCzh;oAu`X{kUekP4&%sX!`_3LI8}{2r*QU7FL?GG(GvAQeajQh^&$K&W$Y zQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5n5Kxd2Q=IBd+awX7&6(ZwG>3xM4iL_cnBde-61xz)zJAWnb4Uel zMSJ>12Wi<~R(ipq+rl%K7AcstzeLwO{DP^YXZn+QL9F!V(_; z_~*OMOVuq{W5OrMr=MGMQ}B%0nGI%zVMcEX@n*^~O$uk%bUepCQ6=9e@~1uYTN{40 z$7g#y@D&z(u}5{`WZNu$kc)KKF3G-*{h2lBk)k#J@T`Rmmj%||Ho)f=WySu8blP*R z1)tMZg6PSqS68fYL|M$|2M5=9Tl~=w1>LcSK*7Ch-#=S>DG|FQg|nM3Ingv*-6#$| zR|pK<25fb+t!9%%4Gq$+E#To^3u801=Z9u&?^lDdvrmpc1ZZ4Dy0Ycacp3gK?a09}ih&V|^u1?8aD z!9mXihp;XeP(nsbajMm9xCCf(X4ir_6ufqTaCXE5r*@Lqg;-1&21#)3+8|fX+oqBN z>Pi^`3Nm7f)2+|jkw()e6-WhAfmGmYP$2(b1vrWQ7VuMqynkH;T4d>SC|E+ z0(%AIeCj;98!igI*)HKk*9N(AAFQdQfVxtKfP##e;gCw{%?SF<2a$RTM zez1b7rn|}v6npq312pZUp;P8L{`PrWjC>$T>_UNQ zsX!`_3Zw!zs=)H*f1K)NVktU}n>XrNw!GEPqVMv~?5&$T9a4dNQXucQ7rB}irpO`qajK7ThjyAQoSNFv|;ZU}$MyAvxQ&^3(%p!(&=4)c0?lRz$E~&uRsX#g0 z4fN~04%u}okP4&%&lNb_?K#)C&o9wktZ!|e360TAyxUY=aP8V4*D|9NK6g*%%f?S! zS+|%ryP<-ITQWe`a{u;05vg9mu43jOIJufDNab=})_=ANuC9lIY)ClKG-lW!*LCKt zSV5Z>Hm?B#aqvq9Xqp;2XWp8GLTXpa5Kxe=nHq|duZjS%M)ErZsz0 zLjB6tbWQTtf_!fLQ1)SBH6Lej7beauv(Jscr%mncJsZp1sX!`_3Zw!93M`A(0naPB z$izH4jeF)gVOgS1tG!1&v$tOE#8ZL0QXucQ7rC4>?@9M^zZH~5sLc-1euHC3OExP8 zxt1xe7SY@Jh$|zwX@ti6Dh3MSZpi>m6GMHS;)03kS}_m7E3C@}l#s4jsN&?SB0w?w zGU*Fm>&BFFP}w+WSus#>XeWtXh$UU@p^L{C7fy8T1qB(G!dJU?WApR31(DWy!gE(A z1sO5L$<_QMKruT(W`$v`M>(i$9P~_ZXeWtX&NFtY1D>>0;5HPH+bA=uOa)SbR3H^d z1yX@jAQeajQh`+9&J_^)8{Cu_l46kSI{UX&T=!^pl^G~_>;Sf9hiuCJEt!QOtjh(I zkP%ayd{qP}W?%N73SRHF5B8W_sG(hHb-}f3gIqapn@S3(D`f~M$cQOUw>xhO<)@_r zsX!`_3Zw$5Kq_#<3gq`dUG3tXu9hhir2?ryDv%1?hyp^L?uLtkY_>}{(X~OY+y`qa zDWI;DA)p{5rZ{abZ2iEn0J&)un5Kxd2Q=D#k z-Y&17k!I5;6*z_hQt$i6s3)sP1x6H*5vTM?1&*nJQkK8k*?n}P+re++T(XeulAM7j zOS@klo}c;hp^k+k{_(d#@rPdwRAT-1L0a~gm0ob@w(!iQMG7YEFVQs*zhLUF3tm6g*>gW`kK_n9-X;yqR)!r(;cr z2lk07`96_9?V;b=@T)yO+v9<+u;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?`FvEo`_f zu=chAKDQ_<_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_ zq)|si{HF8EUGz;H7Y&w+vTez9dw9Vk?j^Hqxo6Iz@AA&0F5QS8H8Xa}b=ux?DgB88OAl zS4Dtgc7n_b!;Ib(V!yIA9Uho4v@5MHxOQ!jE9Y%fNda}G3;_ihF~!NB9RlR0RgeSC zTgpjG1yX@jAQeaj9v<@lMP6+doUWEB6Qu&FKq`<5qynixDlkm}fw{f&QC)ED+8|f% zgEf^DP*=(jP>>N*oNj#|OlZOtNI^F3aiVL3Tsd!>N(!heWe6z9h$&8+3tN91BS3Ci z1_CG@hxvn#BKUhIk(_Li-iaq?20h;#F&?)n_ zSfN7dUBMUlZ;9Z!~khIi&` zVxaCa;4|bRc+m`(xY#4um>ceQkZYMyO2&An>b&t2S4MExVs;A^!rhVqx;AAWjI6>C z*5v|9$cQOUzA6F~voAO6g4ZkeZ!J;?ZzqXeh{c3qkObGR4RVdo+h20tBKkT{cphsE z+B3z$owp|eirEh|Zz=R*#@_%wl<{Y$XW8dj#GjZrvy8ut{EjxYw^#R->)TgL_av}9 zd4BPyGhY(}#ZK*QAb+}~0;xbMkP4&%sX!|5G6iyd`!cO5S(1i6{K>xE)Nd{ zrx%f&16l)<(aokr?FzDUK2Qw#vSAs&qHt@P7`jVa2wC15gy(L_08LXv=geEHM@Svk z*9prKbz1E`;+ehmawnb&+?4`( zzrD!ioOw^Wm;0@tG(yF@P1Ob0rm;6N$hAyyh0ouUSvOi5l@Z)Dvc&8L3gK?a08JA^ z_ZvYjmqJwQ;Gkv2K*7P~3IPS_nsF*lwVF*50ot5duY!UQWL6k{uS zVvy@P`?pkF_h@#N87O${0Jdd^Y|8#EnS~*&%LSB>5mTIeRRkzzU-q90UhlRK_Ly6! zpN(!heWe6z9h$&9DJ8ui+r=@N9Da&8&>^?ft?cld@E?LNSNzTBNrQI(N&(D1MP{+a%|M=UW_`@#- zDzSe1AT9gLN-sEcTX^QuA_bH7m*|>@Uods_On)+usNhpejx~r3PcF|hwqE{DZ)*~T z$M5nngYY9RV-xxq(HQmjVXiCvyX@bn`{f!7-MH;H+FYRjzG=K93Qqo2Si<8U|9sbZ zsk#MgO!x%(^mA)&3Z5}Lv%#z|%;-%a-b}f=)3K(*1N%gke4ogl_Rw!__|+bt?eV}@ zSn$Oj)rFI7v-m+S(qX$K`#Sb#)}Tj<*7(D-7B*ZKSbN(5pIej_`y9)a-3d(x@XMe$)BoF8U^piw4U@*|uc5 zJ-px%_mWw*+%spk z*sPEZ6l!-%2IyM6bS}jDtFMnBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?J zPFKs6iBf@7AQeajQh`(;6_}=gz}(*Xs4lp6ZICPX!J0}6s4Ha%D9DH@PPe`fCN$v+ zq#&F2IMKC1uAH|`B?Z)#G6WQ4#1yB^g{{Ah5g<3Mg5>@!Dx{?XsX!`_3Zw$5Kq`<5 zqyk@|0{Q#0t6lWd)iPzGRN$*rK=67by$}RC?Q=lH54ab6#jz?$gUZH1(~MkjXeWtXh{c3qkObGJ z{m;-ruItR(4^~jsbXS>yVh_J$fTn#kbjrLfR;ZAASMUY?TVnf9;lyc`g5ExF+r8B! zLbkAdz_e5#6-WhAfg4m{dGkL`>Sxh+d1v<4O`Z;^z&$CD_uGqH z&6)S4yx%&PXxUtHkas#Y^Mxd{dMdMs;hp)K7^u4p_zbxSUNplcF7^mE=7#$n)mY}tTa!?X+?O&06r^jWhT`O_B0%jcXbuH0-dp$WgEXz#n-c0*wx(;6 zzZT?ke@tJx$Gpv{?e|4Bgz zGAj%_Ksej8Vh(~+J4x(9EG7(tB)E2MkZXM2=I3tvpij%+h zC_rvn1v$_@m{3o<(&~b1*9N(A-ZqsKP*=(jP>>N*oNj&Ijx?G+sX!`_3Zw$5Kq~N+ zDxmc3uXeoOO}AD0ZJbLMrd^UV@MLNC%fs_CUw&)8aKu0UHYon^i-Ah4-#$po{<6{w z4&4@>xwJ^Zr2Qqj=HVAi9X->Z%p)rJ)RJQjBEyr*^Ng*Rzth{AMB(wfe9R#Hh|Ac7 zK1MV~{e770O8+kVH|l=5#zHr4`;9gi=)Z3oFNuPae-)PS_{TrrbzZ7&!5R}jK|cN5 znwx@W%+72uD-1JwQ;0WHZvQDOT+BXECEq9Vr#fa#r}wN+H=*g*9SFCYFSD*lQimxh~IR6xr@Gu z^j+SWy>*jc$YFW2z7X4sY4pVrM+nG?cfJbei`QOLRG02$JDJBImn>xdI*C_hUE7>p zH)qzMg(LpK+v1M~D=xdrLZIN@weOotJ-1-(lHy>io3rDt-~wvq14Fj~Tipa}Qwmml zG}w_6o7UTUVU;X?mj(MnBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhO zBCj?JPFKs6iBf^DPl08f{q;4T9pA13QvZE;yFFy(U!MXtZ_UnQd*`FN;NP`DuG|M} zDk-3@lp&xXBc?dr`aYP@ge#DOY}(^Q*9N(A-ZqsKP*=(jP>>N*oHiG>{x(K{+_Va^ ztSbx8*IxuE2bGP3o(T@^B(V#zX{kUekP4&%sX!`_3Zw$5z+EZ8+WPR8zc0JmB|2R# z(;E_%H^gRWD)7Dv2F~gWpFHx>S7Lx*Cxp> zw!ubl*J5@H6~fng3J1?O3ON_rlzlL=2}4+y3n(EYra1Yk2vE#UkXd0^by5y08wWiT z9NI}@mqnU~*h7u|OF`rrvKJI&dQ}a=Ym&bfQ+>%Em#^a@}Ne-z%Dv%1K0;xbMkP4&%sX!`_ z3Zw$5Kq`<5j4L3x4sJ>eu?})wXaAOp>mJRnG6MyV9l*BikWJaYC9^Pub-92NGGdC8 zuZjT0>_^!Lvpu+hN~;S^b*V}uEp#YDul1~6b@=y4tkdJ z_SqHe2?j00b8u5)sHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+5WBv9 z&d+m51#U%w<-UI_2~yUt71Em&j1C&;ItTXR$JjMU>&EPjxSbl5J*zK;EwHRzF|HU99dg$?h8$~HF@!D&O z>e3baWFCWDvXHF{7v$i31yX@j;A>DI|6knKDr-kP4&%sleeC zki%D)1*QUf1>}6{Jh~e$3clGc;Y8O4xpE(@sic6qQigznjF{rIxv+K5T!7rP3PO-s zVaWa4K*Lp-{Jq0wo-k+PnFZQ2#o^ZHZLi71Q-M?<6-WhAfm9$BNCi@XRN#^d>SbanI*pq*>RGnD)z6~u^3Lq7n>-y-fqPOQ@3$AZ znltZ7dB1fo(XzSZAn$Z)<_k$?^;BjN!#ndeF;I6I@aee789$FS!zC{E2sY-1`yJ$3 zW|WdK-l;lo{KSfjH!p7eK zK9uoir)SycS;U{1IJ1nujQox^wYOLI#XaFrwyZ{`)Fe|_jkL@nhIi&`VxaCa;FB(? zz}KljIou8O>%0!xbt;ewqyos1g~r-DAJqldt_^Z6GfLre_hi0o z{Nz3uB5_Z+z~>l^MePx2EF~I?@wxFs*@ubMe4NEym^ib{J~#fJHnq3+Y%Fu90;xbMkO~Yauq;{!Jg?*;6Z7aa z?wRX^Wr;ei_8#%f-g>zcPX+EufxO>dLO_nEgQeU;~v_7nuzbVs9op=M3La}cg@c-wgP!HQeRc(Vf`JQztGR-7W&e5b2r%0#I^Dv%1K0ym<7Q0L&L#869vT)BVSR8v4*DMLU(Moe+? zcbf#rO{*XTnH7e0zfd`-Y#j7VaA+rqU5LemVUPsZt_^bKylpBepsti5pdcfrIMtc9 zNg_a-GrQ?&4h63rAeFFOGYCK8GB%-)5sguQALhE!zsvrOx?irb z(2d)Eqs;~S@0-R;qTu9Tg(W=x@y~aim#SN^#)MChPd~Tjrr;T~GaJkb!;Ib(;?0!X zeWnTz>=RY;eIkF_L%+4*S9^T6#{*ws!54c}7f!a#;s?1%hwYN=>)4-JgB~eb;}6eT z*l<~3?QH{mZc$e3k4UFI*IMv7T_uQ~oO*S|8b_4%yxR5NRI}k~$gu_)Q)8OcJkgU= zv$r)#qmGF9P3M=p=$kk$8Y~xO+mh+_@PbF&OJ><}&zwcy<(=7EH~EDemN)AQvAvi^ zUmS6SfSh>et8l(}?KMSp>0Y*zc?@#NLgufNcvaT5&DnKxW(`_6;vc*%{%Ek`va2iv z3hrI|zPZ$M3)U_v4z{{EJMIcDpk_WWbQ`eMO|UklV6{hs9VxMCy{#8k$>Miuz)+(w zq@@B!QJ@^9kgOvWNCi@XuR;N#%Xqo6Sz-(cGRSqM{aY(}(?VS{FH0n$C%0sPuEk5| zLae`9>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RUz=WY)X?4N1YlB=lZ<|UA zs4Ha%D9DH@PX6o=AUCao9BAHBPFgCE3Zw$5Kq~O?kpD08YO~;UwM>~P75MrTSk~EJ zU*p;F?J6Mk--ox`LstIvDPZ%~>^!!2KB^1;T^r=eeXypI0_sW`0tzx>iqoy{g9%Nz z0x8I*Jx+9OkSphHQ%M1Jr3?WD88O9ab7AXmV+6=et02p|vhaNUMSyZp**NH#;LuJI zyAYd}3Zw$5Kq`<5qynixDv%1?l>)4-4{!PVva4O9)73J)AyFBK<^T7lr2@xOKqzT& zQ=(fv`zeE5*VzXXE2!?#>?$)52ft*1rm3NGK7VV43aNJmU*NxYnHmbWuZjSJoeXwS43b9|=nhp<47}}Lq7hIe6KSKw(t}|~xSV6H428uoWk^!3b(a`;*{}hf8@1|9dx6j*lZ#9XK&8-6=A78tuE%Ecx{sGVjFA(cP(bOP$7J+r*QCm zqmXlF3d6h2TasVc_#427 zGXCuJEc-l*_!ARnmhqR7-_fS__UgWP4IavJef#`!%zRBe&*dB!=Ukpj1yX@jAQeaj zQh`+9xC-R@_7c~oT!JLxxQq3z%`>4vnu&Ltstc}N8{}I4#ia1XJ5}cooW_-Pi)phP zDtNdh19a`q_Q4u*C|Vq1AFNQxNTq@w*S2fSFn1Ctp}Xm6=X{_TQa1=2uPEG_CWh|P z7DAS{2I09|GCJd_hb-92N(lt{`o$%qmlXR3H^d z1yX@jAQeajQh`(;6}WQ+g#HFMC5EIJ2nq6fE3LZOvZP_84vVTivVF>GT z0VQO_6enL50gBm|{ilN0yX}KL<`!ybS6W?g?b;w$&fBJv0_sW`0tzx>iqq}R+d}zi zsX!`_3Zw$5Kq`<5+^_=qJy2J>c&Dpn%0#I^Dv%1K0ym<7P^Y`$q9B{?5>9k&kSq7W zno0_&D`f~M$cQOUn+wauD4Z1rH?4vkW&hSn5$b7IT3vAM+8|fX+oqBN>Pi^`3Nm7f z(@oFY<@GbtZ2F`E$524(eg7EsWEH8vhypU=ls>7zF%?kC@>e^%k4|(u_-&j^7P4KE zGw@_-_shfcGhaT`v2esc{x&H7@QZ;;tlvIJ%l@*`3l7~Dp1HJ0!KD2qy5`{*OdUPb zpUfjF_|%eP4I;yn%kzw_m%r27nndC8yL`+b{D{lggg!q`GF`#0)-xyC{_ zZu^Zk7wErl8ZU{0lYbSK@c73+-*sN9ZowK8K0!YH+?tz$XUxuQFe?l*dQ*ruQ?BlG ztm*K;K2as#C-SE~^jjN#wZ~_BJn$73e6dG$;bhw^evpfF*e=Pwj{TW6=#io|{_w1Y z4VMMh-ZsGJ7G=f$h;-U>tp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGNnbbh&uzKP?a!E#ZyEtzf)FL=bgWR@-W%vtnZ-kH61lV8YzoBunSzGLp! zr_PCY*6w`q+G~pH(iQt;9)n!6kgW?B<=BrEAYP~8Y6l0`cw79@5Cz?_hd{x-Yu`7Q zdTznmCB?y3H)qFP!3EUJ2Zn9~wz>({rWCC9Xs{zCHm$dT+3;9Fj;X+HDNt^!+^jYg zNCi@X8&^Q6KVGhE7E(j44su;-|JF+0w6Iwr8z}VTmJHCfcn5Kxd2 zQ=D#n-i|byKB+(|kP4&%sX!`_3Zw$5Kq_!41@iZ0mr}CJbLx5=z@Z$VhAbf!c%1@5 zn7iSkAe-$HPBe|ptwFBq?1PCFv}s|p9cmyBe#rn$Q$y!`{?;TEQoB-yfP!?*)KHv! zRRqXQs~`lK6^8W#vC2VZ%4K3HI*pq*>RHCS@O#?K-rlpX%$*8cU4gvcUPSVl_oTevI+uulV;;(8zK~>A zr)3r~yfa@D19kuZ?7dHpBuB69nYZ*wXb3_>xK9vjpaBPshtfcR&?~S(4|@@@1TBF} z&=P8(1!$ykEknav!d=4SFVfvAJ)JbO%m|A=S)>r2;eNw( z=&KT^twENh*yEM^U_=UsxCOtmb=z$Te-R1!y7q#Cj7#BbSNcAl1_XC4X17ow+$|ZP zYj14c9)ylvITQpb%?gKgA}J?TV@`S|IJA?*F2tVQ_#41CW&GLcS@wAr@h8S~mhqR7 zuV_jZal8EE2tZ#(|2R9`mEjHH&xt4!1DY11I#f@$3EN>D=Co#K42vL4okep56G|_)Xb|iPe0Z#a$TFS!SOb zzot#??V62c?o=QZNCi@X0R@&t>wxElTx4P%oyI+Lov}uAK1-=gIh8{ z)5K6;r;e0ORs)MY#2#^7Zox`O*DO?V@>LO_n0=Y_1+R5@l#|NFNz00Xf_RLi z90o~n?b;yM_`J=}-xfq)=LyeajX`^+IMkW;lrlkU@ zKq`<5qynixDv%1K0;xbMkP4&%sX!|58U=))!A*%F{z0zseXvvZZ>iYb@j34A7$|tS zB?EMA%Kj}`g+o}E3n(EYra1Yk2vE#^L;GL@l~xy;>RP*NA%1nSr^>mnVcoEdxA6(? zTFh>tLik!w;iRVJq-QyApN(KoFmU1EYOWyhc%gP$Dv%1K0;xbMkP4&%_pd;H57foR z@NltAnJ5)V1yX@j;7$|}>KxpZ7;0&dEB9}kY6_?;We6z9h$&9~Zj%7HX%&PZv%+EB zFH}w{8z(&z9NI}@7h*BtFi3)H*9N(A-ZqsKP*=(jP>>N*oa#*5BoUy^mEH6-hl1A* z5U!4x;M7hMyAZp+evZ%ckP6(30?U2>UdqinQ-M?<6}W2!lc1E?LNS zNshpi<=Y_yqa%b8Bu2o-sSK!K`qY(VIfNnR2_& zRN;YrqDsC`twgEo3C@c1Rq|=^jE%=zO5=2i9y}DwJBg%SRZM-+tY`7Y7tU<=qm?kw(^yJX& zZB5dsBO*TO`f?XNiQ}xna#pr2nQl+dc*ebCmM!gMRUE4YA~`M}U^z*aZG+LVIT9u0P+#HRJO4y=;J?-C>}6}UGA z%Dokw^`-);Kq_$83JBH5%azR%W2n(Vt_$toTFILh>Y9033kp5CB?ELVUOE?I{nc8R z)f@z;ur3!+LPkt+@>LO_n4KWA!eK^l3b9|=nhp<4IJ7IRF1U7WkSphHQ%M1Jr3?WD z88OAlpB)0^rd5y|nzxjbmI|Z-sX!`_3OqdI{}*|&S#Y>mrc9IyqynixDsb}($jw)n z1*QUf1>|_?cx>-{RF`8w?=Z-f`(RBWtLB>x=QDgfz_Kct?|mOkXku`#;o7x9uAH|` zB?Z)#G6WQ4#1yA{pSL58rcWx63Zw$5Kq`<5qynixDv%1COM(1-*}0VL@*KJz>5vMf z0w*XS#JU?U3bNTQ;X>2c+#2M%%s!Y{L7Nsf+o1;H;Fk>0G&OY2=Wk6yA+;-I2q;L` zObx}!S4Du_vdpLAXYi4Y@9T$*_#qtx!gKda^Z>Vp&%O)E;Q|XLkGDoGjBgw zL7NuNIB!21_mp{CnqzBO8ybT)t^KEPgxK~7nnS_sb@R5}n?f9q*ZON0ywXyER3H^d z1@2IR<<0+gDwm0+=rnHLsAn1P!q>E!ylId zzK~>Ar)3r~yfa@D19g`HpCK2)i)J=EME?E`u3a1CT4t2O*V*}qE9(~1W;ayua7za0 zny*t_uyh$0hofUymka19_tDYUJWgAKEK9M+EBC>O6b^94i}({`I?MRW$XB$fy}i0GUV}Gf%Uyj+O)`bmNXsl@cxS#Q2I?*YKIxJQ zqynixDv%1K0;$05D3I&hbBskf2T8>7R@S#dgM*tAkrtclgIweLV2z#SP2%XJ?$PWj zvj`z-2Mm8;?v3q(HRMo)x`}cS{Cnni#s@2y(d;qFM(hEh`2J4lY*+C`i|g zQ*o-*Y?27j=E^z>3PO-s;jjaQt34~`AUL&?#4f~Q!eNjE*RBn6jnCWs{B1$>b)N7% z))=&Bii10E4+0dkpFVHl7Y^+tvC9$;MOrFwM+(Rt)taTI0;xbMkP4&%sX!`_3Zw$5 zKq~Nd6%bk*+>{tnVUTNlAMBLAmC zX*uax&f8}r*b@v~IJlZCNIYJsy-bedvpl2%sX!`_3Zw$5Kq_#b3gq`dU2NPA7t551 zQh`(;6-Wi{L;<1B!A*&wmIk?U|F)^7fVxtKfP##e;^gl(36PssK?pJ{9M=6p<)pH4 z(lf!Kog{W4784GGB)E2MkSphHQ%M1Jr3?WD88OAF&a_Pu0oq*IO;2+ucWB$W z?If`av1zHm{V5>#S8`UI3OwYz%}H>_3MjSvtDW5vrrYMP<6N?k?UEdUC(EDrkFT&N zSH3K+7LNGGUk1e=A}~;i_1gz&*`HQ=!J*s2GnW=An6$q{*F5}!siSB5lX*l1A6jy( zL1b_TLqU4h>1|D-@c3OmW)ObFWo$wpBN|8jZJ6sq|1SF{>V6qxp&PgTMw<)t@4LoJ zqTu9@!V(_;`1{)j0S)VN0VSkspMGx5O(8yJXEvA>4l{aFh&NMi_n9g@uuoLU_lf*r z5BEI&7C@U&sE+8uUofI{xsig$Sch=82vhn!T+_8g)d(CtY9e zq9<{jHCWEdwk6Z;=^4+sm&~%|o;i!Y%R95TZt^oZEN|9lVtX--zBu9*0&?OVN8vbl z?KMSp=?>e$JO;UBA@kQsyejM3=IFY)vIZ?2@eke>e>7Ne*;N(-1^2Ff*Ieqk1#6cS z2V3179d`v6P%|GGx((RsCRm$Nu-c=+j+EH6-qwLtviMyZFw`gvX{o@iD4@4eNY;@G zqynkHSD}E=WxQP3EHQ=z8RWXq{;id~X`!x}mnD+WlUp)C*W#sfA=Y25by>|pa0=^k z0VQO_6enL50gBlPGAkTr^rjH|m96RUz=T7)(&~b1*9N(A-ZqsKP*=(jP>>N*oc!4# zKyF$ExuJPWIccdtDv%1K0;#~mL;in}7n=o#i)G40sleB#z_QN%`WnxU?^gk-|319m z9{H+x#q}~;LhX1|G)KIv6RRqXQs~`lK6%Mc52W$4G5c`#_>F~gW zL%Y)If@{;hH*}EeGV}I>6%^}WpxDDN8K7w&4V^M?i%BS?cBKpf1?gJ*PvHphZdwI7 zecrZvt4V}xZXEz=sX!`_3Zw#es=)H*e>>I7#8Pw`H*b)$YkJW_6*pxwUq~{m(=v+~-kGn7fx63pPsc@$`0+?H3~{kXurW8> z?;zJQqm+#CPStV4GOmo^uEp#YDulZw19WZ5J{VbrLs*v!C?O-JIQgmwP|UvEtP5W2 zhN^N>**NK$;LuJIyDZW?#2#wwUkW16kiDQF<5M_m*KX|loVSRy&J&)yIw{DADNe5D z2LX!N2{J1j)_Rna%En301c!E#*oD}$8-D}%ri?#3JhP@YP)uH?~n(x0p7&p@N5>7NlpnfBT?lR7bEU z7`SlQY0kwLnBco3@yDY}%VZqny3D*4E2wI^tIR+g{E`8hriS`Db#4JoRzNFMmY@3< zZ3rkx*Gvt?$yY^yb~3v0@2wj^xZ1U_w{GM_2R=7`Q}$tEH6Lej7beauv(JrR z)28-z&Bii!Dv%1K0;#}&0?VRx!1F>bGBJ-%qp`|0x*e&Mi7!o^Wr>KJuTzf>R< zNCi@XR3H^d1yX@jAQeajQh`(;6-Wh8Ksf9+C2naS?3Ddmnsax2j@wEG3Lb9z0A2HS z>THE~S+h-%#6AWB9>@??MS}KqVqynixDv%1K0$+s!`8`k<8|K5s zGG(GvAQeajQh_^BK&W%KDdF$$47D`KHU2rq+`lad|8##Y)_7!y6eoXoP=I1~g3Jns zwI1c9vT@Qg!C{xA7xfGm&UYj^Z=cB&occP&8_p8&>@FW2-C@Di1r%h&6sJ1VHe3R< zxw4y{=1}n30m9W06P$KQMj}_A<@K|k=Fe2%E)>Xldl$o$MWzC&z#CCO>BC>`?3OUy zmVX`Rl7(!Sr#bsAn2o&7A_FZ$S=N7D8 zQXFh`b9CGlTtLlyVCXhrtD9hLO2KN620Kz>GkSYu&SNT&3Zw$5Kq`<5qyncZAP~gM zmCZtGDAyp@h4yc){>8~g4YfZu8x@Cv`aD)`NT(fz9aF+<4IWjbdW3OZ7iW70_sW`0tzx>iqpN% z+mS}oClyEqQh`+9YfvEnzsQS?^5J5cGEpj!3Zw$5Kq`<5qyp0v5SZN!7X{gDmvEtL zgIu`})>KkJT`5CAK}Jk*+6-*n)fON(t%49_RygGTZJ^;Q%qgGqL12G`U^f#E?mz)_ z&EMy)(_n2uA*ywBS2NrQh`+9jVQ3Z`QJ`AGO-k$#?2e` zEL+~{XVG_gXZF@jo(`$NTT&qJw`Up6nfIi;-#SCY7Qju}%omc(>a@%vhIi&`VxaCa z;4|bRc+m_)TI?xUlt z${|i$gDgw2$9^z`t0N{j?OGU#oaMvc0KO^X&rZ*>&$Ea>F{ZPOKfioMJ6msO)rj|w zo3iDuKBXp^!fK>t7BReM9q*SHT3#OFNAP};mI~aP0_(55@2&2vHx)<)Qh|F@;N8Q2 z&bL&^_3b%^znp_4;&>D5TbpOU(;&@sHgAUe9pqa6#iXQn_hjluOQSM^yB4!ss1WX! z4A8YVwhz{jL(yWn!xf%$kJ`5n(lfzfr+F{x87`dfNdA{yRFKV}bD?QA8Gqk#?VDR& zj7#C$B-y=wPMNpTWH%6pefyN$H8qd8w?h0Y$JX=_!dIONCo31vT|hy)W?CywzUBfH zvlC=iIIQ(3CzXwprWv{5uuIa6nhf%}@td*_6RY_+i@PwUv&=p>eodR&+cg`@+^Ik+ zkP5t21^71J0bBkV)$#Pav=cKGmWk{-jeF)gVOgS1tG!2juHIN)510)21TKRag6O=Q z3b+dV&wsm@Uv<&D-@c0ITtRX!B8NwvYv;Liu6vSK&0D$O%Kw;32*sQ$bn0(#3~5Q| zAlEX*m5g;4#f@!LMsU-}60;j9g!^ehS|$cg*#|2|40&9aTd)$+H49aod{qP}W+%w3 zaPX%INXv?Wg2OHe7e~$N_#NLJ$vPgNHth}3hFp88j8Ea*B-y=w^7FR^k=A*_^H^ih zo+%El<_7_a*$FZ$9M*c2lgh?P&jg2El3vtPe5vEZ(`)%W6-WhAfmC3M0=6PpmYP%O zlQb2WroggXn8u&PslZePSZk-H0(YPQ|E^0*1@29O|A&Hin-c3j*q05C(fM6=Tt8U? zB@4?D;mPV^PnGi>P`J8ow4Abk3s1~$py09AQ#h$NWq0eSeFYZ zAtRkdmd|yXU`M|UvmM9*>7duQe|2yaEA)mi%ajY>?}DIU{P>h<2@}c6_}_1 z|7yBz%kP1@*!UbSmg(d~d22jb!X&tg0{`LPk7=pET`BN8)jr+%_`lW-7X{gDmvEtL zPr2&mjS{xu%6+h=k^<^V83GD2Vv5slFbY?NgPT@C2r?@i{AmKxGr?h(q!;xJ7tVJi zxqtgirr^}qDSz(}$n|(x__3REkJ#98dHswzr&lU)BMR8Oz4G6IxDg?;j8q^MNCoCA z@UF1Z_>Zl%g=D*BhppiLOF@R#6u&NxLc|lU|HR99e|`TX_I+F#S=#oO!SN#0Kqa2u zgeOnZa@w{(t@MIRw}odeZCWd({Uy2hfqnX~hyUCDdwBT69{PU2QNo)oi#La;!nd)R-nUPxRyv^%ezOFlt*lgT*z*62yx8CzE|w`1r2?ryDv%1?i30Y!%CuDA?i3Iz zb~juUgzb_KJi%qiR<8daZ-0|-bukCU%ioh(WA~p*s6hmGEoQe+A$+Z;a8lE9(zAGv zuMoO&DJQiKPI@Ldgmt-q5;9_nQ>|vhB|w`iyB5r$;I#vUt0N{j?UIZ{KJoe8CGp4O zNm%@JSCG$@`?s-#h6t!DWe6z9h$&9@K5s`FO`lZYJ`~_hU}Lg5%ac^#$_m)K_->Lu z=&q>cZGCq=FQfujQGnHFS}KqVoLhnXec8Dc4fzfsa&y3U|09nZT{l-*7L*Fa3e@jI z|K5BGek^zYmp#-T|FzjJu?uZu73^-_C~+IE%j|=Rm$ul&bx|)ocS{Cnni?AK@fBi` za_<7tG^bT*-r#(J{f=+DQdwR2*)-<EI{9GhY(}g`KT8v1IRPh9Um{>=A6t4fnfpl{VKhqZBXh0m2hEHa~w`&}Q8)<+-bq zf{d8rr2^XH3z{dtjh(IkP%ayTvZMN6tfd#RydryH#o0l z{0-onGXCuJEc-l*_!ARnmhtD8uV_t`+O?AmG!OASbOKAy5QQiL9X1tZ7M0C zu9P95AS0$Yx%*%b0_3JukQ>?u6Y6PKT3v8$8k2F5>oW6JtRR1cmbV5R{E`8hriRYh zzcmSk)UK2vpdei{H54ab6#;V7DhNSlg#!Za+Xrb{*jqDdGRWt~Z^}MQtmfk^?!v^G zW%jx8YueP_uGv`TP6bkdR3H@?P+(cK4tQS3MJDFaY1}i{3Cj|7TJ1gJnZ5OLC!Pwt zDFyO=dzRsxc~5#P_gg_}gksJWdjuOCLt3&oGRU<|aV4X_C$ny}G%6#wX=I7n4HUxN zk^!0~hVD0lTrP#E*1<{3ih+WI%M}6&(lz5$oN6_jBm%U#vW|j+5M)+3>;U0v&x$z+ zPP-&re1QqRI}(39hG+-5#^-H*{yA{ac!IcYKc9N(KrZ zZu(9~he&su68`?qP?v*TrqZB8z(&z9Ck^1QO|JUd`FV=_L)qS3A2U zOqcK1aV}ZNc1e!FljYC*hv!$mEUp%g_{U!c#fwk_l~})hke2;vr57B!Ej)8+k%CG4 zOLWb{FPJ)drazfSRPdoC#~MV2C&TlMt(QOUtrxk)h10lK-=S+Va=DN^+!atoc z7P@fTciMY)>ECyamqfvN9ctyIO36vn{{FV}QgsW~Cg%!%`nfeX1-F=;7R(BVbN2@4 z3+xkB@_izI*h9au!!P#uY>x-N!h$dMs4iS=o5c@ukq+A>+1IhZvIad;w2nVKYhlA> zfwi{{@VP}A((*6V8Hy{Try)sSNiGN#5fsd=I&hp4wG z;3C(TyXZx74JDBwuh%*0>%s=2xR=bb<(@fOk9Sj}hl7+}$CvECDx^AwlK?_IxgSW*W4OU!sm4!gTy=&h!mwIl& z+9k!oRyRk-UBLy^%m;>U1Gc&e)}|D!_GqvpB{rkCN9H`H0;xbMkP4&%sX!`lssaK* zyjo(4A8ZB>0F3im*L7ut%H-E2@YXhE}(>rnBr8c z*>DNa=E|-Gb0~Q20O9J02~N8tBau&hgy%aFe>|Rq#ZL#ha^A)g8X};slp&xXBc?dr z`@9`#G<{NmR3H^d1-=Fa^8bsx*eD+^mMIgZ0;xbMkP4&%sX!_)O#y+~-EdKm&2|YF zx;Ds_`(RBa1=N)?1QcY%6sOI=)?IA@a?>gZL1u+R?%xI)uEL!1IUfY}M+kN^;ouGw zK-c_z?m7+D78IgdN0-$c1gEer7f?b*OmV8!Y`6qyb7j|pITXBhfN*uh1gBk+k;wE( z1yX@jAQeajQh`(;6-WhAfh#GHzc0I(@P~_K%0#KaSE+!|^=?zb-{0v9#0JnH*Jbu^ z#R~FweAvb@6Ao_408LXveVtC-2eW#F)L~sNpoDbI)KHv!RRkzzC&;XDc;!BrkqhCw zBwT!f3BEfLe>}Rh-;Z-$X5N0Vg8UIWecpaFZeOPp=WPkcmULJ=UXOU=*#1*ELS)Q- z`n-*MYt)=jDv%1K0;#|oQDAxVznyMmVktU}n>XrNw!GEPqVMv~?5&$T9a4d}q(I(p z&oY`b?@4*Tb%tp9N4kSt>C(&>lFaI<%p!(&=4)c0?lRyr0G&R)M>C|~^g$k*61(ObQ0X;&!gQ;hU zV|Ief3J2a>_w9o;&Bz6ZU6N+hWRTB|-;{lrSk1>-+=VfnW%jx8YueP_uGv`TP6bkd zR3H@?P+(cK4tQS3MJDFaY1}i{3Cj|7TJ1gJnZ5OLC!PwtDFyO=dzRsxc~5#P_gg_} zgz9YG3~9;U$RO7;#nmDjJ5|SxpSUuDn?|U=<3p)t!oe*WplM?0ej~``Qiy6DoV2VM zC^)!WA)p{#Gfu^+R|sJceioxyI*h ze*U%~{5nr~9%~HRGsVH3w+8`=*$FZ$9M*c2lgh?P&jg2El3rBesX!`_3Zw$5Kq`<5 zqynixDv%1K0;xbMkP4irfDpgil(?mRu*S~vQ15k*X4j>K3luxxxNQv5Ghe58!&!QS zD}Hng>v92IV|zc3j=m~FY|MT``(Oi=Ru`J;TDxl@es!^@%DJ!Mx8al`&3(+pg5qPq+d;jqK%Jv^A z3y=TfFS{In_{Bga&Mz6HWq(@f1&3}6&s( z@H}Jd<&S&oMQ(B7G%jN!&^FRJ)8B@!6K3d`&K3Ohb8Bu2ZZSJ8m=zA^?hVct*e9yw`$Yb*hkj#+U+nSO9uItl z1z+q@UAWjbiy!179kxrduVa5@4SJ+#9e;S%!iLKNYi}FibBnTKzehUlxz>V@=_*0= z*Q_Y5}A;%hIOpR$$^F&V$QEySeMXoP*(Tn67N+LsEuXEDZg$+b; zFPUY_J#!X)mv?4w-Q;I-;JxOGrdQ1U`qVk`j@lgu4=sdKUJcwdi#_&B7%n#LVCW#1 zEJXe~X;a71b#rA6S~%h#yePB(e6NHOO_L{aY(} z)52!$ZlKVUTQWe`;-zyTc3p-mC$$bvdL}r8b-92NGGdBTt!Bd|K$|PO7R;gGwF88! zBPKZQl8i(?@e!WyNc{155*9xlAOKf{d8rbno+aq|x+A1yX@jAQku; z6v+QC@?xWWxLBr4lnSH*sX!`_3Zw$5z%&H}W_QCyK{neZT$SMGx~l@w4{$`DYH z5mTHt16y~s1;|aSAOx8e4!M6DXt)Y<%IAC#*dHO-&4hzHPyk)?_qppdSX)qtY8_ox za}b=ux?DgB88OAFRK>oh$V!|ITmMIgZ0$-&9Lf5-Z34ed5D-at%gIt%{zZEOU-|=A^$4of5B?B}~ z4fS<8bsx;?5mJYBxquSVHB&=z@>LO_n4KWA!r_(sU`8&4?~-uw1t$3JNc{2W(tbbA zb(wkl!3y$6==6E}(YSq`PMo(T99z<1@pwJrjbr;y;Rum2`|0yG?yXUCLa9J1kP4&% zZ$yFR&Hr|~k%^_~G;ZFgXW8;rKa0N0JF~ZL@^nZA-jV`&zdg%n&b%k({nip#1jvGI5WdwIEX17ow z+$|ZPYg6{Y$SNGdx?DgB88OAlS4Dtg_T^?>@OtI`twjpqyChtEfeF4l5`R2~Xa~8* z=k5EPw+O$^6Q0K!gZ4~uaOdqofMWI=nzs~sHsfyq-<0uZr)SycS;U{1IJ1mDzkEfT z+S{x9%JuE5rF#-so;*MM)0wY{fnuljHjqDEQh`(;6-WhAfm9$Bc$osZzI~ZqzIX92 zpXcgZS>FnBw0AzL3$9%ob{(EQrS4^nc%Q%p%?WG7tVJi{&+kK-JddGgIt%Hw_*iV zO?QK(ljF% z9Ck^XQIkPFH-1z0VPZ8OXK@$Cbe7rY#;<8pd%I?1nL8Co1yX@jU_gOo(K_IHAs3mL zN2hVmTqi6`)M>T%h-dcJ%bj>Ca8(7)z2BPm+p`Sk%zM(CxZm2m6_iG(&gRXKmb?xI zxt1xe7SY(LI&S>Ll@Z)DLj4_|0U_INQ)07YS6y)J+922XK3HRCxmyelb&qCOnSp}G z4mfTbgY?YTDc*3F9^r}~9mBd@K-bvb&!eNSiVz#KFYC&J*ERRSEWJ=eyVB}{Yu5(3 za^5zT6i`>n5Kxd2Q=IO7-i|byKB>S>DUkbMH#HntOe&BHqypDfAioFdV)`F0mg%%a z%fAm#BgyDgAQeajQh^Z#1SfaHML{;(C0yv*AXn~#HI)=lSIQ7jkP%ayHUnEXoCU~D zt02q!bK!aJ-v%14!sPEAHuHoz6VEKro+%FZK5u(XCY}nU0;xbMkP4&%U$Fv8@BV6M zU13%iT$}c*p@Uqq5bcs2fhQ}t_YcpneECPg!V&-Y%b@tfF9s^He#sy$`_oDLrab|hzw7L=NVfsf81Ly@(FIG2&Zuw8-bog`cL(@ zVXh19C;ZbHW1$hZeZRw}SO0z2cu5pI*P&KUs+62G?eA|J!$PE6ur@hY@YBz&xhc5C z?6hE3IGnpTI7iKLf1*mhPvj4K=r?xw#U7vS@xWJD@Wme0g^O*o_(3kxVY?*zI`&uA zpht?<@rP$EY`842_O<~&wU2VKK)oi#La;!nd z)R-nUPxRyv^%ezODY**B-QUOuW~3e~d%|~VriHa&?ULePtDB?auHXV{<^v?@nyqevwJ8NF@i9A6Vl#Ss zWX@wMkP4&%sX!`_3Zw$3Dj*QV%azSiXDHVo*M;_Pt>jG$o3*=vLQihV09}ih&V|^` zs1QcY%6sLQiwElYdB!yPDquKD}ibsDTKC`7f6E~_~R zPGMaxpoEN=;#8~Ia0$@n%B}@-D0uAv;p&J9PP-%{k?E5PqynixDv%1K0;xbMkP4&% zS5hEu9+H&ldp;Z#q0!`6%Mc52QzXZe3yiaqjv6j`@JRJ6%>!H zc`K#*8m_{evVZ%b$!?(7!!H@2X&()Zdn?4QEhs0oOHNu=OhUoIl`;erq-*Uzg(Jkf zX%*!3c^mfze_AS#3Zw$5z-=k8-1pB`)Us$j8{f%9axz0qpfPkJ!W;E0JNJ;kw9c8m zy|k9}PX#Wjz`0+1dA~i&@Xfp@oqNb%+VITYURq1_=ikpaWiww$GON=vix}Q3&zrOL zCTlYc@sZWvyczBn#{sToMyYv!*&%Xc8*Bu3jXR9swPOzfwzr0C%03vGg~P7kwJsM> zLPkt+@>LO_n0>if7rgvw0@5?VVV9&AwZ(rFHX(N;{&;LdgR?=d@p=0`eiZ~IY%lvG zw7fM4zHZ4|lHknODK1z7p55i6V_269=#C_~aCCH4IS3&!`|ZqIhEFN>EXLmezA59+ zPS3K>vxq-2ab_8Re))NF4szhr=>siA{n2Lo3-Y<~o3alRtNA#KyD)KPnSE~jnl`n!Yc`g-Q-M?<6-WgJ6j&Cm z1D+Rhk%@V98u!d~!m>o2R(p?lW^cXRiKhZ@N`buJo@F>^-jnYCep`+j3rB?7>=5lY zIEJ)jvtp2Inc`{@y`7J^GJ=~%XuPjtpb+ks4A3+&)YmC4n3%2=^ANnkx?DgB>6(Qq zPQEGv6tgdrzTmZPOerUojgyuY0|ke6lGx?A3uozK4>k5L1(9dSUQm$nDV()yH#R?i zTM%iTCp>p`Qjif-oLtQh0u-|oWL7w=^(ZHmjgy`U4(%kd%W=job-5~ei0;xbMaH0a`#P|$P1yX@nf&3n*Ser8=hcojfT`G_Y zEDA^}kqX?c0>|0wZn!8tZMI9e(6vFX+y`qaDWI;DA)p{5rZ{Z|wtiq(fZVhSax43{ zR*FzhyVB}{Yu5(3a^5zT6i`>n5Kxd2Q=IO4-Y&17k!I5;6}TA%a^Bv|P-G#gKq~MR zD4_J{uXc7Ho#@K(>o}JzWV<9s;K|bN{loJsUp~~aaKu0UGARD=i-Ah4-#$po{xwJ^Zr2Qqj=HVAi9X->Z%p)rJ(2`>fBEys6dB)bu-|1~lqVV`#K4uVp#AR$k zA0rw^{cV`*LjNxNC+dC~W1$gc&P&xTSYyH`$fuuM zb5rn)*_jPyg~N>A6ynX4t2-TQx+VL=C#vN8ME_4f)`E}eDnaz*(5oxfIHIiA z)y8{M&4#NX#~NfzjcHQzL{ARQ-qs|IIv!s&5&bK#FL%*bMo$Qn37jjXu20VXlY7Z5 zTke^&=)1f#d+R1YlLI&ZE1F(0_v=%C?GsNPrBi;i*A&$S*QOl|9psXQXkEA{=YFgJ z@j7)@J3tNNZShCL6`vh@2o&7A_FZ$S=N7D8QXFh`b9CGlTtLlyVCXhrtD9hLO2KN6 z20Kz>(|Q}54MUASR+`6DAQeajQh`(;75MrT5L%9xE1QMXkUE207uvtIk~b}ER>%el zJ-H~6Zcx>-{RF`8w?=Z-f`(RBW ztLB>x=QDgfz_Kct?{^kZ_@C-y1r}b(wkl!3x^6aK?H2(YU9~+hP)mk^54HfP!?b z{ikq*`1S~zL&58H^S0faLL86RG!0#jJ)4E+VF48o3fcNB$?G|nMDlm%-6&~-DSXM z$VKp?navK7zrTZP*9N(k8Kv-bc0S_Dy2Z5F4HZ1xk^#Er>l7C(UB<=X=or@J0y@fl zbo4ck)7Bu%Qta`{eJ~=0L)?O2*}CmUEG8TVNpS7jAlLZ3eIHMQZZSB-Iv6N;xFrL0 z?TyXbgV3=nhk_ubS>dowB;}-P%t_A#hjxVy7ukMT2;7!?bSD#XoOkp+BGK(1AnXid~y32r1x}*ZBKq`<5qynixDsVdrew6G2W!Zo zC~*_}V1-IXDi!<~+paMg-ASN??k133^MPVW-5@+=-iq<;(iXdTWVau}bGKxGrm3NG z=B?Euqz>zH0VSksriS9=t0F)#J3(fJ1MjW-_CcCv- z+=VfnW%jx8YueP_uGv`TP6bkdR3H@?P+;b-rv}pRU1WdhY*+MbOwWmCt`n9e>hqKr zJD=Ix#hS=OslY8LkoViOjKa)&(p$OT3Q8jsbFSDU*x(q_lD&~Zu4RfV8T~z(b)%(G z8Np2>OU!Pd5bl-?&@?f0zY*keDMYmnPFhwB6dYWx5Kxe=8K>e@tJx$Gpv{$a6cmIY zv%+Bq2v>Vn%t3H!Cy8B%#e~Bk39elm@!Nn)2J9E!A5;EoiKJE}EHO$AbcR3H^d1yX@jAQeajQh`+9?J6L&Hn=G!yhHf8^otimCz%LSB>5mTIeRRkzzzlnV?N_==H9NU#v z7hJnG$d&W9sic6qQigznjF{qdzw@?GJ~xcCR3H^d1yX@jAQeaj?o@&N9;k~A+~H!G zGEpj!3Zw$5z?~={)ah=xD9C2JgbQ68_4f)`E}eDnaz*(5oxfIHIiA)y8{M&4#NX#~NfzjcHQzL{ARQ-qs|IIwIne zt}l1dlQ_;AEN5lglIiyJjAz_SX4!JjoJHT|o!MJA`I#J+H|sO8y_iN{9B~T)Iq{C8 za2&k$nxeXNhwWe%b~m{4Na`Y7~aFRNz(=D7R8b z){zRN0;#}Pp@7h3yjVj+62Dx(HHkA}mSIQ7jkP%ay z{MjKuZdwJop?OO=X{kUekP4&%sldZS{(q4dn+1o9Wy(aUz}Kh1vd;ec8qbdJR{^R2 zKD^%^vhuG_0h_mG$76fvqq^YVwLz}j2Wu)Rpsti5pdcfrINkd`n9zhPkb-R5<3iU4 zxpLk%l@w4{$`DYH5mTHt16zL^BS3Ci1zFaWh3D%p0+f@=#!1fvhjxlC{_EixeH?4vYWL7x5av!YOn?meYwx+`a z6AtZ4s|&78``*w&uFK5Z4^~jDgMnfXzhr=>eKd5+ye%f7klK|p1Qeue?LUPh#Jgz~ zKq`<5+^GV~oB!=pFB41AY23U)&a&mLeinU~cV=(h?;zJQqm+!ie1s=%Y@;$_x)!rrs1WX_1?kz8eK3*=hp;XeP(nsbaq?9WpqQN? zv%+EBP*qMU8z(&z9NI}@7h*BtFi3)H*9N)9=k5FW71b>UhpOqWG6MyV9kA~212*lA z&D(>}tt*Fu+|azGoU<8!1Nf$lKRZ3kKF=cl#Kf6p{AJ`T+SJ}&-B+$}UoG8}!1Cnz z*`LmQO$-z}wYP!%>5>Yh0;xbMkP4&%sldw=$o1{Z^zyxnfB8ID-^%({kfXixQC)ED z+922XK3MMG7DQk7OL-n^4B9ir!QUMepqTw8_Q47@J_v_)rPT%3rZE`@xh^wr#R~FA zXnAYE!7mx0X=>=4{acezNbO1)0t(VKQ$um`RS_UJt%49_RyeG$Bju#BaniJAZ%Sz8 za_eZ4zZT?k<2Pj=CRX!t7I$I5W|@6%{F*kkw`(?*xl@5uAQeaj1{7EptplDHa*>I7 zbQ<@}b;7blomP8~cxG?C+=-_GZ%To@-=1YSXWornjSO-v zQ(Vc|+4+boBe-dV#_R?P;cm$QO%p?>?1L2}hCHszEm#TZnuRJ(zA6F~vlC=iIJ|Bj zj8L&hCrRu=EG8V+DIj-LYnGY{qynixDv%1K0;xbMkP4&%sleM+Kxl1nQ({PkL9X$Au*S~v zP(kjF&v9GH!UYPqWPq+s*}o;La0u&i0VQO_6enL50gBmgVjqkWTLLNYradlnt=+W{ zzq;5{<$RN5_gjXXw*`?dv48s@WLk%(aI&UVPHtk}7Ai?g1yX@jAQeajQh`+9jupu7 zfx6h>9WItB6Qu&FKq`<5+=&80o$Z~E>Vj+62Dx(owyC6mx>AOKf{d8r35mUizSo?rR$Tl0k@{_&SV@rPdwRAT-1 zL0a~wm0ob@w(!iQMG7YEFVQs*zhLUHS-Q&zZ`eWFUfPvj4K=r?xw#U7vS@xWJD@Wme0 zg^O*o_(3kxVY?*zI`&uApht?<@rP$EY`842_O<~&wU2VKK)oi#La;!nd)R-nUPxR!_>}^fbs3Rgi>H2aPJ&EJ2!E#o%Etzgl&v?eY zWR@-W%vtnZ-kH61lb^|9d9ywf+ly)R#Sym5@Se^ zL9Pq!-&)C=7V4ULSt1ENxg`U1EnYeoV*S-xm(?5ur?4&;P(nsbaq?9WpqQN?v%+CU zZwj$r*_sXyOgOYFtuDBBZICPHZBt1Bb)^ge1sO5L$)6npmk0;xbM zkP19JiqpOCg9%Nz0x8I*JuY-@kSphHQ%M1Jr3?WD88O9aGqCly zF#_bKRgh&}S$MwwB0xE*Y@GB=aA+rqU5HIf1yX@jAQeajQh`(;6-Wi%lme`+Z{G6v zWfvQw!^JY4kf@vxo1v+|brlfO4{l0yD`h`rkn1x0U}6Q;J(^u*2IAnC4A3++bk65* ztxzHLuHZBL?_H*b!tJXfKyF$EA;_$7c;!A=vp0pcw%uDzB4l&x07y#( zQh`(;6}VFcmN);~sa__QqSLr}gPdi{Tm3BhF7M3Vy2;Za6?jVulj{6#R40aq8gqbZo8SWQHrc1F$u*tW& zn1kZANwSM=uo2v~nB78!@U@=8$@7guu7x&bAB=3mA*{;iB`vxUz0BZFWNi54U81uD!8+u!bCp7B{pHCe-5&oPuno6c?Jt z3>)OS%)AvVXw$;xHDDkPe#rn$Q$y#>Ta!>o?MfK}3eq)GLviv|5g<3Mf)Hd@IPl)O zZy%&-&EAwyzp^!5ll-+HpBuj^`!KPZkF&T76K9s$=fm`A5^&s--gOVnw#_lRfq*2|rED)6Qh$ouVChI8gU>8;#v1*H*cvqQAs z;26@9&5A*;Ws0js^mabt$_Q>6q4Bv91lq-z$c zIQgmwP|Ute`hwTGF{PYTHcnbr3=|yNNn#gbNf&$Q;_=0W3tf9bLB^%<)vn#x{QPY} zq;;O~+|@}zMoe*XH9rVY%ubM5;jq@DoK!YWdL}rulf*8>9@8D4pJQ*&f_LrPYO|y4LPmh+kdosdDaXxNZ;fkP4&%sX!`_3Zw!zt3ZAa)WwG8aIs98 zC>2NrQh`+9P81O89Nd%`YH5%w_ivkO3aBe(2q?&iDNg=wlK{DC6@(zO!eQMnR8A@z zCp{A!+DT#;Vlm+`NP=tE2Dx(HHkA}mSIQ7jkP%ay>P*`t5unYL-Sjkvg4YfZu8x@C z)J_t+5WBv9j?eRu3fzkV%YFY|%FQ}cfm9$BxN8NJ>iyNuZVA(E^w)7NS;%%tj=+=U z&-;hxSH3K+7LNGGUk1e=A}~;i_1gz&*`HQ=!J*s2GnW=An6$q{*F5}!siSB5lX*l1 zA6jy(L1cI`JkQvA`8&O>NfaKx%f}4DkGPCY=wn3VsJ{(!UFhFs|3uv{V=Q#zw%=%T zf&P8hcu5qT{83oK;~#&2+j*(F1#3+B1o`xHYiEI&7C@U&sE+8uUofI{xsig$Sch=82vhn!T+_ z8g)d(CtY9eq9<{jHCWEdwk6Z;=^4+sm&~%|o;i!Y%R95TZt^oZaPz;S=@oOoK6OsK zqjtx^Yp*G)OIPfJc?@#NLbfhklyg5;fOwsTs~sF%<8ARrLlkt!9s&jTu6_4x?WIKQ zk`%6Py5vIBY;~hJ_*@|{bQ`eM&9<6N5;ZhPyS9LbI~WSmwRUf75`|||SsnXshIB{; zZcu@8gEeJIsX!`_3cOtfgx2Ea%4Uf%q{1NAvh$#DZj#h>%(~RsuWD-$!Ci~lEmR11 zO9tp#ymT(at}Q4hwGK{tCOCw3xquQfVv19(X2T^wn=88(%%R}51B9z1COEZ|#4f~Q z!eNjE*RBn6<-BbwDWI;DA)p{5ra0aEyd7yYeNur`AQeajz6J&I|BJlXC?77CDHEjv zsX!`_3f#N`a`P2tfvLb=0Xbee9^DNW1>bC!aG`61T)7X{R8l})DMLU(Moe+q3~b#q z7a%vSf)Hd@IOP6qpy4V^{@!6TPna|D%mVG1;&AWtw%26hsX!`_3Zw$5Kq`<5qynix zDsWB(^7m!uRI|x-Xrea)mK&)f%SZ)Yu7D5{@2hOKOSy6enL50gBlPGAkU`ZA#^&vT@QhBNrUnNn#gb zG2vh&KW;|U9}irc_PwDmD9F4OzS^}Ld&>UphbFs$Vh_J$fTn#k)YqvaWs}vwVloI$ zz8n#tgmkU_r*MRLH?4x4K5yH-)g(eTw+?`(hSq7RKq`<5qyl%Mz|GyXWukC8jhi>f zS+=~@&!X@0&g`w5JRMSjx1>PcZ_hHCGw(@xzjcOa*<5mvD_xrTLXufMm085_&U{S_ z)LjOAIxcd=k4Kteh>Jafjk)1|2f3CRrDUA957uTkRPb<12I$(9eK4{Lhp;XeP(nsb zaq=}6pqPERSr@!sxqoYsLU=n#?6QQvh=hDydqF|QrSSP0uEM;JUr_{iEoQe+A>1t) zplfez-X4UGT{#rwCgv@Ltd$&}pMKzP0N<4HXQyY`=UK#`m^ibHzl?lEo7&r}`^xq0 ztEGDqSe`sT`_q}PiGgCL_BN0|T~dK-DsXdm$ZLv`x$i~+{$Y`p3VhuP+}zze_ivw1 z$TzXRwRt8qMlLuC%(~ z+B7EPAlGH)tyn?+2rX|7IQS(4G))bivwv$63aMQwLqI{gW@;!-zA6Ibrd1Gv%nAnt z+_w+Xv}SKgs9)Kdu1Wq{kk5_Zlzo_3&Bs~Xg^4rE>~rJSw5h#av$4#b3Zw$5Kq@ex zz_Mr^@Vt{RB zNY{*0ajMm9k_gb|%DVrgAOx8e4m&`&+OuK~f>S$5>_RMc#2&hMd~xAI*IrPNaVdPh zhO037`P+g>s~kL!H3sdO;^1n25TKZyAhW_@tw%YjY@GB=aA+rqU5GuVJ3h%nDv%1K z0;xbMkP4&%I|Y^%%kFw!NCi@XR3H^d1yX@j;6cz}a8qJPu0gKL?B7yx-J{u6W}x7) z1BU-EkSY7Olq4L&x?DgB88OAlS4Dtg_GMLB@Ve$cnB^8~XjfWYaP8V4SI*m}k^<^V z83GD2Vv5sU&)bnM(31yX@jAQeajQh}RQAioFdVncJdSf)&r3Zw$5Kq_!23J7(& z8!ig6*)HKi*9N(AAFQdQfVxtKfP##e;}rj`-kUOzI>=-;fR0yWl;R#7Xy`8zkQIF{b{8a9J(z$b7_%+N&8E5 z&BHI4I(nu*nMYLcp(V!}M208B^Ng*Rzth{AMB(wfe9R#Hh|Ac7K1MW-`r9zqh5lXk zPt^S~#zHr4`;9gi=-+pZmqfwIAB816{_*#>otLUxu*QT>kWW9i=BD5ovojma3WpiJ zDa4y8S9dzrba-H&sFLp!`NJOijU9fm$7g#y@D&z(u}5{`V%sc!kc)KKF3G-*{gpN7 zk)n0{;aLkCE(@%^ZGg`$%8LCS>9prs3qGc+1ksa2udZ0*h_YT+8}Cgu8?J^NYmhND zrb*2cJvlUcTaz^Eh=@jG$n-#KwLQihV09}ih&V^Wiwbo@d z2f-<<%LSB>5mTIeRRkzzC&;XDn9-X;>{qs?!vhlz?MkZ)u3a1C%6Z#VQb1iPLqI`B zOmXsOhXA>0737BIE#;)80;xbMkP4&%4-fhOMP6(c94?kA6Qu&FKq`<5+`IyE^A%=+ zslZ+VIbJ#*+dCiChpxvBIFuWx zAxlUFUZ;Q%=5Dws$Y#5Q3r%BlYmnFXbjr4_MgHLV%sBV4h65*&D(Zw3UNGM>#tq#N=pS& zfm9$BxI+b&H~-tITqc&H)3|w~o@KlXU(;sxcFn#rcPemk1@eA-7RhJclk$G+3=#jv zyeXUcLXugXmRZE`&U{S_)LjOAIxcd=k4Ku>>=60;JI8?DVUTN?Q3_pW=OeDHSIZHP z*$oz4+>!yh=Iay}EM3OM;piCF3!#a_ald3T%Jrf+- zNn#gb&u;t;;F~i3?DQ=AJd5}fV>-+D%g9%>slC0rFJ6N;Wy@-0N=-6_)kw=MVt8l1 zCI;#*13u}J3Zw$5Kq`<5qynkH?I@7z+jERXIR{C^@g~-{HqV3xX(rxnsxG*8ZIEmE z7n8zQcTwEfMrGY%+U$l39)4Pop1rYsu!bCp6gRXFCe-5&oPuno6c?JtWE|wW%)AvV zXw$;x)oCCOe#rn$Q$y#>Ta!>o?MfK}3eq)GLviv|5g<3Mf)Hd@IPl)OZy%&-&EAwy zzp^!5ll-+HpBuj^`!KPZkF&T76K9s$=f zm`A5^&s--gOVnw#_lRfq*2|rED)6Qh$ouVChI8gU>8;#v1*H*cvqQAs;26@9&5A*; zWs0js^mabt$_Q>6q4Bv91lq-z$cIQgmwP|Ute z`hwTGF{PYTHcnbr3=|yNNn#gbNf&$Q;_=0W3tf9bLB^%<)vn#x{QPY}q;;O~+|@}z zMoe*XH9rVY%ubM5;jq@DoK!YWdL}rulf*8>9@8D4pJQy?b~!P-%6csjjuV7UEYId#arK8m=3Jjo*k6+_jk9LWS_Pp2A5@%Sq32 z-aZ?_o?zg@!PQ(r;_-TNJ9+Yyn6y+N6-WhAfm9$BNCoamf&3n*i;dRdVwo~gDv%1K z0;#~AC?M21xG6Ez(jZsv-!|10P*=(jP>>N*oc!G;0dmtS2tj6r!@6InoK!YWdL}ru zlf*8>V!~mN1lO(&a^<{jDk-3@lp&xXBc?djnYKwHK$|PO>1hrHuN@#<9WlYFog{W4 zc76REpXVVJxEBSM`~JO@n{}oFsX!`l*9s`r`>UPZ5~kbeuj5>@knNHjfhWtK_Ycpn zd|6yA9Py9842nNQV4xD~w-3^?KdtnFL$`%zE-g|pX@7~XdH4lWN6+*p^N0#QwB%TW z$na!%p0V}vcY0fsC_H|bj~Rp?aT%M?$B4#Je;eky(7((6iMn6LSm?%WztQFb{rj%* zk|;R&qp*a>KmPu<^HOyS)|l`K^6BT++!Q=xc4mWF;V`2&g?KaNm?nj*YdW4|pQw`W z6Zyj)`i&iavBzh7Jn$73e6dG$;bPk?evpfF*e=Pwj{TK2=#ipz{NY&(8!ij1y={Qc zEy{}h9_h5_S_?j=s|3-LL$9t_WGL> zy1v{+PvSUhu$+}`OQze?GoEoTnPtm8a~6GYR8-?T&-j zUQ<+;uGk0j803PB(!xk6y*HejopZ8e)DYG{ylZ2=E=FchR~?cUZT3eTppI`-WR>5vNCpaSIv zYs!*Rfm9$Bc)JP+t;Nfg%@Sisg+Z=m=Rx7zB&q9|b*Zyo)z%<_yB4!ss1WX!4A8ZB z>0F3iTTo7F9h~$`a0u&i0VQO_6sKCvhD(4pS9UF!L&0kY2vMnBue<*t%yfKyF$E zA;_$7$o<JUgIt%{zZENJ(?Z>;WS7lMIJhMPG))bi z^Z8q=M@SvkP1y${t8fVGasee|#1toA6#y`Vr7Ab_c zlf*8>V!~mN1lO(&a*fa1_c?D7eVr#fk2MDEnd0Ei+k*hb>^C%TDfDc{-vGWTkSNE0c+gD5XB(OYre)gv`UlRkxPVH?Vf4ZassX!`_3Zw$5 zKq~Mu1#*4+GQE87;$J?`)i<%ewRtAUK{N4gQ+2_$YlB?l`(TaAy2aq&?)V(Hl?)U- z+>!yhmixC4ibz%Cu43jOIJufDNab=}9v%u#FCsYxv<^&0H=7c*E6A?-Kr!UYhGqPU z!mVjy=q_y`WO-{4p1UOjG))biGjFXPA$3@n3n(F7Gc^<^Uljq0*$FZ$91w8dK1kDy zTySV7iCu_2yYad4o3alRtNA#KyD+A+%sw}MO`F==H5<#^sX!`_3Zw!93M~KF8t}Z3 zi%iU;)3|4@6P6|FwAy>bGkfdhPCONOQwrq$_AJ9W^Pcop?ze){2o>)(RTo^F#@@&v z*D}QwK7UVU-Dqi4MsU-}60;j9gu5jJG))ZMZv?qq3Q?_tla>_&1qYWa1Qeue#;G{f zYBos(Xme#91qC6)?!quJ?a}b=`Nn#gbsU!B##p8<$7rOR>f{aVy^EF(B$;#z=4r@KiNoC`tXM#gJN$hf*u}dBBq@@D)p@7^+nOS8j zkP4&%sX!`_3Zw$5Kq`<5qyleT0inOaO^G2X2DvV?e@n%6k7ieyfr7^lU|V*`rtII6 zSvZ7sxquQfVv3WmiU7sz%l=cr>uUR8kGX{!+LcxpT)Q^NmGic#q=33ohJb>MnBsK5 z^R`faS}KqVqynixDv%1K0(Y!Heh<{e2JdjOOqnPZNCi@XRNzh&5bAU{Toh!pUBZQ~ z4RYl^SW`&>b)^ge1sO5LX)~}4M&YV(aMLQtt?b`gDMCH%N~;U5T^r=edD~P{KwT+A zKtV=Kak}eyyS#oznoXZn;5HPHdf&f|da{aCU_=2KaY~<5;5HRd%JNq`yN^zEJNR{+ zOBS+Sk|XeBY4`r&`IRpp>R349AAcDXfB3~fCDv~rq-B3v=>>;w3(s6yq+rti5?%A~ z3#N{q=}+bn6?|yPu?CUh$?!a5>*eqCwkA<{{4O6e2tVR7HldFZjidfH%yprEm;DoU zzl^cajoW^s%?0}RUE?KDaPmiC36FpL{cY!^>K3dq;S=Q3&#k#Bc*g9^2D8FpMsEu7 zX3Eu_jx`+~*e9yw`$Yb*hkj#+U+nSO9uItl1z+q@UAWjbiy!179kxrduVa5@4SJ+# z9e;S%!iLKNYi}FibBnTKzehUlxz>V@=_*0=*Q_Y5}A;%hIOpR$$ z^F&V$&ED1|jXEOYlddm!(UUmN8Z2jJ+mh+_^o(cROJ><}&zwcy<(=7EH~EdwbvBYr7QNqJO;UBAzK$N%DEpaK)g=F)ea7>@wWJ*Aqu)<4}pSv z*S>2m_1uEBONxW7ZjO$-f(xjb4-DM~Y;_Z?O(|II(O^ePY+7#vv*EFVJf;GvKq`<5 zqynixDiA3k0P%8Vvyh57HrEHaF0_AZrEOZ+tdI>9YIjQp=vusVF2wq)wJxhU2u@*L zE}(>rnBwHCB0w=aL1u-+jNTMtzp^zQ9++@wS6W?g?b;w$&fBJv0_sW`0tzx>ijzM( z1jtRRAU8B`DJLxzNCi@XR3H_2c*y@R@?x{#aIs98C>2NrQh`(;6-WhAfoTc|%Vj+62Dx${tf{1cx>AOKf{d8rbnp9MLKCh)3bJXB3tb!J%6Z#VQb1iPLqI`BOmW%_ zZ2fJF0J&)uB=>JoAuSb11yX@jAQeajQh`(;75EAj$lsS;Y|sxE%an;yfv-{lq3ia} zM|HuqY3!#Aa$RO0OspV($A`~znh6KDWPqlrp>sZeYxM}J!@68R3F(@tp*Z=f2vE#U zkXhldejrvkscf7y&Bz6Zc9PhISWGw!lHl63?+qQ~y3D-&Uf{Ve(}@66u1$}OQ<+5! z@66Z4K;31)XUIkHq8Wy`*dy4O8}4_IYnf3>#(1adxbYKLMsU|+b_*54-I4*iHf0}- ztimCz%LSB>5mTIeRRkzzUvAa~uUGEhTBH!(P7=EiiwTE85?s4B$TdE1-{-tV^mU%_ zJk}VrXNrS6Zw~?#v)|CXrO>k(e*^fYj6XX)%RbK{{=~$YW&CC2E85iFUfox&Z(l9l zlfd%i`PrY&d`%1#JGHlg{OOVkqynixDv%1K0;#~u6v*}M%k=WSi+}k%SKq|?*5;X* z9EE1$-6mWfhWj1l8s7(NR7PQ4b9a1>+e#KHgu5jJbS?L99~6oW6Jte{N`n^&iSIQS(4G))biGjB~oA+;-I2q;L`Obx}! zS4Du_veEY>%M)ErZsz0LjB6tbWQTtf_!fLrtHJSYCg{5E=-(RW}h3srcLeb znvG@dR3H^d1yX?l1(rqYfair=WMUqj#yxYLuq;uh)!rkX*;_An;;F!!QXucQXBp0! z_oTOSzZH~5sLc-1euHC3OExP8xt1xe7SY@Jh$|zwX@ti6Dh3MSZpi>m6GMHS;)03k zS}_m7E3C@}l#s4jsN&?SB0w?wGU*Fm>&BFFQrS3ZSus#>XeWtXh$UU@p^L{C7cO+| z1qB(G!dJU?WApR31(DWy!gE(A1sO5L$<_QIKruT(W`)CAk8)DkIO&<-&`uJ&5PM8_ ze3FM$AQeajQh`(;6-Whk3jFu(N?u3>Qh`(;6-WhAfmC2oK=?VhDY1mKe++V6X8)E_ z>mJRnG6MyV9l*BikWJaYC9`k{>v91lWW*FFUljq0*>7bZ%=X|0Dy=Rw)wOolLj3At zPnC0D!*zqO@f#6>yB4!ss1Ux^Q#h$+h-%#6AWB9xSA_SJYK`M6KK*>fm9$B zNCi@XR3H_&Sq1WYpe{Bvhl^#(M5#b3kP4&%ccOq$=isKqP)mbcxqsVKQ$SrQLqI`B zOmXsen*_*Bs~`lK6%Olup>k5$IO&<-&`uJ&5Q_AOKf{d8r zRA<^Ii2!Y`?53wV6ufqTaCO84r*@Lqh1m7=b9|nMRN!6|Snm7xQf}6n3Zw$5z+Ee# zRPV2Lc1xIUqrZ-G$wIbEas-|%f8IYlzw%{qwQ$5g{xT^35P^Y8tlvIJ%l@>|3l7~D zp1HJ0!KD2qy5`{*OdUPbpUfjF_|TGL4I;yn;d#c^%irm3O``DlT|Q>q7r7`zPvt8DpUvxBW(&3-s^1#!I5$3J+s;eXEm&j1C&;ItTXR$J zjM9(ClqZ(x@XMKI!^$7d?sNtif_twk?@%PtSP9z2yI}_Xf$0 z9I@7}uKnBbuEPVz4Tqx#9$?rWIKoTFrEm#e0+!$<^uP=7$YU+T!(Ph6M^$C3R6u4* zVp2sl5Wy6y4sZaRN*1$IY;l$?_sm)JUEZ0!b(3Gnft&vun!aJ~m#5B&ch=4>-cOx1 zg}FQ|JNVhOlcDYPi4tF3?D@KI*#f*O>)J-_;BIqf4FYHyZ;L;fhvHS!u3RBdaPQjp zPu5;a#4btU>{_sL&^24#C{8|C2n^i@Y<07(W|Kq>4brYH;IRr=I9LJYplj{kHe8#^ z>TKv{NQYG5fC`iY)|4fs0;xbM@O~8#T8oz}nr&^-I(I!& zg9z?g%x{C|;G zn+2zSIE zZjvsb^7GdB!GtDa9X9RZ+OhE?%$$9S}KqV zqynixDv%1K0;xbM@D(bMzc0JmML%6F(<>5{SHxy$D)6=n2$g$aS54 zFtLLC9Unf=X(kMA$pB4LL+5<{*6I;bhjqDt64EtOLviv|5ulizAhW`-ejrvksB9cG z&Bz6Zc9PhISWFlONpNl24~7nMU1#2Yw1TRpyUGj{d-x>-H0_h2Q|4{4LWR`3f=P$D zfS#e=(Ed|6LU_#n`gvQ7d>~2eLV;%<8GkB8GS7Yhs}8GT_s3 zku!cCX@*N&>=A6t4fi|9wah3bW4u#!-uQ_tBe-iZyM+qjZpi>$o3al^R$&P1asee| z#1toA6#xKKb7Ab_clf*7d__IjJ*R^L9WLyfLui+}phn%;Fw93KrSYyzh zDGsjYCjpAt4>4~k@xsR606vuQXQyY`=UK$}Oq^N9Uq*gIo7&rp`{JH(C|gz|Q)-ea ztVUX95yLz4H8D_k8SqJ$R3H^d1yX@jAQeajj-x=XZ!d8z$|Xo5j(4%XwR!fD2HQIy zvbylIYlB?NznBz0cTeWa#!v2pArkk53w(~zSkxYY<^t5dg62^0TI*2`>J{XmXM#gJ zN$f%_CJcilxHgR$Hpq3Ic`H^>)pS>xfjIaj12jzyo%4GKD^y6mEBFHQ*3?kAeN_a= zO{*XTnH7c?&f8{h3b9|=nhuYP8=o6Llzp67&8J!1g^4rE>~rI9X;XW9%f>QyDv%1K z0;#}&0?VRx!1GEjGBJ-%*Gxc^*1(i$9P~_ZXeWtXh$VOI zp?K7S$TMWmD9HE}&P|ftZy9pl7DQU-3D0AVL3^e+_?in)%ubM5VOZ-?4k{Z5Jrf+- zNn#gb(^7#{AQeajQh`(;6}W8$@_V4JcKuFQ%an;yfm9$BNCj?00in*pO^KnF2Dz^D z`CBTkdo;Vs3=}+e!218a0h^Zlw|9y_RpX{w3n=__HCK?z<+|X334`mQAR7`+bZw9; z=WSC-0d=Je0Rz=s)xoH)IAhW{omixC$9h8xl3fznWId5;~Qe~m3Kq~MK z6i|BbS3A2UOt;1|D-@c3OmWDtJDWo$wp zA{wLqKFoEcf0z9mb-!F=p&PgTMw<)t-#3kyM8V0w3QKtWfa#r}wN+H=*g*9SFCYFSD*lQimxh+lPnxr@Gv z^j+SWy>*jc$bp;x8=Agh?w6;|iHCOIDC46wg}ml-+NUj7_pjiX`?_#Zd;YkNN3jCL z>oi>L;NTi>i$58nppUwI;0l3)d)K~iF7@1kwM&YFt!~bayMha-nGX!z25fcH&|KT> zNQq7BZD2M$RFGpTa9awL+bTD!O$AbcRN%%H5bBSYE1QMXP^*JnSK7a|k~b}ER>%el zJ-HdN~;U5 zT^r=edD~P{KwT+AKtV=Kaq?$}0J&)u=lsnsq@(0`KT`EfZj03mHS{#A*<$_4d)AdJixLlnQwg` zOlV?ouHo9XL9U#)O(g}?l`;erWW*GwTc5Whjiyg3kP4&%sX!`_3Zw$5Kq`<5TuOob zec7dy?DCwt9tUtJ2dE)SNCjS|fDqV{>be>pJ^jVg+ql*ldRyh=X4; zK-1LFIiJ5Z35C?Ilp&xXT{ATlCtno-a?>gZL1u+v{Xnd8P}w+WTC+DLv~sz1tmMKI z*F!-zB%Em44~7nMU1#2Yw1PG*TyWlgGVUq!wluG;Wo>8-+O+nc!VzNISI`^^UN4)s z?cNmP_w-=&KT^twENh*yDx! zU_=T-+=5@(y6v`vKZ}HXU3*4B#-;GJEBz2p1A@C2vs#hxn1br)p?3TJr{oIkl1v*FgYnB78!a6c_b&)(TS zSVIm)3ZyhE4D01l4ywi+^h|JYHCK?z<+|X334`mQAR7`+G>sWH$hG`SU*X#%+0D|% ztAOC9iJ>vOfjIaj12jzyoilGuLLs#)We6xp*Gvt?$yY^y+_VZpkXd1P;k<43rV#s; zt?BT%xN*-ulzp67&8J!1g^4rE>~rI9X;XW9%f>QyDv%1K0;#}&0?VRx!1GEjGBJ-% z;#z=hP58$pt5n$Gr^&qBz7V8obLQ2hg2XHNCi@XR3H^d1yX@jAQeajQh`(; z6-Wif6%bqpHzkHx2f41Ze@n%6k7ieyfr7^lIDhOHq-Rt1Z%HZ)VO=hugp8Qt&Rg2!4<;h?7Fpl3O6pIpJ7 zVBo^wYOWxi*?`OP9||6x=9mhk0;xbMkP4&%slcr%klzD!wJUbITBb~t3Zw$5Kq_z} z3J7%$Zb}TbG{}|vw@oz#)Ri&>6lBB{Cx5p|fZVhSLXcTuSoaH+gUZH1&jg2dlGue< zOc(}9aP8V4SI*m}k^<^V83GD2Vv19pX`3Vhv^lezp5{>S+5y7Z5fhx+Nn#gb*VoVa zc@C+-tthbE_iv@#tTPoz1yX^VRzRuVU+wIcFx^If8|RXRY?tH=JX-#IxO;l$%i?O` zh=2TTQ2ZeR1C?06eUO&@Wu+G!x-C3$X_10S`%84q!!MXRdZs^{M^x~sCC3^>hDVp@ z30p6Jr?)kU!sB=OkU{tnm$3KyT8*MJof8R7-5(Ov! zDlFmgkAJ@Fyj0zSH70z7-2c*=n}TP|&TKF%3^RIDh&NM?X;L`5rsFwwPnCS1$e;Gm zZ*BP19-r-T$5&YJ#U9m#lWnv3K`zo^yCnNM_Gi|h2a4ACgdhPhv!Iw#&)yYt2Se|LH( z9P(<|ttk{_NUqc3DE70%b#^hYb>X7+JXqTZkXso*)6~L#G7rUz7KA4&mpxUEcR=B6 z*S>$U_EI8zu1%L+Vq4ubxSC12+_t)DXx>P>wm^nez{0@_CLO_n4KWA!Z4#Zh1jobO@{|24DCv*3$9%of00+41*fZJ%0#I^Dv%1K0*6;X4qssw zm*?wu`Y?;|IJwD)6oEg9%N4(oCOC8TSnhT`O_B0w=aL1u+v{Xnd8P}w+Wnvn|*?If`av6wInlHl639}FGj zy3V})Xa!YGca<3^_V7yvXxb-3r_9@8g$k*61z+Iz4(&gM6Q@-Qdi}g@_g0e#*~0b# z(^7#{AQeajZcu^c&Hp%+%fwQ28aHo{vut^*pGDv0o!MJAc{-#5?@58Y-(KWu&b%k( z{noie%jS}UywRzdFC>}OQ<+5!@66Z4K;31)r{f}L{5;YOm$=v?*q9sccaUqDQA);m zr|P`%6IVuX*J5@H6~f(;0lGG2AB?QR5Z2`aO2~*QPQEGv6tgck>w?z{_irsy2yZ8e zU6$}?k&v%z&nU>a6h2?WRhSPsZxLyggXgivpgmI@T+L4c6tf><-csU)jlThWDC5sg z&$7?6i0_#=vy8ut{DwBQw-@)tJ>gKctVX8PBvV+8w9F!gcjjwipzbo@lP;-1Dv%1K z0;xbMkO~|}fn48S;#!nTkVG8sWqm6&*xvc5F1U7WkSq6Zn@S3(D`f~M$cQOU?mpO^ z0J&)usiAXz?_d%Nsa+{UKtZ}@ zYA8;=DgxxDRS<&A3IhV}+Xrb{vo|HwuWU`%B!4N$=f)3ZA17AxX%=^3;>*Y>76?j()Al=<1*H*+IallvY;X)|$!5hM*D}SGjGdj2xH5v9Mrh1#pb+ks4A3+&biWbg zaw$Z$4h~vY3=|w(t`Ja=t{JD|RIAw}5unYPb^l302r?@SJ3u(wvtkZ{Q#(oQLM$c> zgCw|iZIEkx-sb0T3!<;{gy*rwpgmI@+(#XeWtXh)qicQh`(;6-WhA zfm9$BNCi@XR3H^d1yX@j;3WzOL4%tTL;QnWv91lWW*FFUljq0*$=c2Hc)AGp{cI5yB6YC7kjE4`x@2_%UAr~q0Mfn;IYPi^`3Nm7fQ=Ms>Bm%TKvzwmgQ1IFT!r2iMoZ3lZ z7h=;=fm9$BNCi@XR3H^-DxjeLYG=2EX%YecHqIsZo9&VaXCEzpKHNP$^Cbw^ru}Vb z{2>AZm2kg(ke2;rr57B!Ej)2)k%CG4OLWb{FPJ)drazlURPd=K#~MV2N0;XbTQ7g7 zw>62v<9GRxLHH4uu?c;MXpH*%FxQp-UG{I({c??kZrt`8Z7$G%-!xtl1tjwtJSwd=j9X2aExV+}H<#x$vUq9>5mPkTRZpi>$izO(FIxThrlz2}8Tm>Vj+62Dx(HHkA}mSIQ7jkP%ay{MjKuZdwI7(7dIb zv{WD!NCi@XRN(F||6k6lBB{r(53#6Pj=ZQjkr1oaovhSI*m}k^<^V83GD2 zVv5t|!q(r$2#}jrL6&u8;raTD0Og>vanLitp`9dlAvP@)NCi@XR3H^d1yX@jAQgC5 z3b3|5yyfr9u6Bt|SId-%Qh~2h0io-`O^L2R?57NJU1uLmtf0C_v#ZQN9Q=|2nx=-% z`TVUFDx}^Oe1ZSoWojtgzA6Ibrd1Gv%nHK`_raRIDa3wdYdSnIVQ5!cU2tvM4~7nM zU1#2Yw1Q$C3>16#B?C0=lc7`QZ7~Uj)UK2vpdejq|0x_H-c73@ub;Q=-f9vdn_CA! zS}KqVqynkHjViFb`5&ixnOKTWg)+lySynfIi3 zalgHE6Nmdf#>^LzTx5}8*{_`4stCsO3BE} zM|k4KHYy{gYcacp3gLcQke*H12P3I4gmt-q5;9_nldp;Z#q0!`6^3;~RXM0^9P~_Z zXeWtXh{c3qkObGR4RVdo+Yj+8s#^>WRnuK%1_~ZKVBOybY}z}Uw<8Kh8>qCp&{WfyjP0(4_|?UpD#yNt^+LU3|5lpp2I5fb zDICv93bt81pU;^b>CKruT(W`$v`M>(i$95l_y1&4N$ z*oD}O8=o6Llzp67&8J!1g=;#?>~rI9X;XW9%f>QyDv%1K0;#}&0?R+P20X9iA`|oI zH13(}gk_03t@a-A%-(vr6Hf)+l>&Lcy~yR9c~5#T_gg_}go<~Ystc}7V{c@TYnkE- zU)@D;?+qep-;0iGfr0!HN+>9@pg-tb}yULKP=p6#Vj+62D!%PZGQf?Ao@B_cphsE+B3z$owp|eirHU3Z{Zh)c9PhI z*tAq26-WhAfm9$BNCg@S{9gl0C>2NrQh`(;6-WiXas`C`2R9{#q#EQJ-v@im{w)=| zJ3i-aB?AQyw`72>P1(OCt1yIhxquQfVv3WmiU7sz2igZ4sI2}K4SCwOnBC%p*tMR*!J35UUCVj<xJ5BsX!`_ z3Zw$5Kq`<5+`a<&Jy2J>hNr7#%0#I^Dv%1K0ym<7Q0L&L#869vT)BVSR8v4*DMLU( zMoe+?cbf#rO{*XTnH7e0zfd`-Y#j7VaA+rqU5LemVUPsZt_^bKylpBepsti5pdcfr zIMtc9Ng_a-GrQ?&4h63rAe9F!V(_;_~*OMOVuq{W5P$s{V%P#DR{>0%m%Zs|3-LQ?IUAQz)DaQC>ilvSeHF(=gXN-ZTQc1qUhs%}$t+v$nX~A-yfb_2Ccluw@@9P@ zwrA7mvm=fWkQ48G73|{u)LB!Q%fqsRpG`X%+FqY1@zuqi`|BiLm33_+c5t^jvjzb) zjkm?0%tP_2X;-cgD7bg+`{q(_O;X@l3sw%gW~&>;X;*OJteFoC-3DxRv#n;srEPYk z#HRJO(P>jzoeh?LsL^wwIivyyQJ@^8jw~Y;NCi@XuR{T$&3L)8Sz-(+GRU>;JSd!- zBy}CLE_J@FbJsI9h~Tcp>=r77yCnm3EnYeoVs`}#XGctM3hQzKC1k`DCtno-irEP= zD-1JwQ;7Y_)^vDa!qBd?y5QQiL9U#)O(g}?l`;erWW*FFe|89vn^r*%G;b*Tm>+G+u@$C3^6_EPx!`tm4EC2cwuz72C9@{$~ z)dl~q4RYl^SW`&>b)^ge1sO5L>DKqbgeF{p6lBvLC%QJsmGic#q=33ohJb>MnBug# zu=RI60_3JukY!z2c)tE3Ksl&v9P~_ZXeWtXh)qicQh`(;6-WhAfm9$BNCn=N0<5hM zZ~6POt6ieg)iS*zQF%pdhNc2ggRu^2G_Jg5= zT-TYmAFZHR2Lr_(e#rn$`()^pd0R|EA+;-I2q;L`+J6d1hf{Ve(}@66u1$Z4oPv&UF_7%*Tg`P7wApS`x-U|I}QrM%od&u_lqObrPw3b z42<}?UZlOZ>T2JBN=|&;vLYuM=MmAvx>v91lWW*FFUljq0*$FZ$ z469DcL1p8hXM#gJN$j#na~FH4v41IuJVW-3f{ah$tX;dYA9gdj1`*t~nB78!a5pVL z*WTH@JqaDVawrH=niYmOo3|vtu<=~?!97V$k3XO{7ok>Aj!_V(hwcnu!P za((;sa?E^9Jk8}C7w24_N(EAZR3H^d1yX@j;J6Cp`t}mnrd)y~;&>P9TbpM>gESNG zHdPl~yEe$R{EJE9i+8HdA2^LG>lV{yH&pO&O9trLJKG0q$f0O)h<&g^B_ovzeq7tG zF~i(RpoH$Gr=9bGVo2Q}Y`mgyYnm9kOIrw8-Wr7GZpi>mQ$y#>TdPM%9oFRnN=VmC z4aLb4(%kd3$YhBJ~w_S`#7aCruO!h zjb-jsAQeajQh@;lmVaywcwWgxCg#y;+%wk+%Mx{3?LFd|z4dY@o(jAx1@eA-k;^&r zp7dVsw}R3L#hfel2sSu|v}A8&kZYOZN=AQAX5DCMR7P;q$P%*~D1^Hu12jzx-ERcB zTnbUGgM*e80|f_{D+CmzYsRTK)oM0L1ZZ<+y$T9KkXd2a0m9jy6>|`r+DT#;VyPqc z(8c463n#kvjDn0y;qx_Ig~`v~7DQU*;CZYuXwMV}SM!qq#q0!`6^6AQ<)E^0&@;iI zog{WS&)B67c+ygV+fYDmqs*)_6-WhAfm9$BNCi@XR3H^d1yX@`u7J?r;HJco6oXvX z*}tXYx<|9C%s|0o2e2(WWK;HU$t(~P z6-WhAfmGl|6cFlkH(V5Cvt7c8t_^bKK3G#p0d=Je0RN?HDDXZO*GZU?`ObIC%sOL7JtE$u$sJw5Z~Lmdl8{Nrzf;t#(VsKom1 zgS6}~E4|>*ZQ+Saixf=SU!rRse!2?n z48o7Nj7{i6L}S$7hqgW`kK_n9-X;yqR)!r(;cr2X;@De4ogl_Rw!__|+bt?QzFfSn$Oj z)rFI7v-m+S(qX$K`#Sb#)}RN9*7)O-7B*ZKSbN(5pIej_`y9)a-3d(x@XMe%1NqF8V5tiw4U@*|uc5J-px% z_mWw*+%sp0bElUp)C*W#sfA=Y25by>|pa0=^k0VQO_6enL50gBlPGAj%-dQ*t~%GPvvV8YO@ zw7THhwLz|&w@oDl)Ri&>6lBB{Cx3PbkegOP4m58mCoL671yX@jAQiZ~%l{X7wOMew zTBb~t3Zw$5Kq_!}1?2D*W`U`|UI96uI*;w0kLq#`=naEhxewM9vTDBBaK6CD11zhO z`PTQrgeC^(8m?U%LNaH?4vYWL6l~55y`5m5qa@HG5M+E0{6yD`?Zg1?TN26%t93i%S1+F2QmGx>l<1xFzf{R-+K-YYo;)12ixHz00!@68RN4d|AzAACr8f00D zJzlsEMx-#rE%=qK+ipwvvq;F-wPzG$Tnb;i(hu=8Ah>HWyM+qjZpi>$duQ|ZBy{Y` zp&&?URv6Zaq#RU@Ip~?-&`uJ&5PNasZvY?4__Ncq?DH(*d#>p$<1Zt>p-t`W#eMM_ zJd`b~ktsFF6jmcGvxwoH`I;E0yA1fGODd2GqynixDv%1K0>@Dx*SD9r7UdEo5y!h& z-`YGA8l;(cx2d||+OJS$nNpl+8k2F5>pJsRte{N`n^&iSIQS(4G))biGjB~oA+;-I2q;L`Obx}!S4Du_ zvBWn)0(|0p?+m+x+eKcK|VKrDEm0EnoqO13lnFS+2_XJ(x&$ImW^fZ zR3H^d1yX?l1(rqYfajH5WMUqj#yxYLuq;uh)!rkX*;_An;;F#9QXucQ7rC4>?@90F zek&-AP@5g1{RYR7mTXoGaxGI_Euy#c5m!cV(+G|CRSXou-I4*CCWiVt#RU`7wPGHE zS6G(|C?Q?5P{qktMSx=VWzrYC){QCUpt5n$vSOg%&`uJ&5KFq)Ll=)PE}ZDvGYT>; zg|Bw)#^&d53nH!agy*hK3Nm7fldJhjfMRxn%nHL=k8)7iIOv(+&`uJ&5PME{ev(5f zkP4&%sX!`_3Zw$5Kq`<5qynixDv%1K0^MnBwH`HVKfM zRzV0dD-7#?p>j~!IOv(+&`uJ&5Q_=JAPKHr8|2D)+f-6OT`5CAK}Jk*sxxhqM1VGD zcGJ@w3SK)vI6Gp3Q#(oQLhSnbIX}-K6}S}zmizv#l$&*?0;xbMaMKDX)%&ZR-4dqT z=x^g(vXJePoPkHnpAUCW&wN>2EgbQWzYU5%L|~v2>$eZmvcIhKf|cb%82Td>B2kC6LcT60tIjM7C*>EI&7C@U&sE; z8uUQX8h?D!!iLKNYi}FibBnTKe?&U%xz>Wu=_*0=Sch=82x1n!T+_8g)d(uR6cnMPJ2n(O|hK+m=kXhZj8JUNXy;d*&?qF7M3Vy2&r( zz|H>+P2Vv0%TwpXJ8O5oc$i31yX@j;A>DI z|6knKDr-kP4&%sleeCki%D)1*QUf1>}6{Jh~e$3clGc;Y8O4xpE(@sic6q zQigznjF{rIxv+K5T!7rP3PO-sVaWa4K*Lp-{Jq0wo-k+PnFZQ2#o^ZHZLi71Q-M?< z6-WhAfm9$BNCi@XRN#^dXTWd@2p{E`8h_Q}vG^R`%_Lh4Sxh+d1v<4O`Z;^ze2Uqix0LAQwn75R8VdHNAAIkW%)3faJEaH16&Mf0EBfp_d?d`>VaZfmuEvu0! zHOUlKBQ3Lt;hp)K7^u4p_@qlJkP4&%sX!`_3Zw$ZQ6Sg1m$(+?5+o7FyI9}aJQEtE znRvIUy5QQiL9XRrObVa7C-Y_FC$6kpOq<4`m-GR`Y2VcVXhpGW*>4TiVp# z-mbGkfdhPCONOR|@3)_9B;a z<~`}X+;0V?5o)tTwBO(u(vr=JL9S(rt3~v7KH|yn3X@Cxg40VSks7OFV;st8cbzD)Xp*SayK98@+AT2>4c9NI}@7h*{ld+6fv#f1}H zdqzRVrSR3R-Prv6Z9$}Up77k&NkK+TadI_32~f;VkXd0^>roCW8wWiT9NI}@7h=!p z&QEek1yX@jAQeajQh`(;6-WhAfm9$BNCi@XRA5{I!F6y`Vu*E+>pJ_lR9yFHc9j_@ zcA*{;Pi^`3Nm7fQ=Ms>Bm%TK zvzwmgQ1IFT!r2iMoZ3lZ7h=;=fm9$BNCi@XR3H^-DxjeLYG=2EX%YecHqIsZo9&Va zXCEzpKHNP$^Cbw^ru}Vb{2>AZm2kg(ke2;rr57B!Ej)2)k%CG4OLWb{FPJ)drazlU zRPd=K#~MV2N0;XbTQ7g7w>62v<9GRxLHH4uu?c;MXpH*%FxQp-UG{I({c??kZrt`8 zZ7$G%-!xtl1tOt{XV#zxiq`n!lNL5y z7Fc`R0H0fw75gL7Y0tG5d`?#hq9>+urE?ksjKURQvorbF&99-jV@h3wRbjKb71^2Ff|77i@ zMC_6j&ThKoMAK|_qd53nAux0su+`1BnoSZlG)TL)fQNfA6r^kI-qs`v&!)0^?F|@e z6o#}^;3x`|qZE>LqynixD)3b(AaofoS2jzGAwdSYmYoNMbCaa5W7eh4epOq82<}?U zZlOZBTQWe`;-zyTc5Oj9sC97AGr=LO%LSB>5mTIMH5)Dg+ML<7U=9VZ9UzMnBsKn^LC`s^hpI$fm9$B_!<<*{}*|+t9-gz zrc9Iye0>VAI8RFjZb|`p_($bU)ttqq0yb~W&ZE2GqQIN&5>9k&kSq7Wno0_&D`f~M z$cQOUn+sd_%mv6zt02q!bK!aJ-v%14!sPEAHuHoz6VEKro+%EuK5u(XCY}nU0;xbM zkP4&%sX!`_3fznW{L|;~mcK8%+9f(&Ez>I!l~=@OXe#iw3JB@reU;62Nw-q=0SCFR zvwtgA(58jDQ@Om=;NX`G&@?r4&gXAULLs#)We6xp*Gvt?$yY^y+_VZpkXd0^w<(o_ z%Em#{n!PEZmCLPTB^RE!9tyG{;Y8DZFm#aXI`j6U6|`yLg7fy1aZj1IrFm^FYeQqu zrnUbRju6|vg62^0dfB{f_ofiX*9*1NQh`(;6-WhcSb^ou|2Q4U#8Pw`H*eIljCbL; zw3)rVWnY;)6}Y+rdB44gA1*w z%fZIp&PR2@wQC5o*=>sVip*2tUflQ_z=tya?DQ=A zJd60AYdXvL%gAqNQ+s=HU%UnnWx2k6dO2pkCZ6VUj*D|HPo)B>Kq`<5qynixDsWr{ za(#P=Yf~;k5^=nj^{vq0;HE^R#pe7V*YYnWCARLOxUso^TM%j8cjCFLlY)$x;^b>C zKr#D)_Q3`!tu8dxG$v!aYaxDhv8T$huVKAVuh_qpCcA++)OrdBH7y4%Qv>Jh-f4-rlmY%$*9P0;xbMFrdKlkF5dEE4j$TJUWeg<~m_nqE4&5M?AB) zUhc$Gfp?`q-fu5*IcMIJ-pl<~P#U4)-KOe-Ytz^p8RS}~xWZR=QQX)@Wdt{kEHS%* zLb#t6q-A2@lzp&b#E{2zxdkgBU9(Wd$yY^yVs?Ve3d76x!3Y(5bdtm_#A3qGuC%(~ z+OS9loV_(C%VaXIP_^oG@gGEE0 zwk>A2I3aeer*N<);d$3`-afg4UB%2naB?+QkobC`c3LWs3Zw$5Kq`<5qyo3EKz`YMC-oDv%1K0;#}_C?M21xG6Ez(jZsv-!|10P*=(jP>>N*oc!G;0dmtS2tj6r zVcjoO4k{Z5Jrf+-Nn#gbF<}@a!L@6HTsd!>N(!heWe6z9h$&8Wrfrf4(B{l;dYVJQ zYX=BtM@(>PCy8B%O-lt*fm9$BNCi@XRG_JVg8HkS-4dor1o+!Hm*8)w{AY7aFx1sTe2ngbvNY#vd;rhHr`SNeC^zft$gH5R&Y+i$eFK>vNy zcu5qT{Hw5p$3Oo0uJclL3)YzM5pw@aYizO(EV)x!q@~@WAe=lJ67w z(;oV*4Zqssvpw$k3Jbp2qq=aiZ5BVsMLKMkWM9Yr%o_AS(Hehz(!z$z0&8y@;B$+z zVt+(B?YY*1&*>^b^yJj5E7mxotmoCP_okW+S3{09$e0?_q~?j9oSMC@Ng8!T#IHKP z+(lo-anWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx-nz*zoy=p9OBOPJoy4oMu5He)n=@0734tkmU7Zkfm9$BNCi@XySw~kYkM5(~nr@*q#{`wlvj&D~1ssBE_ z-5#>?uTKG+w`S+Dz4K9B@bB6nSMGx~l@w4{$`DYH5mTIQeIHC{!WBqCHtlhuYlB=l zZ<|UAs4Ha%D9DH@PMZr`e;Xq}ZdwId)|G|l>n{S7gUZH1&jg2dlGugVv{WD!NCi@X zR3H^d1yX@jAQiZ_0{Q#0t0{iETBcVdDzAvm&{W`U6%f)7Zc213Wj|$*>pJ^jVg=Pb znq6fE;^3DI&@?r4&gXBfP$BiM;0yfsE>lC{_EixeH?4vYWL6knxDVFsO(FIxThrlz z2}8Tm>Vj+2elT>9>pJuHqZJhEV4&E;FBza|pA4NcZ;MGNq;{nY0R`z=`%mEr@oriL zdHuX?_g0e#+1xq+(o%s`AQeajZd8Hg&Hp&n%fwQ28aHo{vut^*pGDv0o!MJAc{-#5 z?@58Y-(KWu&b%k({noieY*rk~X1eN zxZgppWkx9(; z>xQawP}w->nc&b)61yzY+{GSh>|Y8Z&yYQ%AmdXwYu9evxx7xrn8K{jQoZ+wYL}d z#cS|TwyZ{`)Fe|_jkL@nhIi&`VxaCa;FB(?Kq`<5qynixDv$~sM}b`5UgBDmOOQky z?_zyx^Gs-vX5!tZ>Vj+62Dz4hF)4g?7sZWjRMst~&2FgR;im=ZS?=H7DH_!)*b@v~ z7+lR2q;k0~cwoZddML<-gcD6;G7fTGXWohxv}s}U>NF4szhr=>siAY`tw|`PcBKpf z1?ifpp*Z=f2#}jrK?pJ{47|7Q+Xrb{vo|HwuWU`%B!4N$=f)3ZA17AxX%=^3;>*Y>76?j() zAl=<1*H*cvqQAs;26@9&5A*;Ws0js^mabt$_Q>6q4Bv91lq-z$cIQgmwP|Ute`hwTGF{K<-HV#@=3=|yNNn#gbNf&$Q z;_=0W6J2{oLB^%<)vn#x{QPY}q;;O~+|@}zMoe*XH9rYZ%ubM5VOZ-?4k{Z5Jrf+- zNn#gb&*{!ja!3VIfm9$BNCi@XR3H^d1yX@jAQeajQh`)pTmiv#a8qK4b&%^i`?pkF z_h@#N87O${0Jdd^Y|8#EnS~*&%LSB>5mTIeRRkzzKgvFs?ZFLHT3u+WYwfOu_|?Up zD#yNt>jq)tHzEXgEoQe+A$+Z;a8T27(6gMkPp)83FmPdTHCK@MdJW%Bph-&wQh`(; z6-WhAfmGnI3gq`dUG37Gu9hhir2?ryDv%1?hyp^LgPRgVEe&$z{%uoD0d=Je0R`LeiLIN~3F8x((tz(6I|Zy%&(e_81Thi(f` zTw0`H(*6=%^Y9C%j-Khy<`ETqYRRz%k>SzhdBWDq-|1~lqVV`#K4cJn#AR$kA0ir~ z{yxlgrGJ9_e6dG$;bhw^evpfF z*e=Pwj{TW6=z*d&{`jPY4VMMh-ZsGJ7G=f$h;-U>tp%UcRf6crsaIF5aYR|qt6lF+ zH5;ym9BYsWGM6b$+>vzKY|b!E#ZyEtzf)FL=bgWR@-W%vtnZ z-kH61lV8YzoBtb{zG3c{r_PCY*6w`q+G~pH(iQt;9)n!6kgW?B<=BrEAYP~8Y6l0` zcw79*5Cz?_hd{x-Yu`UvdnpmSB!#n^E;-ROTiqxQK350~-3DxRv#n;6L=6qnt}Wo< zUJM24TD!M3iNdp~tX}(WhIB{;4yZsmU`<(4Dv%1K0`FG=p|yCqvRPsbsW8a3>^vx( znrnBr8c*>DNa z=FF}Ib0~Q20O9P22~O=Ku?w-7FbtC5+O!NT zUXzKZ0;xbMkP4&%sX!`_3Zw$5z$F#P-u9+H&ldp;Z#q0!`6^3=2 zQaPw>95l_y1&4N$*o9b37zRmjZQ2ip4su;*-hQ-#s;0Zj3>16#B?C0=lc7`QZLvay z)VqR7hq-{Bq2AE`Q#e9+%>Md$Ta0`lN$f&_X{kUekP4&%H>$w$=6{^(Wnw8hjhi>> zS+=~@&!X@0&g`w5JRMSj_oP7HZ!dB+XWo#(1adyzvuPMsU|+b_*54-I4*iHf0}-till1 z@lUeVr#fk2MDE znd0Ei+misr><5~+6nZh^ZvY?4__Ncq?DH(*dnV2-<1Zt>p-t`W#eL=a_QldY3M`MF zU;OFJ*Tg`vQ+pf8pDw9DDv%1K0;xbMkP19cfn47{PcPrQ_@^&(_Fb%RZJr5o&`iAB zR9$fG+922XK3Jo&ZZSBxJ3i-aB?AQyw`72><^JuRB2v}3tC%?mPOjz(Qn_50ySswZ zvq;VXt%1qtW>cbe1=%?tD29C5u#8_(xHU}--K8yrEN>0MbGKxGrm3NG=B?Euqz>zH z0VSksriS9=t0F)#J3(fJ0Ri{zgEY;^1&4N$*oD}O8=o6Llzp67&8J!1g=;#?>~rI9 zX;XW9%f>QyDv%1K0;#}&0?R+P20WkPBG2%B>iU}4r(92u_34R=9nV}REK5|s)_E<} zd^3BiN%Bc5@HHqf<$n7b;p8>JomlPQFNbH|lbZM2mzO*od8yunjF82b)^ge1sO5L zX>(!g4!HoiX%*xU`(PAucP9+(N~;U5T^r=edD~P{KwT+AKtV=Kak}YwyS#oznoXZn z;1~)>z3(5Ro~$Aj7*Rk*oDSe~D|H?~s@ImFuO+x*{JB_u=m8nJ*veSUBPze;X8kh`>N4)-M^PWq(=e1&3}6Ph480VAB2)UGwk@rjDNJ z&*l*od}_(D29e>><$1!^%irm3O``DlT|Q(Ge#B*LLLVX;qy9e3b)|oo{Tp?^Tw|dd zxBW(&3-sSNjh95h$-fFqc>Lp^?>aA4w_uG4A0hX@wC1MZ8M8AR%nHMd-W1}^l&d=( zYdSozd#dF7MEjwtJSwd=j9X2aExV+}H<#x$vUq9>?ULePtDCdquHXV{<^w~w0bAV!Yt#R+q}pajN^Dwh-P!PvKgU!c6-WhAfm9$B zNCjdA1SnpvY!*^6%Ohlv>q`5#R@kP6%?jB-p>nrmfUd<$=R&N%TI;f!gWwd_Kq`<597+K>R5kCq7=72>Wpk-OD$rFR ze_z&>=u!@+OA#ecDv%0z3J53LJ0H~r*QT+bGRSqEeK4_t{2d=Y&uJzMZpi>mQ$y!` z{?_UdQipZ9fD+O*Q$um`RS}?=oglNquzny`IjC$LG|k8bhjxk_{mdzyxd81P^Uq~{mr!tEe-kGn7fx63p&yb7YMKfIDVvk^BZn)n; zu4P6k8RMNQHh%K^&B z!ypN+T^r;YpSK_4S5&tc9IB?f$_x}dcEGw%3fQzM`?q8ihOjOdP(nsbadK5T2~f;_ zpm|H77c>3_@S%)9J3Y%j&mz8O;>6e1g!+}O z>6+v(1^L|gq3q+tYCg^4E=-(RW}h2>OPku;TQ-)tQ-M?<6-WgJ6j&Cm1D?-tk!Scm zb$w0jQ?94S`t-!bj%ThDmL;lR>%5j~zL~w%B>5y2_!<iMO)3stAf>&6V3n(F7vrxs!S4Dtg_GQu+yw;5=<)E^0(6VBn;LuJIyAVsd*h3eO zFD{(u+A|6=E`_gl?Z)QkZwn%=^MvQFP6{$&ij%APNq}N@g3JoTT90y2**NH#;LuJI zyAXR$cYbo@L5^J9`>IXfS3B8FDv%1K0;xbMkP4&%sX!`_3Zw$5z&r&6>cLHkp(+Ns z#`kaY?RV(;8RPE zHHZw4F3%ITUj9yRYZ8UW@A4so@FOl`6Z#O*81?sIt}Fe!?BA&S=Y_z1cGr8PGN&zPOrU{)Ar^rjGRrd<6OUhR5ss@ZTg=e4VH_tZOL?dc)=s?C9`a~XU?MU^3Lq7oBTo!-2C6r^bK>rJatYywEG`r zY_BP*3$9H&89K-%3(>l8QI5S>xf38>r{QV`2iMfXelkQsckCfhaPQjp&841Ouy#pt zu+`1kaaV8wHS>X?+kmZZg0<=YSW<1XBPBMix9)6s$e&{>aBB*bTPry0O$AbcRN$r+ z5UP)tE1QMXP@{ufSK7a|k~b}ER>%elJ-HdN~;U5T^r=edD~P{KwT+AKtV=Kaq?$}0J&)u#`exfejj>4q;=nk=ds41JyRT9%~gP6_T^?>@LKCp4k{Z5 zJrf+-Nn)3~yEFDM4w8_sYlB?l^Y%mhis}}FL)COwnSp}G4jA4joU(sQNx~4;ir_e`8w#$QH$L%UROmz5~jx0jd_bK{4yj}xo;G>f}1ab}r)Zu~86YHx4ZSmsUzQh`(;6&O%pS+ov# zUdcr!=Fw@~GuH{r5_MYbJ>r?Y^>Qbk3cM=?@_u`f%Q^F&^j_|_g3<`J*&*6*a13e5 zX2l@aGR4&*dOII+Wdt{k(0E_PKq1^M8K7xmsIOC8Ffm;#<{@~6b-92N(lrZJoP1RT zC}v+KeZgzpm{JZZ8wV{b1_}=CB(V#zq>DXt@%ZAxiLO1PAmdW_YS(UTe*U%~(mGFg z?&_oMIiv!qKq`<5qynixDzH=F z|8{5cL@JO9qynixDv%1K0*eB|&%sTJC8Yghkn1}8x0G7MnBr7t+9rtrZO-hbr#Te7c7Skp z!~~~ylGugV_4RXpor4ewfmGn86;P`8S3A2UOt;bB#<^r6+a);z zkCs0l?w+3cvbb6};vat-6n}`oKqc01AEae}S?L9bZVOLbTBKmo{t{jD@C&Anp6So# z5fyxD$*~5J;nC%J!q&^*>1|D-@c3OmWDtJDWo$wpA{wLqKFoEcf0z9mb-!F=p&PgT zMw<)t-#3kyM8V0w3QKtW3ELaQzhRg@~1uYTN{40$7g%o@f8+)u}5{`WZNu$kc)KKF3G-*{h2lBfuc43_@spm zmj%||Ho)f=WySu8blP*R1)tMZg6PSqS68fYL|MF~gWpN(!heWe6z9h$&9~><}O~t%4kA-cn9lDv%1K0;xbMaCevgFY;=$;B>W2nJ5)V z1yX@j;P48_;VaAnQ-Qq#az1q)+dCiCx)Bx+_Vak`?si&mI|Z-sX!`_3Zw$Z zR)DokS}KqVTwHkmpl@RNxW{2qf*DkLrSJ)7VcLvnjt`&b zG!q85WPqlrp>sZeYxM}J!@68R3F(@tp*Z=f2vE#UkXd0^KM<=NR5lKpX5@lHJ4x(9 zEG7(tB)B&12SW$Bt}|~xT0vFQU1bJ}J^YdZn)b=iDf6~ip+f3i!58?wL;FwR#A%g+ zUO#W!z11W_wy=G`v{WD!NCi@X8&qI<^FL1IGO-k$#?2e#EL+~{XVG_gFW%eo50!4> zbVvo>n*w>iy~q{4_&w=SRqyV8`>3}UKRw(%d*%yCUMy25uypWO!82bI1BG3zHz66# zaEXgOf{nT1eh0ah8Kq>5cdE`CKXGLQcP(bOP$Aqc8K7%Z_QA+13}Ia^poEN=;^eC$ zKr#Drvo3hOaR1gKh46Ng*o9b37zRmj?b;yM_`Lm)^A^$9dBXEpW6+){4(_}?2~f;_ zpm|H77c>3_@S%)9J3Y%j&mz8O;>;I{ zNYk3VDWQI4Yq}=+OF=$2ekl7mv6@e_xC;|!mf7dV-_oY`_Lhxh?o=QZNCi@X0R@&t z>wxE#Tx4P%oyI+LovpbGw(_7<$fzDjZm8%qWuQP zkd|y#400_~TrHxv^AT4@aMK8l_f-rO!rhVqnkI(&I>iMO)3stAf>&6V3n(F7vrxs! zS4Dtg_GQu+yw;5=<)E^0(6VBn;LuJIyAVsd*h3eOFD{(u+A|6=E`_gl?Z)QkZwn%= z^MvQFP6{$&ij%APNq}N@g3JoTT90y2**NH#;LuJIyAXR$cYcyXDv%1K0;xbMkP4&% zsX!`_3Zw$5Kq`<5qypm#2(E*h5<{$mT-VvZrQ*6rv#ZQN!D9!oEjwgW_HW573}Ia^ zpoEN=;^eC$Kr#DK_Q7lqZlKcYLQ`F9cP+%PF7{M8_BC8L2phiQDC|6-%7bzXDW~iqyjgsfKt7`+Sx5(x{dxe&Ls=kF3A~qwEX#S z_w>w{#nr+Q|M=UW_(KE+DzSe1AT9gLN-sEcTX^EqA_bH7m*|>@Uods_On)|ysNhpe zjx~r3k1o#>wqE{DZ)*~T$M5nXgYY9RV-xxi(HQmjVXiCvyX@bn`{f!7-MH;H+FYRj zzG=K93Qqo2Si<8U|9sbZsk#MgO!x@7|D`oI1<#nB*Aj6q;Pgk$8+qS zD)~NQ+h*~DT%^NxN%nQ@&#XZY6s_^cCoOEaEU@;r z0Y0}VEA~gE)1GTB_?)g1L{Cn=x?+tZ%6eYydT*-Pa5dyugN&&$O=_O#$*I}fnxs)j zMEt7r%U$$U92X6ii?VIWbbENgBkm=$Y`JI7qVMv~?5&&pLJr*g-_Z08bH6-wPQ0^r z=Zn`~Q&g9(*eCNCj=)iP$A6 zoZWQEiKf}=Mse`DLSX1NV5^&LHJc=AXpnYo0T1_LC`i}Zy{$v;P!4Jx9P~_Z29WxlT>=AYeI29a%;y@O%Y?ka%BZvt80pJ_lVg+qls5_PHvY82k zTQWe?)X+JfzqNXV)L~sNpoDbI)KHv!RRkzzC&;WYtlN~zL1p8hX+|zMw3Eax#A3oQ zNP=tAelT>9>pJuHqZL#&-Bo6w*uyUwplP2BoicBW6)L3O6-+wJ1@sK{hW4Mr5yE5k z*U#HxxE_h(V;Cd*?hJ+JM zV}=cKU1#2k6|`w#^BOP^2ft*1rm3NG=B-I6q;{nY0R`!rsi8RestAyqRzV0dD-681 z?%M}xTC+DL)URw!*Cc-_$mhlnWgjP2^Jx}$VdBg(``q|j+SK0Ova!sa3Zw$5Kq@ex zz_Mr^@Vt_XOw6OxxM!{tmL=-6+Iz$^d+X&+JQa9X3grFvBA0XKJ?XvNZv~|hYO_PM z-{2V1lFf=iu4Rg=Mf7$);>rka8lmyNih)A7TQWe?#86+SxL{(sR?I{23hQzKC8TQ> zsyO+o2vE$vO!|V?x-q33R5lJ;Rtyvz+DT#;Vo4W!=;HCkg%e$SMnT4<@YSx}*!=u$ zL8Nt_@Z8l&K}Jk*ay35*P|Qw{Sz%b~Q4T5_2R#!U+DT#;V$bQ$PjW~FQh`(;6-WhA zfm9$BNCi@XR3H^d1yX@jU|a#gb#PN+h;@+bI{UX&T=!^pl^G~_>;Sf9hiuCJEt!QO ztjh(IkP%ayd{qP}WQ7gIu|P+f-9PT`5CAK}Jk*@^_mA$W5yt1eq0vb-z$K zsB9ecOmJu?iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0A^wn-vDn=`xVX$}Ri9Uz_x&3wH_J=~Qh`+9wiQsy_g6c+B}})|-^RIQA=@Q6 z1CN$JAMT!>`LeiLIN~3F8x((tz(6I|Zy%&(e_81Thi(f`Tw0`H(*6=%^Y9C%j-Khy z<`ETqYRRz%k>SzhdBWDq-|1~lqVV`#K4cJn#AR$kA0ir~{yxlgrGJ9_e6dG$;bhw^evpfF*e=Pwj{TW6=z*d&{`jPY z4VMMh-ZsGJ7G=f$h;-U>tp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGM6b$+>vzKY|b!E#ZyEtzf)FL=bgWR@-W%vtnZ-kH61lV8YzoBtb{zG3c{ zr_PCY*3K^8|JPYln9IYmgP%=18QNZ-DDl=r77yCnm3EnYeoVs`}#XGctM3hQzKC1k`DCtno-irEP= zD-1JwQ;7Y_)^vDa!qBd?y5QQiL9U#)O(g}?l`;erWW*FFe|89vn^r*%G;b*T(X~4TD^{ z57rd2YQEpjwzyd1Cg}nyKW}{>OlTt3VbdP2T^r=edD~P{KwT+AKtV=KaoSwi`r;5E zH?4x?{w*q`r2?ryDv%1K0;#~U6<{rsmI|Z-7gr#EUv_axBf?Vz9O-;HQZZReD)1}? z1n>6FM|HuqY3!#Aa$RR1OspV($A`~znhAqjGCL3tlhWzqLpqyqzR=S;C)1 zLcXp&qafo__?|Z^H!{&O$(dX zfPpypB?B}~4V^P@O+q2HD`f~MNY_ja#mQGifZVhSLXcTu;JtO4B5t%tNl|9|F5k%*7)gSRk#9!If z$(-KlS=@z*Gt2CAhFqIJOYLM}2fk51#BxlUM?sMBii z5zp+cmpk!P;7uuz_uE4*=gfQ3Te;r~N+Z-}hiJdSF{CA%6@y&M6jzJr?R>biIfToF|zD{w$#B{Bghu{^~LO_n0=Y_1+R5uN;#-(9JH($ zC^)o}#4f~=F80vH>pbDPtCNC^nBwGWeiERVoglNq zu-2m-R5lKJCOEW{#4hIYxhKb+o*a<@sX!`_3Zw#WTmhj!cf&n5Kxd2Q=B#zmWxq1D-3R01-X{}TPsDVr(J1v!L@6HTsd!>N(!heWe6z9h$&7t zJ#UxS&q%ZBlL}mi0#fh$*HKSakqV3`AR|uclL}m?0!mr_YG?P+iEanKjC09Cwo7sb z9xUzN-90|@`9mEGNBrYYgW?ar7^uYh?Sr)J4=cUk&~4$7ON$gt+Fzn;9)7{p(KG$g zJfeb6EjiX8GCa6EkJx(oJH4$*6du3J`wYU5xQtEceMDo_Ux&Fa^#5glN8K;iSm?%W zztQFb{qt4hB~ftlufh@@|M=^h&P&xTSYyHm$j6^rb5rn)*_jPyg<(c-3h`#j)t!zt z9Uj<6s^t4bez%8yZNty@_+*bezQTep_NXqLY@5Xoa*+<(CE3@pKeGniQ?$nKAGNUI zvcTHg2KdyXtk`dnPJ6Dk;B&f45Is5d>WVdvDC>E(>%FOF!_|;u4Kk+2G^u%_C#Pm_ zYm!DC5%G)8FL%)waU2>fhq7(SbbEN<5%-c=w%jvk(RX=g_SQ{)kOMdWS2TUa+|N&) z6A$gaQpN{s3Tgc18eE%pGV}pg_pjiX`?_#Zdmh9J5U8o_1uEBONxW7ZqAOof(xjb4-DM~Y<1JnT-)qOiB0QmU^d)WkYg&43Zw$5Kq`<5 zqymuw0uV1(HVdhUV{?9x>q7gtR@$b8%?jB-p?0@qfUd<$=R&N%TI;f!gWwd_AQeajQh`+9?k@jb0+5OQ7VuMqynixDv%1K0@D-_nAb)^ge1sO5L>DKqbgeF{p6lBvLC%QJsmGic#q=33ohJb>MnBug#u=Tew z0_3JuklepTg|t*46-WhAfm9$BNCi@XRNxC#Ab($Wv5S7XSf)&r3Ve|Y2wk^#KB^0@ zO=CZ0kn1x0U}6RNJ3f4#(@YrLk^!2ghR*r?t<@u>4(oCOC8TSnhT`O_B0w=aL1u+v z{Xnd8P}w+Wnvn|*?If`av6wInlHl63?+hK}y3D+NZv|CNca<3^_V7yvXxaxur_9@8 zg$k*61t0Lw65D?YCr+yr^zwPz?yV*fvW4vfrlkU@Kq`<5+@J!>oB#DxE)z@9Y23U) z&a&mLeinU~cV=(hk_{mdzyxd8Jb`Uq~{mr!tEe-kGn7 zfx63p&yb7YMKfIDVvk^BZn)n;u4P6k8RMO*^TtnH8NpqP*)3EEcS{E7+LV1TvI;|3 zmkTH%Bc?d{st8cbzTB(}UeDaWwMZenog{W4788a+5?s4B$TdE1-{rhT^mU%_Jk}Vr zXNrS6Z%+agvtQA?rO?BSzX5zz#-E*@WuImde`Mm!GX661E85iFp50fjZ=WsQgTV6O z`QcAzz9t5Wo!Z+#{&YzNQh`(;6-WhAfmGmW3gr6sX?pqI#Xo(_**CGiwRtAUK{N4g zQ+2_$YlB?l`(TaAy2aq&?)aRyl?)U-+>!yhmixDNibz%Cu43jOIJufDNab=}?(Pas zPa-)7v<4=ln@x$@6=dgppcwLb!!mwF;np-UbeFaevb;42&)t#%nx=-%nYUJtkUFf( z1(cAknHq|duZjT0>;#z=1_a!<57IOv7aZD2Vi#f$H$FFhRrY>jH6Lbi7q00nv(Jsc zrcLebH5<#^sX!`_3Zw!93M~J#HQ;$67nztxr*Y3*CoD_UX|?x=XZF_1op>tnrWDBg z?ID+Q<~`}H+;0V?5h~tosxG)TjlGdUu4RfVeEy!yy3x|8jNqn`C1y8J2zN^cXqp(h z-w1NK6rx%O2Q4cG3Jxw;2q;L`j8k!{)ohXo(B{l~6%>RZv%;_ggtI*><{&t=lf*8> zQb+8ei^pddPIT=F1sRvZ=WDnMlb^pWh_uSV^H^iho+%El<|hG)*$FZ$3~N2gL1p8h zXM#gJN$hf-u}dBBq@@D4p@7^*nOS8jkP4&%Z$p7xDZGvAm|dg-sX!`_3Zw#46cAVk zHzkG&805Ol{w)>PJ(^u*1_~ZKfNj|!o3ejPW?=~Hasee|#1toA6#(%zb z?sE$@v@5MHxOQ!jE9Y%fNda}G3;_ihF~#Y2=WU_6lBB{r_F`sVie8_ zgPT@Cu4Vt$N)hU5S6W?g?b;w$&fBJv0_sW`0tzx>iqlQc+vW8$(ro&q0@tB{+WVdvDC>E(>%FOF!_|;u4Kk+2G^u%_C#Pm_Ym!DC5%G)8FL%)waU2>f zhq7(SbbEN<5%-c=w%jvk(RX=g_SQ{)kOMdWS2TUa+|N&)6Ys3u`QrVntyzRaUJbi7 zg@O#pby^(7es;LdF6Ol^T-2TiYa0P_D+6enTG$Wfp?J}P@MPt(r^@jTD4gxux6P&A znxw$9>9R{~s~g2Btjh<6ZUeTu*;cdRYNTCTps;DZtw|bnkUuRINCi@XR3H^d1yX@n z0Rf7aE1Shn%<=#k;#z=h8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0s~}f2 zZz(4&6-WhAfm9$BxVy`L7kRN+aJpEgOq2?w0;xbMkP4&%slYS^1m^b6M|HuqYlB?5 z57tytKwT+AKtV=Kak}+=Frf)oAO+d9$BC{Da^<{jDk-3@lp&xXBc?cQE^Pg6i~zZ5 z6(sj>Q6ViANCi@XR3H^d1yX@jAQkum70BP0UF@QtE|w`1r2=210z%jAosa5*Ytz_I z8RWXmKA2cR{*Diy=QI-rw`72(siAW|e{1y!sl&QlKndxZsi8Rest8cbPLNq)SU(V} z98@+Anr7sJLpw?ALM$c>gCw{%?K?vUxh^wr-&;Xd(_Li-iaq?20h;!~&?)n_SfN7d zUBRToTtLrIZ)pE193ebrfBC#EMm~@vcA>zuR3H^d1yX?XrN zw!GEPqVMt^-rMp&D&55CkP5st1@eA-$Q3>Op7fxqH+R2%(A%@0Ufn%=<_k%lEmJ43 zbnq9!GhY(}g&o$Lkc?)y#Kj)L#@ukfgIvpuQZmLnRp*VLxH5vf7PDKZ5bl-?(6uT1 zU}P1Bur3!+LPkt+@>LO_n0>if7rdUie`}FKcsoh#LM$c>gCw|iZIEkx-oDFui|Fe- z;d!hvXwMV}cix@^C}zK+c}t;(8Gi%#s*FE7J<^JuRB2v}3tC%?mPOjz(Qn_3gJj}il zM%P0@HYA*A8k2F5>oW6Jte{N`n^&iSIQS(4G))biGjB~oA+;-I2q;L`Obx}!S4Du_ zvBWn)0(|0p?+m+x`mv~#~Gg+zbbn_v6>IFxC;|!mf7dVU(=@c_L_}l z?o=QZNCi@X0R@&t>wxElTx4P%oyI+Lov-Oc4PDNw*`^bdBSs7Cj}WX#mUwDBtS7cL1u+vtw%YiY#j7VaA+rqU5GuWJ3q-G z6-WhAfm9$BNCi@XR3H^d1yX@jAQeajQh{*=1lPe$i6PcOuFLG-QgPj**;Qts;IRXS zKP5M1|CW-3A*{;qCp&{WsjT?_H6i#=72eGS(Q!p3hz z2<}?UZlOZ>T2JAirsbe#Id30b!Jc5?!r*GIAo2AYzMVjmmI|Z-sX!`_3Zw$5z||^{ z-vf2AOLMwdrc9IyqynixDsUqT2z3r_N({9$$d&uIO*IA7l`;erWW*FFf4511+_VZp zkXd0^_Y0MS%Em#@1c!E#*o9b37zRmj?b;w$&fBJv0_sW`0tzx>ic_6wn8LI z=aPkNm*fmQSpK}bdwk~e;%ecDfBb1s{2>AZl~})hke2;nr57B!Ej)5*k%CG4OLWb{ zFPJ)draziTRPd=K#~MV22bbp&TQ7g7w>62v<9B(VLHH4uu?fA8XpH*nFxQ3tzwGa* z`{f!7-MH;H+FYQ2zG}QA3Qqo2Si<8Ue|^(=sk#MgO!xr#_)}|c3Z5}Lv%#z|%;-%a z-b^{BN#X38j_24%s^t4bez%8yZNty@_+*bezQTep_NXqLY@5Xoa*+<(CE3@pKeGni zQ?$nKAGNUIvcTHg2KdyXtk`dnPJ6Dk;B&f45Is5d>WVdvDC>E(>%FOF!_|;u4Kk+2 zG^u%_C#Pm_Ym!DC5%G)8FL%)waU2>fhq7(SbbEN<5%-c=w%jvk(RX=g_SQ{)kOMdW zS2TUa+|N&)6Ys3u`Qo+L6xF3G_Q^a3xnv<*7cR=NA1gq-PQ%p>4zBUG_=6z|x?>N4 zf_vA#eYEycB6dj%XE$APqG`6eQ5<}(5E!}**y?6m%_fN&8l+uYz{9;53evT9Z)*~T zXH!|d_T3EWkP2L(0_6&8%92unR3H_2y9x-c#mkk=5@Se(L9S)zLE+pasq2_^sk2|z z)*ynr7PDKZ5bl-?(6xB!T!>v;P!4Jx9P~_Z2!nRsS__DpfO^?BQCGVxR(6-WhA zfm9$BNCi@XR3H^NQi1$^*^z2CxlT>=O2Bd@bz~W-z|$2FLgIau&2~wboqfPTuFLG- ziWRhJq3%?&%Vs7FZpi>mQ$y!`{?_UdQipZ9fD+O*Q$um`RS}?=oglNqux?W-2bGP3 zrWv{5&`uJ&5Q_=JAPKHb`_9louFK5Z_f}BVbXS>yVh_J$fTn#gbjrLfR;ZAAS1{=? z7tk}*8`^&gM+lGEUp{Y(kq;z^T_`Xu6-WhAfmGl|6L=8bxmEpPR+ z=)1f#d+R1ohg9G#DUkQuL$2n`ds5zSolCTAE;-06otpVVl36{KS;X+pd`%40T?TwQ zE^@}tBh7G$i#>vkx#4~Xxt1BFWQ=#J&Ko~*WdwIEX17ow+$|ZPYg6{Y$SMqBT`r)6 zjF{r&t0F)#`*O1`cs+Cf)*^-Qc9Pg-34am^`MUOmf{aVy^EF(Bd6)ARkybf)9%~HR zGsVHx{3Jjz`&GqD}4X*?n)OS%)AvVXw$;xHDDkPe#rn$Q$y#>Ta!>o?MfK}3eq)GLviv| z5g<3Mf)Hd@7O z#xi#*kP4&%slb2&%c6C_^Fl5%F^^8;p1Dp~mZ;Ne?-9@Jt(QCTRNzf1koVg|F6Yd9 z(p$OT3Q8l?W`}6M!7-#In-zmx%M@3O=aq?9WpqPD`^aZbVV@f%wY#g+#7$`Wjlf*8>k}mep#pAOJC%X28 zf{aVyt6jUX`T5&|Nb5Y|xvP_cjF{r&YJL)+n4KWA!m!q(98@+AdL}rulf*8>p3|M5 zmb)<_HU`U?$PWjGf?o@ z0c^_-*_8cTG7CdkmkTH%Bc?d{st8cbel7c8wg)#*X?3BguC==s;#U`YsvP?ot{a4n z--r<0wV2&Ph48hW!a+^TLCot5kfhH{#NCi@XR3H^d1yX^l zRUp3y>SCAXbg@jCC>2NrQh`+9Midb09Nd%`YH5%w_ivkO3aBe(2q?&iDNg=wlK{DC z6@(zO!m#caDhHK~gPsWv?If`av6wInlHl64L9U#)O(g}?l`;erWW*GwI@2~u1ZZ<+ zH$Bav;I#vUvm+)rwUfjy#ICQO^Ya{1fg4fayXC%rBjsk9sX!`_3f#5=O8Ne3XSamu zcKXXWmn>wvBxm5k^5@;%<1?QZR|`k{<4=R)4-pus#QN=nwCoQnz2MMo;gL&=6inJ* zqH7+0!PL<+{n0$4f=?|u)*v!GxIB;8diguOtw|Ifzsvg!!jHI&P3V0@W7J=Vxi0ko zWq(KAFV|S;#%;gR<^uimRpTX5aPqIh5+48f>zmF?)h$?K!UxF5pIUQM@Qm4+4Q7R5 zMsEu7X38;53TM}JJjXs#CEq9VyFK)48-BLOCwtuS6&8H4M|I(3+bn*Ni*(p7$-a*L znKkI1qBVa1sD%xe1=ij+z^4{v#eR!)+H=*g*9SFCYFSD*lQimxh+lMmxr@GttLbzKpK-c1>b0KzDuyA(71gEer7f?b* zOmXs65ulizAhW_Sqc?@vuWU_+2PO>dN~;U5T^r=edD~P{KwT+AKtV=Kaq?$}0J&)u z;#z=hV=un%0Xr0plL=fIJA?*F2rKOFi3)H)4nrwkn1w@_PrHUHQiNa zpxDDN8K7w&44pD>ixn!Q-W5zb%mwre^@jGJ!V$t__LtAwV&nr!ViyWbO9fJaR3H_& zQ3aMa|Ldt2Z2S%2t1|xV z^ep={i})iGXO{7okzdh{>g`yGxF=kdEvu0!HOUlKBQ3Lt;XU?(Ke^KK*Y>76?jt$DXt@%Ze*iLO1NAmdW_YS(UTe*U%~(mGFg?&_o< zBc?dHnx6zHW+%w3Fs$_`2bGP3o(T@^B(V#z=XB>MIiv!qKq`<5qynixDv%1K0&hZr z{Nu83;xc9vsX!`l3knED4sJ>e^)twInf+TTu6s1Q$_x}db^zP5LpEjqmdwHs*5v|9 z$cQOUzA6F~vtP?TnC-z0R9anVs%!18h4|IQo+`(_hU*4l<2ND%cP(bOP$7J+r*Kfy za?rD!w~wx1PcU#{a5Yzu_<9ZBPM}Fk1yX@jAQeajQh`+9Y8A+>x{F0b8u5)sHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+ z5WBv9&d+m51#U%w<-UI_<=rw;LvU1kxPpdOxjNfaKx%liz%kGPCY=zT33o6bwsEm&j12gt{tT60tIjMU>&EPjxSbl5J*zK;EwHRztAHGco7g$?h8$~dk7TVyY}s)wU-jHOHw$y z>5>yov(=5_;B$q*&~3n0H`{79Nz~9F?b-q!?!{1$uC;qxlPEl!%IdZ6W=Mxr;0hHe zS6EY)lnSH*sleM+Kxi#qu56YVLn;h%Ejtei=O#&A$E-`8{i?PG5!|(y-9m+Mw`72> z#Y^Wx?An5IQ0w5JXM#gmmkTH%Bc?djYBpQ~v^leD!5j)+J3u%)VuDjUN$f%_CJcil zxOQ!jE9Y%fNda}G3;_ihF~#ZD=j}+N>5~ei0;xbM@Fgga|1R=kSNU|YOqnPZNCi@X zRN(3rkgKmS3rq#}3ds4?d2}~i6nwK?!ila8a^*f)Q%M1Jr3?WD88O9ab7AYAxd6Fo z6@(zO!jSv7frhIv`Fn@WJYmknGYhn5io>nX+g_82rvj-!Dv%1K0;xbMkP4&%slbs6 zZ!~khIi&`VxaCa;L~xDGkzXvhD%)R5p2v2 z_dCe7%qS&eyi;}F_=zhcxN9-Hg$m(r$pBrOvJXa9VF>GT0VQO_6enL50gBm|n{~nK znftdEDTKF^#4bztlSs(dwI>v0TneAB;VR6#oVSRy%E9wkW6+){4zA`W0gBnLV%}2X z!N%VJzAEF-PS3JWvxq-3ab_8R8Tl1$YH!c(i+jRV*|Hj$Qj<(!HPSMR7~Yw$iGjMy zfKR%l0;xbMkP4&%sX!`lJqqOd_K0gyjv$FR-pcw`Xt2HWQC)ED+8|f%-!_#LP*=(j zP>>N*oZNk|I{|XjD##V>g9-JtE3GcLHjT+R$aR@{D^`$yg_gGl9Q=|2nx=-%`MrZl zD5Q3!3;_k{nyH~U`Kkzzn^r*xGAj%SxNje%Y0ci0P`|P@U6cH|AfFq*DtkY%nh&$M z3lnFS+2_Vz)28aJfQ2LAqv~ic_s-lSF_vXV(2E1tG|+Fzf)~Y|n~0 z2u|%Ju?w-7FbtC5+OO5719WZ5{w-OBA*{;n5Kxd2Q=IBd+awX7&6(ZwG>3xM z4iL_cnBde-61xzamI|Z-sX!`_3Zw$5KvMw)^;bK)B}|hD@RxBe!QX6`L^%6k`Sb4X z@tMy-xHj!iL*ow-7^sB%?Sr)J4=cUk&~4$7ON$gt+Fzn;9)7{p(KG$gJfeb6EjiX8 zGCa6EkJx(oJH4$*6du3J`wYU5xQtEceMDo_Ux&Fa^#5glN8K;iSm?%WztQFb{qt4h zB~ftlufh@@|M=^h&P&xTSYyHm$j6^rb5rn)*_jPyg<(c-3h`#j?LJe52lkOF`96`~ z?V(@W@UuNW+2f9{u;7b5stYIEX7Phuq{DVe_I2#ftU>n_t?~OuEo`_fu=chAKD8(- z_FJUWo@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_q)|si{G#*A zUGzm9hX%``Y+Ewj9v*nay=0ax_sm)JUEZ0!b(0_Du)J9x#P(zweR9M#1mwg!Uxo9< zYp*G)OZT#!%wv#C7BYXG#H+HdZO*QnGi%Vo5&z(A@dtwymtAEcP;l?sx6P%VTd;OX zaj@0R*>P8J0X6f1q1%A1Zi2Nb1*<(8>_~}C>utTTN*2FMkhE0b))XkWR&dsv3Zw$5 zz)dS4R39%_HcO15MhCerw0~@lKIy6!GtCT=NhhE8|2D)+f-6OT`5CAK}Jk* zy7hTG(rEgm0;xbMkP4&%sX!`_3Zw!zp#UGUxq8drmtE`}{(KI%<2DvV?4<=U7riIORsDU{6B?B}~4W0A(Ta!>o?MfK}3eq)GLviv|5g<3M zf)Hd@7}gKODhHK~gQhimQ$j13TgOT+JaIh~WJAJkk!=6^kv z%fwQ28aHp$vy6A)*R+|vy=GsTI~BON0(rkZMDm&Uq&IQDJ-P}0AM>hg<_k$?by{W- z!#ndeF;I6I@aenQycN7?X0t=&@9*H+wLz|BMk#!}e1s=%Y@;$_x)!rrs1WX_1?icu zQ%A}kDY!6%b-92NGGdC8uZjT0>;#z=hUe{r5h)DqB(V#zm@o{I;M%o8uJL*ME}jP6 zVsP-U(DK$Gc(^44bnT7J+mq0-D~Ezy(Y&Rc!;HTHd{xGuot|Z%W)Xj6;>Jh-jH6Lbi7q00nv(Jsc zrcLebH5<#^sX!`_3Zw!93M~J#HQ;$67nztxr*Y3*CoD_UX|?x=XZF_1op>tnrWDBg z?ID+Q<~`}H+;0V?5h~tosxG)TjlGdUu4RfVe03Majcrs$aMQ>Vvl}Rc`)NU1CI(K~ z2P;Mld0dxUuoBWW3sszaRRkzzC&;WYJZ~S2P_aiRN$f%_CJgOLs|&7O8{`_FxB2(BP)T5dR?8_&(T6_HU`!-SIhZD;X$wxFrL0ZOZ;FS%o32%LSB>5mTIe zRRkzzzoLDxfl8|jO?9o^wGh9$*i+@$*RXC_GQ|si>lx)>(U7NYi`gwsh+XR`9IQ!r z-nE>!kFH=>F>?@{T+J0EzFx>KxpZ7;0&dEB9}kY6_?;We6z9h$&9~Zj%7HX%&PZv%;|M7b*vpjf0*E z4(%kd3$d6m43gm5wLz|&w@oDl)Ri&>6lBB{r#jO%Nd#zfW;Z>}q2RRxgtH?iIJJ|+ zF2ts#0;xbMkP4&%sX!{wR6s%f)y{4S(a!H`^r<&OTWFyt{jR=5r9PP5aZ( z_(KE+D&c@Uods_On)?wsNhpejx~r34=&FmwqE{D zZ)*~T$M5n!gYY9RV-tEG(HQmDVXh1Pf7#zr_scaFx^dfYw7Ee4eAReK6rB94u!P4y z{`#i#QgsW~nD7De@u$|@6g*>gW`kK_n9-X;yqR*l&s5=oeWXghPvm!d=+`#!X& zxZ^7<_+pRh!pXK-{2&+Uuw9aU9s4tD&^<+K{Qgl38!ij1y={O`Ey{}h7U{I-S_?j> zs|3-LQ?IUAQz)DaQC==^dQeG$i@!Ez|u zmQ1&Y2Oe=RnPtm8a~6G#Y^WxtiM|8vYLb76xQVeO2~*QPQEGv6tfd# zRv2dVrV#s;t?BTrlkU@Kq`<5qynixDv%1K0&hwI*49^V`TMeq zU82*)GQA*Cc|mN3rUI|4fRKK0Q=(fb`zeE5m)QpsE2!?#>?$)52ft*1rm3NGK7VV4 z3aNJmAMnq+ObvzGS4Du_vifnpE8WPql9Fm%eiEheFm+LbZ{6r^kIKZPU2yJ;2V<@2`PTTLQlbL#*|O9fJa zR3H_&Q3aMa|LdtSN^Pco3?zcxb zadp4PnE67IL*}SMQk-*#otpWY7%1|9-sHTmVPml4pdid_;mL5nI5J&|J%UZX)x{hX zuT7F&Y=e#9uEp#YDul1~6b>G56ml-KDf?h#6Na!Z7f?b*OmXs65ulizAhW`->ZBZ0 zHV%3wIJA?*E{imGv4_Y6}#^=Vb%HB_`=EE%R!Zn>`_PO!bw5h$lW@DK<6-WhAfmC2X z0al_{WiqiEoyI+Lov}tuDBBZIEkx-sb0T3!<;{gy*rwpgmI@+(#XeWtXh)qicQh`(;6-WhAfm9$BNCi@XR3H^d1yX@j;5iBiL4%tTL;QnWjMMIvpEoQekA$F~&aIhxfdDn8@KDvTk#mqr)ay3_w_*1yX@jAQiX~1%x^WHzkHz8sy6T z+oqZV>Pi^`3Nm7flfT;}KyF$EA;_#Stowz^L1p8hXM#gJN$f%_CJcilxOQ!jE9Y%f zNda}G3;_ihF~zCQv`rEL+ML-dwApD5S z*o59kG)Db(nCn9SU-oy@{c??kZrt`8Z7$G1Uo~D51t(6BBSP(r%) z@u$|@6yjrcW`kK_n9-X;yqR*l&s5=oeWXghPvm!d=+`#!X&xZ^7<_+pRh!pXK- z{2&+Uuw9aU9s4tD&^<+K{Qgl38!ij1y={O`Ey{}h7U{I-S_?j>s|3-LQ?IUAQz)DaQC==^dQeG$i@!Ez|umQ1&Y2Oe=RnPtm8 za~6G#Y^WxtiM|8vYLb76xQVeO2~*QPQEGv6tfd#Rv2dVrV#s;t?BT< zgrQw&b-}f3gIqapn@S3(D`f~M$cQOU{_GGSH?4wP(Y&Rcv{WD!NCi@XRN(F||6Sz8 zX2I!VnKDr-@Z~A6th2wo#rlkU@Kq`<5qynixDv%1K0;#~I70BP0T}<)Q#WH20RN#wLK?$)52ft*1rm3NGK7VV43aNJmAMnq+ObvzGS4Du_vifnpE8WPql9Fm%eiEheFm z+LbZ{6r^kIKZPU2yJ;2V<@2`PTTLQlbL#*|O9fJaR3H_&Q3aMa|LdtSN^PZIVTjvt7S#ec1^Mxd{IxVw^;hp)K7^u4p z_zbxSUNplcF7^mE=7#$nhZ%nZ_^OOQJ3Y%j%_9ED#F=IMW#m`1sl7eBuUy|g zTe=5<<-zmApU!+u3=})Hw}JfWk_w~(sX!`_3Zw$5z|$1S_3hL2^1X|H`k1qCWqm8i z(cbx}F1U7WkZXJ&tg*A)Ed~d7$LGAiW1!&SmJHCf+`qk3M5-Eh6*C9H$<y@m#K1kD=y(yu7Wox=7`Ex-&H-1(2equErW^orL&MdRfjlZT% z?d>%i%iO6zDv%1K0s{&x|FbpVc_9~>m`A5^&s-<4dVEcr+1qROmAO-aiz|@#+e0Lu zc~5#P_gg_}gksJWdjuOCLt3&~G03${aV2AC=OeC+;HD88vl}RcyCnlOO$^;{1i4%a zQLTf6mK6g92bU`Z6r^j$sW{bYHc13%b7tLtQV@d73d0T%&i1UBgW%Lo61xzK3Bw=> zu3a1C8lSiM`P+i%>pbCktTAZM6bE8rxG6ED!XVf9K3HRCd8i>TK_PR2N*kHprFxw@oDl)Ri&>6lBB{Cx7ozfZVhSaz*=KLOtzDs|&7O8|2D) z+f-6OT`5CAK}Jk*y7hTG(rEgm0;xbMkP4&%slXSifYP_W+VOrj-B#(BaV}Yyc1g~_ zgQeZOyT@lf|JHorh=2TPQ2gN+1C?06eUO&@VWk%wx-C3%X_10S`%84q!!MXRdZs^` zM^x~sCC3^>h6k7D5nC^Rr?)kU!sB;&pF#K$m$3=Gk7$hg>oC`a{=e++sQcv_3*ETw zH`-jFf4*wGBnnRcRanB~AAfz*d8xVuYfSh6`S??7ZVH|;JF~&8FwE#pA>K^6{im#O zG5biBe4ohg_Rz0w_}Lzx>~Y6eSn$Oj)rFI7v-m+S(qX$K`#Sb#)}VWe*7*IS7B*ZK zSbN(5pIVd^`z_LG&$SkOPFD${C#POrvBnW)J+F4XH`Q#o8gi^b#?+W5HBa>9)a-3d z(x@XMe$n~mF8U&lLxbf|wk?@%4-Y)zUNXy;d*&?qF7M3Vy2%f6Sl+A;VtX=;J~`qV z0&?P=ufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5_=CZU%dWBzD7bg+ z+vZZwEm*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zvs0Yi<#kd_Lh z0;xbMkP4&%U!np+)A4d;v&0zEXOQbc`?pr|riHp@UY1BgPj1NoU5l5_g;;;J)@3yZ z!6~fE1(c8xQ=EKN1Sn=F$gD8T=uIK^D_hgyfeAyq(&~b1*9N(A-ZqsKP*=(jP>>N* zoc!4#KyF$ExuSVXIccdtDv%1K0;#~=UH-esi_L=5#WH20RN%`~U|DB>d5vesH?2U< z+nc_8Uvlw6^X;9F>Vj+62Dx${tf{1cx>AOKf{d8rbnE+ILKCh)3bJXB6I~nR%6Z#V zQb1iPLqI`BOmW&=*!tTT0dmtS$nySNc)tE3Ksl&v9P~_ZXeWtXh)qicQh`(;6-WhA zfm9$BNCi@XODmASFT0rHr;BCEM5(|RsesV+;HE@ZAof!Rxh}I0CRR}0quEtvAP#=X z08LXv=Y0Ow3KdfC3O?YUcbOUrx37u-xoH)IAhW{o%zdzCZwj$r*_sXyOc>ggRu^2G z_MM@FT$h=*@2#L%2Lr_(e#rn$`(Wsld0R|EA+;-I2q;L`+J6d1h>m)!A&(yREaz*o&at<^8 z2JlrGe|CD7eVRr5k%=?Q_{+$zXj6N8c3-)^eYSKD0?UKvhd-V9niwc{YHtJi(E(MD|MW3u-^%({kfXixQC)ED+922XK3HRCxmyel?vBrS zf5$+bWo1rJOZTn`1=kZ_`DOvXX3%gkG`f;KH| z_JR$>!7mx0X=>=4d2131sa+{UKtZ}@YA8;=DgxxDRS<&A3d6detQ=G}4w}~NO$n`B zZXHeX=Yo80{HpBz#A-gw;x1gUS!SObe@&a(+iNzKxl@5uAQeaj1{7EptplDHa*>I7 zbQ<@}b;7blomP8~cxG?C+=-_GZ%To@-yU)~XWo&fAj!#q2Mix9|%? zJ4x(9Y+5Ri3Zw$5Kq`<5qynixDv%1K0;xbMkP4&%&rv`K8r+l^;veK1-v?{#EDsgr z?)aRyl`LGKa7za0+LZlUvI;|3mkTH%Bc?d{st8cbentCW1C>@6n(A7+YaxDhv8T$h zuVLM=jJNR#?pn-lp+fjtPvM}Z<)CLdZy#O3o?zg@;A*ZQ@%2LOv{WD!NCi@XR3H^d z1#Vw~{2r)_UBlDGGG(GvAQeajQh^&$K&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5 zn5Kxd2Q=IBd+awX7 z&6(ZwG>3xM4iL_cnBde-61xzamI|Z-sX!`_3Zw$5KvMw)^;bK)B}|hD@RxBe!QX6` zL^%6k`Sb4X@tMy-xHj!iL*ow-7^sB%?Sr)J4=cUk&~4$7ON$gt+Fzn;9)7{p(KG$g zJfeb6EjiX8GCa6EkJx(oJH4$*6du3J`wYU5xQtEceMDo_Ux&Fa^#5glN8K;iSm?%W zztQFb{qt4hB~ftlufh@@|M=^h&P&xTSYyHm$j6^rb5rn)*_jPyg<(c-3h`#j?LJe5 z2lkOF`96`~?V(@W@UuNW+2f9{u;7b5stYIEX7Phuq{DVe_I2#ftU>n_t?~OuEo`_f zu=chAKD8(-_FJUWo@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_ zq)|si{G#*AUGzm9hX%``Y+Ewj9v*nay=0ax_sm)JUEZ0!b(0_Du)J9x#P(zweR9M# z1mwg!Uxo9P8J0X6f1q1%A1Zi2Nb1*<(8>_~}C>utTTN*2FM1BM!fAuScS z76r<+6q0qM0;xbM@I@#fbQv#KHcN~lK?b=lw0~*kAOKf{d8rw7IbLw=n|brd5z-U0Ha({vtp*sB9ecOmJu?iCu_I zO9fJaR3H^d1yX@jAQeajQh`e=kiRdxnBu34Wy(aUz!#~2(DmS^L{}j8QwF&%vkxX# zP~D^1Rc0U#e#rn$Q$y!`{?-Z=Qtt{r;GcJy8Va|siU7H36@(zO!tl&}ux4)xv0vGm z4i8Ki+LcxpT$}ctp@UqPnYZt)pjZb3#U6gi08RT~=#+U|OhO^GD`f~MNY~nb3P*@{ z(<;cz=WV;UnncLv)&Y=~3Zw$5Kq_#f3M_B_*HgVrEJder^9DJ~mbdy@^j+SWy>*kP zLn`o=6v+GSAy;$eJt^=A6t z4fi|9wah3bW4u#!-mr`-Be-iZyM+qjZpi>$o3al^R$&P1asee|#1toA6#6-WhAfm9$BNCi@Xrzw!@+o$Q}dl&!oF=yY(`c{ymz4K9BaP8V4*Z4kI zV`sTr3=Zy&&v}2xK*7T;8K7&qe|x8hR5k7@W)6artGR+yF4yJmuHf{8FuEQJvLWF_ z)0m8dT$h=*Vg+ql*z5%xh=X4;K-1LFIrG*e6jHlVhJb=}&D2nwd{qR9ZWY^ar0Czbbn_v6>IFxC;|!mf7dVU(=@c_L_}l?o=QZ zNCi@X0R@g-bqplzxk%691-QN-wjDZiovq6Rt$12Q(Vc|+4+boBe-dV#_R?P;cm$QO%p@+8$m9YLR9PE zpk>8C!NKJU0R`!raVk!=noSY`+MHSUpA>{3v%;_ggtI*><{&t=lf*8>V!|*;f@{|X zxyI*he*U%~`Z`Z|9%~HRGsVH3wlGtSlhaxQ%xFH4PhHA}HQ-M?< z6-WhAfm9$BNCi@XR3H_2y9x-c4Q@&dsW8Yjz7N*eSsp6L-SIhZD_OWe;g$^0wJH0z zWEF<6E*DTjMoe+?RS}?={VMjsD6u7w0&m*mMAzC~3-POqJyni3Np`Pi^`3Nm7f)2+|jkw()e6}TP+YJg1!UMW9aDj;Q$VT6U+s9mn{L{dJh@LjPa( zchvoIjfHO9_8V<3&_7=_UJ?Z-|0*ou@sGd0>AY0kf;A?5fPDO^H8%y%n4Q^RRv2dV zrVwwY-2PKmxR`yUO1@9zcYEm9HvDXlPxiRuD=he8kLtq7wpsij7wNEFl6@WfGi%U2 zMQi;2Q41R`3#`3ufKM&Tiv1SpwC7q2KBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*Dw zlbR=ba%%RrCTY|W5x?mCaue9VzC-WHOl7-A)C-JJRYn!v{=FA$jaKt}&Tl~Rb z#bsAn2o&7A_HA>i=N7D8QXFh`b9USnTtLlyVCXhrtD9hLO2KN620Kz>(|TJktdhm= z(tx2xVMt2_Qh`(;6-WhAfiF=3q3L+JvRPsb=`+Z6q5WGcdDB8&GcQXdp(nRwfUd<$ z=R&N%TI;f!gWwd_AQeajQh`+9?k@jb0+5OQ7Z7|DX^@w zzr4n?=j}~jzAw3Wq51aCM|HuqYlB?557tytKwT+AKtV=Kak}+=Frf)oAO+d9 z$BC{Da^<{jDk-3@lp&xXBc?cQE^Pg6i~zZ56=ZpTE<9g<5uhAYHV%3wIJA?*F2ts# z0;xbMkP4&%sX!`_3Zw#WN&(i^S8w_IvWs1!)5S8qAW?ZiY=))+ud9HNesEKwTPgb~ zgIt%{2NNr(?$PWjGY|*AWPqlrp>sZeYlRA_cLg8t&$~ zvp0pdN~;U5P5aK!L9WZp+xJ#btb>7K55Hu9rhPDU%DgQmp^(~@G6WQ) zYwbUUBgDID73Agfw%uDzB4l&x07y#(Qh`(;6}V9amN)lbGzsH#QLXtz~s6$embBCRp`I;Cg@_^pt z?mL^eLNc1+5*K>}8*{_`4stCsO3BE}M|k4KHYy{gYcacp3gLcQke*H12P3I4gmt-q z5;9_nldp;Z#q0!`6^3;~RXM0^9P~_ZXeWtX7HRHc4>k7B1(9dSo=}kSDV()yH}+lp ziXymcF}sBd;ci-huD!8&dlEW!9TXrpt%6+9KA2EXyVB}{YtxvF zgIt%Hw_*kPS7>=_z`-vWplNF8oc&vqP)O}c83GE@HB&=z@>LNaH?4vYWL6juaNj;i z)0(|0p?+m+x+eK^K|VKrRrY>jH6Lbi7beauv(JscrcLebH5<#^sX!`_3Zw!93M`A( z0nZD$$izH4jeF)gVOgS1tG!1&v$tOE#8ZJcr9j?q54oH(?@4dvek&-AP|Uevk6?pi zNJ};=2Dz3gu4L@&e8iOz+%!UCb_0cQw`72(iJ|+AAeT!as&#PCvSOg%;Btk4f^^L| z6{lLwCW!!T&aC@S3PO-sVb}q}*`5`15S-dcVi#gDVHhOAwQGZ1_Ti>Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5qyo=T zKnNP#lo;Y49dxC)rgR8lM zbY=rCX{kUekP4&%sX!`_3f!~;`8`kQ7gIu|P z+f-9PT`5CAK}Jk*@^_mA$W5yt1eq0vb-z$KsB9ecOmJu?iCu`rgkg{b*RBn6<-Bbw zDWI;DA)p{5ra0A^wn-vDn=`xVX$}Ri9UzaTWoOPD4R;4kA`g1^}=iE#G8^5@;%<1?RwaBbS3hQ=QvFi;8i+XrdcA69z7q1(bE zmli3Qw7*2xJp6*Gqi6b~c|--DT5_yGWO#6S9N<9j=Ep2vCxg%exuC=`sb_0OQPW9Uxg(+{_)p0otLUxu*QTBkdHsL=BD5o zvojma3d4-v6ynX4+kK`A59}jV@_iz|+e5#$;b(h%vd0}?VZj%BR2NRR&Ef~SNQdo` z?CaQ{S%dB=TI2VRTG((|VC`)Kd}>iv?6*j#J=a?BIb9`)o}7Ah#TrMH^}O2k-c+;U zYRIt$8B=4L)I8CXQ?s`n13RZhG*pU*O z*4uhvl`MXjAZe*UDv%1K0;xbMkP5^K2vEFS*(@<)mIufn*M;_Pt*}iCbcaayH1*eN;%0#I^ zDv%1K0;xbMkP1vwKwxg~d{h@)yEe#``(RBa1=N)?1QcY%6sKF?2NRlb1yYbrdz|Rn zAXm=Yrji2cN*MwQGGdC;=EBzB#t4v`RzY(A78TM`fm9$BNCi@XR3H^d1yX@8P=Wk? z*~KpU>0+5OQ7Z67Dj;;--ub95xHgUbltHe`?1PCF;#z=hV=un%0Xr0plL=fIJA?*F2rKOFi3)H)4nrwkn1w@ z_PrHUHQiNapxDDN8K7w&44pD>ixn!Q-W7bnKTB-?DV#X1QqariZM(OcM93Dl515t; zqynixDsY1eEN}kTQ@KnmMW=D|206=?xB6N1UEZ0!b(5z1t)pleh1!N@8MVO=hugp8QtT(4Hv{?z}w-P|SWs^OiynGyVqfRT+PFdX{~fMf{P8 zGt2nP$ggNqdwX_YxxRh2bPoc{gXf1oo%xy=D0XUZ1NqY>6-WhAfm9$BNCi@Xrzw!@ z+o$Q}dl&!oF=yY(`c{ymz4K9BaP8V4*Z4kIV`sTr3=Zy&&v{$PK*7T;8K7&qe|x8h zR5k7@W)6artGR+yF4qMQOc-1b1=)~rqG?RVL9WZpTd{&REo}CJ4aC7O8K7xu=$v_L z5(=qZDMLU(x@Kx9PQEGvbFiFtGy_sn&|vP7L$dyjZ# zZ@t`!rvh(EfxO=yaye(-litexR!|zDHakTA{tm89V{c@TYnkE-UuWkdu8iQO5gM}_ zD1^Hu12jzx^>vC1CZ=n}JOr<>E*DTjx@Mt@ldp;Z#q0!`6^7^SgApqB=p>0_Ti>Dv%1K0;xbM zkP4&%sX!`_3Zw$5Kq`<5qyo=TKnNP#lo;Y4lUBRAU;KJZ)t|0OCLhZCvAQeajQh`(;6-WhcUxEA{sEb|0)5S7n zqEsLiNCi@X8&N>0b8u5)sHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi z)J_t+5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+vaaW28%Y?nkh`(XL=?(XrK&q260 z?N3AF4-pusg!}D-wCoQnz2MMo;gL&=6inJ*qH7+0!PL<+{n0$4f=?|u)*v!GxIB;8 zdiguOtw|Ifzsvg!!jHI&P3V0@W7J=Vxi0koWq(KAFV|S;#%;gR<^uimRpTX5aPqIh z5+48f>zmF?)h$?K!UxF5pIUQM@Qm4+4Q7R5MsEu7X3Fh8Q-ufikt+EBm1U)%7r zJwDmvj<2xbi#@6fC);N6gIuJ;c1iYi?9Z%0_Y|%1`$sKoxGb>twgEo1C@c0`q|=^j zE%=E-FSc8nIF->Zo=*g+s+nS_NM@0Oh^UGcIMI46) z%b{#rGTj~?c*MPAmM!P#5Dxu#5-Sw^TlhgDXL5N zvYpIhkV_Uaf1SjuvaW5;uA4J!(83Y_;BD~-gB6!uWg$>-@7lM`rJh@`c1dxt)y>&) zS8xF}^MRq;fURzVwJ8OwJsRvtiB0Qmy|79aze|v`R3H^d1yX@jAQeajVg&>!UaoAG z7%|HOWRUAZ`?pruriHp@Ue>N*oc!4#KyF$ExuSVXIccdt zDv%1K0;#~=UH-esi_L=5#WH20R3H^d1yX@jAQeajrYRsWw|73O3$9%ogO}GLn$fi9`bZw9;=WSC-0d=Je0Ru+NO$W5ytxqpia zX{kUekP4&%sX!`_3Zw$5z!#`M{=V#D7yWdxOqnPZ_#zb$x^C}$R2N*E#(v5m*Jbv> z#0v6veE2-4nJ~B|12jzyo%8uyt4By3*5v|9NY_ja#mQGifMRxn%nHN$fmr3BvT@Kf zBNrUnNn#gbF<}@a!L@1M89K;ynR)x(3aXm!Dl<^*;g<~1v=4?(nYYCX6;kgCKH#4v zw*M4PoK`95<@2`PTTLQl3)=@wO9fJaR3H_&K?RmK|Ldt-CYGYpxOsz|Wy@RrEc!0* z%-*`m(;*djOA6%u_K>SN^PZIVTjvrjn@bMzN~dPNkYrX*Wfn2KGhY(}b(aC3As4}m zX1K(~9>K=kaKD3G%ZySo#yeH#ji0zOg1Z*8Tc{B3mJHCfDf?h#6^5`b7f?b*OmXs6 z5uliTxmg#yp1FT(kwSPoN$f%_CJcilxOQ!jYkc0m%Xy3F>pbCktTAZM6bE5>Yh0;xbMkP4&%sld||$o1{h^zyxnfBKlSZ)JTe$kE>Us4lp6ZIEkxAFQ#n z+${zNcgN?vtz@9!;g$^0wcNkGQ$(s7cNH@S!O7KJK`NK)f(IrHu7`qbNI20nCgUL2 zW#+9|L7Nsfd%*_c;Fk>0G&OY2yfq1h)UK2vpdei{H54ab6#;V7DhNSlg<;)KRt_o~ z2Tg1Cri4~5w~i+Hb3r~gepU8F&POH5~JhQi6?!;4pH>E({Zx6YgGw(@n<$fzDjZm8%B7c7e z*QT*IGRU<|afPq5^AT4@aMK8l*$ouJ-I4*CCWiVt#RU`7wPGHES6G(|C?Q?5P{qkt zMSx;5mTIeRRkzzzoLDxfl8|jO?9o^ zwGh9$*i+@$*RXC_#@qM=cP(bOP$7J+r*Kfya?rD!w~wx1PcU#{a5Yzu_gZL1u+v-7i!QDjNqq6CBz}Vi#gDVHhOAwQGZ1Id7Xv3aBe(2q?&i zDNc2!ZITGk=FDz-nnS^B2MA|JOmJ!^iCu_IO9fJaR3H^d1yX@jps9d@`m3GY5~fK6 z_{%t#;BU4|BAk7&{CRix_{`@ZT$}c%q49?Z3{=AX_CZ?qhm~G%=(h04r9}!R?Jv~Y6eSn$Oj)rFI7v-m+S(qX$K`#Sb#)}VWe z*7*IS7B*ZKSbN(5pIVd^`z_LG&$SkOPFD${C#POrvBnW)J+F4XH`Q#o8gi^b#?+W5 zHBa>9)a-3d(x@XMe$n~mF8U&lLxbf|wk?@%4-Y)zUNXy;d*&?qF7M3Vy2%f6Sl+A; zVtX=;J~`qV0&?P=ufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5_=CZU z%dWBzD7bg++vZZwEm*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zwX zeRlA)RN#gb&>N~XOHBn*fmGn;6%aazmn)kk#!$0^To>BEwURe2)HU<678H7NO9tp# zymT(a`m41rt2qcxVO=hugp8Qtn5Kxd2Q=D#nA53V%6-Yrg?Qx=OgIqap zn@S3(D`f~M$cQOUn+sci8zVq&S_R4dTU1C(1yX@jAQeajQh`(;6-WiXKn3#mWf!~X zr;BCEM5(|RsesURd*`FN;Mz3yQwF&%vkxX#kiX-@=Q+)U!7Uk}X=>=4&)-@-Lh7(C z7f?dFW@;!-zA6F~vlC=i7}gKODhHK~gQgj|;LuJIyAX>B!ypN+P5aK!L9WZp+xJ#b z)pS>xfnpE8WPql9Fm%eiEmo+IdROoP|17cnr*Pu5NievwAACh~b_2ni#0N4EPMW2wpV9B`)>|Hs*%=9pqYOl#(&tsXA}`#FY`; zwV2&Pg>biIfUZs12P3O6gmt-q5;9_nldp;Z#q7(?y5RN9{acF^!rMt=7h*AC7$m{9 zYlB?l^Y&fNTSQ;y3D0AVL3^e+xbyZTKr#Cj&07jR%=jC?S7rR!=~?z^7V$?W&Mf0E zBfp|e?d{op<@)y7(me<)51t?XbmnVfpxCLs4dhRkR3H^-D)7FECU~F%9}eV7s#G8q zNCi@XR3H^d1yX@jAQgB+3M{{&ctagsZ7XuMm1SY6Kq`<5qynixpnwGD^Yq~g=;4e> zm(CWWx@L_#}Zb7s#A+^hpn2Q zfy%|va;fpry^k0e@5{KmpIz;X z3=gK_gBI!ya+z}XFCOPkMGa?LHCc=MJXLF%Bjr@C`#{@AKYIB zySx8zcj7OEpY8wrA9=mlU!xA~EBSB#r-yj434gNJgL3jGd;Bl<_`**7zyIHVGT8pN zCJx`Qa`@v<=^i9@Biz+e4M3T?62jJ0FMMN2+ zQl09ZQ~$-k{l`yV)rKB$6?zQpBjGd%m= z-Mj_2GCsUVBJcUO8R`sR? Location: PIN_F4, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY ALTERA; +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE ALTERA.ALTERA_PRIMITIVES_COMPONENTS.ALL; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY RegisterDemo IS + PORT ( + LEDR : OUT std_logic_vector(7 DOWNTO 0); + KEY : IN std_logic_vector(0 DOWNTO 0); + SW : IN std_logic_vector(8 DOWNTO 0) + ); +END RegisterDemo; + +-- Design Ports Information +-- LEDR[7] => Location: PIN_H19, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[6] => Location: PIN_J19, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[5] => Location: PIN_E18, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[4] => Location: PIN_F18, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[3] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[2] => Location: PIN_E19, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[1] => Location: PIN_F19, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[0] => Location: PIN_G19, I/O Standard: 2.5 V, Current Strength: Default +-- SW[7] => Location: PIN_AB26, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[0] => Location: PIN_M23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[8] => Location: PIN_AC25, I/O Standard: 2.5 V, Current Strength: Default +-- SW[6] => Location: PIN_AD26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[5] => Location: PIN_AC26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[4] => Location: PIN_AB27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[3] => Location: PIN_AD27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[2] => Location: PIN_AC27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[1] => Location: PIN_AC28, I/O Standard: 2.5 V, Current Strength: Default +-- SW[0] => Location: PIN_AB28, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF RegisterDemo IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_LEDR : std_logic_vector(7 DOWNTO 0); +SIGNAL ww_KEY : std_logic_vector(0 DOWNTO 0); +SIGNAL ww_SW : std_logic_vector(8 DOWNTO 0); +SIGNAL \LEDR[7]~output_o\ : std_logic; +SIGNAL \LEDR[6]~output_o\ : std_logic; +SIGNAL \LEDR[5]~output_o\ : std_logic; +SIGNAL \LEDR[4]~output_o\ : std_logic; +SIGNAL \LEDR[3]~output_o\ : std_logic; +SIGNAL \LEDR[2]~output_o\ : std_logic; +SIGNAL \LEDR[1]~output_o\ : std_logic; +SIGNAL \LEDR[0]~output_o\ : std_logic; +SIGNAL \KEY[0]~input_o\ : std_logic; +SIGNAL \SW[7]~input_o\ : std_logic; +SIGNAL \inst|dataOut[7]~feeder_combout\ : std_logic; +SIGNAL \SW[8]~input_o\ : std_logic; +SIGNAL \SW[6]~input_o\ : std_logic; +SIGNAL \SW[5]~input_o\ : std_logic; +SIGNAL \inst|dataOut[5]~feeder_combout\ : std_logic; +SIGNAL \SW[4]~input_o\ : std_logic; +SIGNAL \SW[3]~input_o\ : std_logic; +SIGNAL \SW[2]~input_o\ : std_logic; +SIGNAL \SW[1]~input_o\ : std_logic; +SIGNAL \SW[0]~input_o\ : std_logic; +SIGNAL \inst|dataOut\ : std_logic_vector(7 DOWNTO 0); + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +LEDR <= ww_LEDR; +ww_KEY <= KEY; +ww_SW <= SW; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X72_Y73_N2 +\LEDR[7]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(7), + devoe => ww_devoe, + o => \LEDR[7]~output_o\); + +-- Location: IOOBUF_X72_Y73_N9 +\LEDR[6]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(6), + devoe => ww_devoe, + o => \LEDR[6]~output_o\); + +-- Location: IOOBUF_X87_Y73_N9 +\LEDR[5]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(5), + devoe => ww_devoe, + o => \LEDR[5]~output_o\); + +-- Location: IOOBUF_X87_Y73_N16 +\LEDR[4]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(4), + devoe => ww_devoe, + o => \LEDR[4]~output_o\); + +-- Location: IOOBUF_X107_Y73_N16 +\LEDR[3]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(3), + devoe => ww_devoe, + o => \LEDR[3]~output_o\); + +-- Location: IOOBUF_X94_Y73_N9 +\LEDR[2]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(2), + devoe => ww_devoe, + o => \LEDR[2]~output_o\); + +-- Location: IOOBUF_X94_Y73_N2 +\LEDR[1]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(1), + devoe => ww_devoe, + o => \LEDR[1]~output_o\); + +-- Location: IOOBUF_X69_Y73_N16 +\LEDR[0]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|dataOut\(0), + devoe => ww_devoe, + o => \LEDR[0]~output_o\); + +-- Location: IOIBUF_X115_Y40_N8 +\KEY[0]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_KEY(0), + o => \KEY[0]~input_o\); + +-- Location: IOIBUF_X115_Y15_N1 +\SW[7]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(7), + o => \SW[7]~input_o\); + +-- Location: LCCOMB_X114_Y40_N24 +\inst|dataOut[7]~feeder\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst|dataOut[7]~feeder_combout\ = \SW[7]~input_o\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1111111100000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + datad => \SW[7]~input_o\, + combout => \inst|dataOut[7]~feeder_combout\); + +-- Location: IOIBUF_X115_Y4_N22 +\SW[8]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(8), + o => \SW[8]~input_o\); + +-- Location: FF_X114_Y40_N25 +\inst|dataOut[7]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + d => \inst|dataOut[7]~feeder_combout\, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(7)); + +-- Location: IOIBUF_X115_Y10_N1 +\SW[6]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(6), + o => \SW[6]~input_o\); + +-- Location: FF_X114_Y40_N27 +\inst|dataOut[6]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + asdata => \SW[6]~input_o\, + sload => VCC, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(6)); + +-- Location: IOIBUF_X115_Y11_N8 +\SW[5]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(5), + o => \SW[5]~input_o\); + +-- Location: LCCOMB_X114_Y40_N4 +\inst|dataOut[5]~feeder\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst|dataOut[5]~feeder_combout\ = \SW[5]~input_o\ + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1111111100000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + datad => \SW[5]~input_o\, + combout => \inst|dataOut[5]~feeder_combout\); + +-- Location: FF_X114_Y40_N5 +\inst|dataOut[5]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + d => \inst|dataOut[5]~feeder_combout\, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(5)); + +-- Location: IOIBUF_X115_Y18_N8 +\SW[4]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(4), + o => \SW[4]~input_o\); + +-- Location: FF_X114_Y40_N23 +\inst|dataOut[4]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + asdata => \SW[4]~input_o\, + sload => VCC, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(4)); + +-- Location: IOIBUF_X115_Y13_N8 +\SW[3]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(3), + o => \SW[3]~input_o\); + +-- Location: FF_X114_Y40_N1 +\inst|dataOut[3]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + asdata => \SW[3]~input_o\, + sload => VCC, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(3)); + +-- Location: IOIBUF_X115_Y15_N8 +\SW[2]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(2), + o => \SW[2]~input_o\); + +-- Location: FF_X114_Y40_N19 +\inst|dataOut[2]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + asdata => \SW[2]~input_o\, + sload => VCC, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(2)); + +-- Location: IOIBUF_X115_Y14_N1 +\SW[1]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(1), + o => \SW[1]~input_o\); + +-- Location: FF_X114_Y40_N13 +\inst|dataOut[1]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + asdata => \SW[1]~input_o\, + sload => VCC, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(1)); + +-- Location: IOIBUF_X115_Y17_N1 +\SW[0]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(0), + o => \SW[0]~input_o\); + +-- Location: FF_X114_Y40_N7 +\inst|dataOut[0]\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \KEY[0]~input_o\, + asdata => \SW[0]~input_o\, + sload => VCC, + ena => \SW[8]~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \inst|dataOut\(0)); + +ww_LEDR(7) <= \LEDR[7]~output_o\; + +ww_LEDR(6) <= \LEDR[6]~output_o\; + +ww_LEDR(5) <= \LEDR[5]~output_o\; + +ww_LEDR(4) <= \LEDR[4]~output_o\; + +ww_LEDR(3) <= \LEDR[3]~output_o\; + +ww_LEDR(2) <= \LEDR[2]~output_o\; + +ww_LEDR(1) <= \LEDR[1]~output_o\; + +ww_LEDR(0) <= \LEDR[0]~output_o\; +END structure; + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo_modelsim.xrf b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo_modelsim.xrf new file mode 100644 index 0000000..c7027af --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/modelsim/RegisterDemo_modelsim.xrf @@ -0,0 +1,39 @@ +vendor_name = ModelSim +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.bdf +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cbx.xml +design_name = hard_block +design_name = RegisterDemo +instance = comp, \LEDR[7]~output\, LEDR[7]~output, RegisterDemo, 1 +instance = comp, \LEDR[6]~output\, LEDR[6]~output, RegisterDemo, 1 +instance = comp, \LEDR[5]~output\, LEDR[5]~output, RegisterDemo, 1 +instance = comp, \LEDR[4]~output\, LEDR[4]~output, RegisterDemo, 1 +instance = comp, \LEDR[3]~output\, LEDR[3]~output, RegisterDemo, 1 +instance = comp, \LEDR[2]~output\, LEDR[2]~output, RegisterDemo, 1 +instance = comp, \LEDR[1]~output\, LEDR[1]~output, RegisterDemo, 1 +instance = comp, \LEDR[0]~output\, LEDR[0]~output, RegisterDemo, 1 +instance = comp, \KEY[0]~input\, KEY[0]~input, RegisterDemo, 1 +instance = comp, \SW[7]~input\, SW[7]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[7]~feeder\, inst|dataOut[7]~feeder, RegisterDemo, 1 +instance = comp, \SW[8]~input\, SW[8]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[7]\, inst|dataOut[7], RegisterDemo, 1 +instance = comp, \SW[6]~input\, SW[6]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[6]\, inst|dataOut[6], RegisterDemo, 1 +instance = comp, \SW[5]~input\, SW[5]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[5]~feeder\, inst|dataOut[5]~feeder, RegisterDemo, 1 +instance = comp, \inst|dataOut[5]\, inst|dataOut[5], RegisterDemo, 1 +instance = comp, \SW[4]~input\, SW[4]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[4]\, inst|dataOut[4], RegisterDemo, 1 +instance = comp, \SW[3]~input\, SW[3]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[3]\, inst|dataOut[3], RegisterDemo, 1 +instance = comp, \SW[2]~input\, SW[2]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[2]\, inst|dataOut[2], RegisterDemo, 1 +instance = comp, \SW[1]~input\, SW[1]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[1]\, inst|dataOut[1], RegisterDemo, 1 +instance = comp, \SW[0]~input\, SW[0]~input, RegisterDemo, 1 +instance = comp, \inst|dataOut[0]\, inst|dataOut[0], RegisterDemo, 1 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht new file mode 100644 index 0000000..d6d8948 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht @@ -0,0 +1,339 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "03/22/2023 09:15:28" + +-- Vhdl Test Bench(with test vectors) for design : Register8 +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY Register8_vhd_vec_tst IS +END Register8_vhd_vec_tst; +ARCHITECTURE Register8_arch OF Register8_vhd_vec_tst IS +-- constants +-- signals +SIGNAL clk : STD_LOGIC; +SIGNAL dataIn : STD_LOGIC_VECTOR(7 DOWNTO 0); +SIGNAL dataOut : STD_LOGIC_VECTOR(7 DOWNTO 0); +SIGNAL wrEn : STD_LOGIC; +COMPONENT Register8 + PORT ( + clk : IN STD_LOGIC; + dataIn : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + dataOut : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + wrEn : IN STD_LOGIC + ); +END COMPONENT; +BEGIN + i1 : Register8 + PORT MAP ( +-- list connections between master ports and signals + clk => clk, + dataIn => dataIn, + dataOut => dataOut, + wrEn => wrEn + ); + +-- wrEn +t_prcs_wrEn: PROCESS +BEGIN + wrEn <= '0'; + WAIT FOR 400000 ps; + wrEn <= '1'; + WAIT FOR 400000 ps; + wrEn <= '0'; +WAIT; +END PROCESS t_prcs_wrEn; + +-- clk +t_prcs_clk: PROCESS +BEGIN +LOOP + clk <= '0'; + WAIT FOR 20000 ps; + clk <= '1'; + WAIT FOR 20000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_clk; +-- dataIn[7] +t_prcs_dataIn_7: PROCESS +BEGIN + dataIn(7) <= '1'; + WAIT FOR 200000 ps; + dataIn(7) <= '0'; + WAIT FOR 40000 ps; + dataIn(7) <= '1'; + WAIT FOR 120000 ps; + dataIn(7) <= '0'; + WAIT FOR 40000 ps; + dataIn(7) <= '1'; + WAIT FOR 40000 ps; + dataIn(7) <= '0'; + WAIT FOR 120000 ps; + dataIn(7) <= '1'; + WAIT FOR 40000 ps; + dataIn(7) <= '0'; + WAIT FOR 40000 ps; + dataIn(7) <= '1'; + WAIT FOR 40000 ps; + dataIn(7) <= '0'; + WAIT FOR 40000 ps; + dataIn(7) <= '1'; + WAIT FOR 40000 ps; + dataIn(7) <= '0'; + WAIT FOR 40000 ps; + dataIn(7) <= '1'; + WAIT FOR 40000 ps; + dataIn(7) <= '0'; + WAIT FOR 120000 ps; + dataIn(7) <= '1'; +WAIT; +END PROCESS t_prcs_dataIn_7; +-- dataIn[6] +t_prcs_dataIn_6: PROCESS +BEGIN + dataIn(6) <= '0'; + WAIT FOR 80000 ps; + dataIn(6) <= '1'; + WAIT FOR 80000 ps; + dataIn(6) <= '0'; + WAIT FOR 40000 ps; + dataIn(6) <= '1'; + WAIT FOR 40000 ps; + dataIn(6) <= '0'; + WAIT FOR 40000 ps; + dataIn(6) <= '1'; + WAIT FOR 40000 ps; + dataIn(6) <= '0'; + WAIT FOR 120000 ps; + dataIn(6) <= '1'; + WAIT FOR 120000 ps; + dataIn(6) <= '0'; + WAIT FOR 40000 ps; + dataIn(6) <= '1'; + WAIT FOR 80000 ps; + dataIn(6) <= '0'; + WAIT FOR 120000 ps; + dataIn(6) <= '1'; + WAIT FOR 40000 ps; + dataIn(6) <= '0'; + WAIT FOR 120000 ps; + dataIn(6) <= '1'; +WAIT; +END PROCESS t_prcs_dataIn_6; +-- dataIn[5] +t_prcs_dataIn_5: PROCESS +BEGIN + dataIn(5) <= '0'; + WAIT FOR 80000 ps; + dataIn(5) <= '1'; + WAIT FOR 40000 ps; + dataIn(5) <= '0'; + WAIT FOR 120000 ps; + dataIn(5) <= '1'; + WAIT FOR 40000 ps; + dataIn(5) <= '0'; + WAIT FOR 80000 ps; + dataIn(5) <= '1'; + WAIT FOR 40000 ps; + dataIn(5) <= '0'; + WAIT FOR 80000 ps; + dataIn(5) <= '1'; + WAIT FOR 80000 ps; + dataIn(5) <= '0'; + WAIT FOR 120000 ps; + dataIn(5) <= '1'; + WAIT FOR 40000 ps; + dataIn(5) <= '0'; + WAIT FOR 40000 ps; + dataIn(5) <= '1'; + WAIT FOR 80000 ps; + dataIn(5) <= '0'; + WAIT FOR 40000 ps; + dataIn(5) <= '1'; + WAIT FOR 40000 ps; + dataIn(5) <= '0'; + WAIT FOR 40000 ps; + dataIn(5) <= '1'; +WAIT; +END PROCESS t_prcs_dataIn_5; +-- dataIn[4] +t_prcs_dataIn_4: PROCESS +BEGIN + dataIn(4) <= '0'; + WAIT FOR 200000 ps; + dataIn(4) <= '1'; + WAIT FOR 160000 ps; + dataIn(4) <= '0'; + WAIT FOR 40000 ps; + dataIn(4) <= '1'; + WAIT FOR 320000 ps; + dataIn(4) <= '0'; + WAIT FOR 160000 ps; + dataIn(4) <= '1'; + WAIT FOR 40000 ps; + dataIn(4) <= '0'; +WAIT; +END PROCESS t_prcs_dataIn_4; +-- dataIn[3] +t_prcs_dataIn_3: PROCESS +BEGIN + dataIn(3) <= '1'; + WAIT FOR 160000 ps; + dataIn(3) <= '0'; + WAIT FOR 40000 ps; + dataIn(3) <= '1'; + WAIT FOR 120000 ps; + dataIn(3) <= '0'; + WAIT FOR 40000 ps; + dataIn(3) <= '1'; + WAIT FOR 80000 ps; + dataIn(3) <= '0'; + WAIT FOR 80000 ps; + dataIn(3) <= '1'; + WAIT FOR 40000 ps; + dataIn(3) <= '0'; + WAIT FOR 40000 ps; + dataIn(3) <= '1'; + WAIT FOR 160000 ps; + dataIn(3) <= '0'; + WAIT FOR 40000 ps; + dataIn(3) <= '1'; + WAIT FOR 120000 ps; + dataIn(3) <= '0'; +WAIT; +END PROCESS t_prcs_dataIn_3; +-- dataIn[2] +t_prcs_dataIn_2: PROCESS +BEGIN + dataIn(2) <= '0'; + WAIT FOR 80000 ps; + dataIn(2) <= '1'; + WAIT FOR 40000 ps; + dataIn(2) <= '0'; + WAIT FOR 120000 ps; + dataIn(2) <= '1'; + WAIT FOR 40000 ps; + dataIn(2) <= '0'; + WAIT FOR 40000 ps; + dataIn(2) <= '1'; + WAIT FOR 40000 ps; + dataIn(2) <= '0'; + WAIT FOR 120000 ps; + dataIn(2) <= '1'; + WAIT FOR 40000 ps; + dataIn(2) <= '0'; + WAIT FOR 40000 ps; + dataIn(2) <= '1'; + WAIT FOR 80000 ps; + dataIn(2) <= '0'; + WAIT FOR 40000 ps; + dataIn(2) <= '1'; + WAIT FOR 40000 ps; + dataIn(2) <= '0'; + WAIT FOR 40000 ps; + dataIn(2) <= '1'; + WAIT FOR 80000 ps; + dataIn(2) <= '0'; + WAIT FOR 80000 ps; + dataIn(2) <= '1'; +WAIT; +END PROCESS t_prcs_dataIn_2; +-- dataIn[1] +t_prcs_dataIn_1: PROCESS +BEGIN + dataIn(1) <= '1'; + WAIT FOR 80000 ps; + dataIn(1) <= '0'; + WAIT FOR 80000 ps; + dataIn(1) <= '1'; + WAIT FOR 80000 ps; + dataIn(1) <= '0'; + WAIT FOR 80000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; + WAIT FOR 40000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; + WAIT FOR 80000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; + WAIT FOR 120000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; + WAIT FOR 40000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; + WAIT FOR 40000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; + WAIT FOR 40000 ps; + dataIn(1) <= '1'; + WAIT FOR 40000 ps; + dataIn(1) <= '0'; +WAIT; +END PROCESS t_prcs_dataIn_1; +-- dataIn[0] +t_prcs_dataIn_0: PROCESS +BEGIN + dataIn(0) <= '1'; + WAIT FOR 160000 ps; + dataIn(0) <= '0'; + WAIT FOR 40000 ps; + dataIn(0) <= '1'; + WAIT FOR 120000 ps; + dataIn(0) <= '0'; + WAIT FOR 40000 ps; + dataIn(0) <= '1'; + WAIT FOR 40000 ps; + dataIn(0) <= '0'; + WAIT FOR 40000 ps; + dataIn(0) <= '1'; + WAIT FOR 40000 ps; + dataIn(0) <= '0'; + WAIT FOR 40000 ps; + dataIn(0) <= '1'; + WAIT FOR 40000 ps; + dataIn(0) <= '0'; + WAIT FOR 160000 ps; + dataIn(0) <= '1'; + WAIT FOR 40000 ps; + dataIn(0) <= '0'; + WAIT FOR 80000 ps; + dataIn(0) <= '1'; + WAIT FOR 80000 ps; + dataIn(0) <= '0'; + WAIT FOR 40000 ps; + dataIn(0) <= '1'; +WAIT; +END PROCESS t_prcs_dataIn_0; +END Register8_arch; diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.do b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.do new file mode 100644 index 0000000..cc16917 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vcom -work work RegisterDemo.vho +vcom -work work Register8.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Register8_vhd_vec_tst +vcd file -direction RegisterDemo.msim.vcd +vcd add -internal Register8_vhd_vec_tst/* +vcd add -internal Register8_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.msim.vcd b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.msim.vcd new file mode 100644 index 0000000..78a0e20 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.msim.vcd @@ -0,0 +1,863 @@ +$comment + File created using the following command: + vcd file RegisterDemo.msim.vcd -direction +$end +$date + Wed Mar 22 09:15:32 2023 +$end +$version + ModelSim Version 2020.1 +$end +$timescale + 1ps +$end + +$scope module register8_vhd_vec_tst $end +$var wire 1 ! clk $end +$var wire 1 " dataIn [7] $end +$var wire 1 # dataIn [6] $end +$var wire 1 $ dataIn [5] $end +$var wire 1 % dataIn [4] $end +$var wire 1 & dataIn [3] $end +$var wire 1 ' dataIn [2] $end +$var wire 1 ( dataIn [1] $end +$var wire 1 ) dataIn [0] $end +$var wire 1 * dataOut [7] $end +$var wire 1 + dataOut [6] $end +$var wire 1 , dataOut [5] $end +$var wire 1 - dataOut [4] $end +$var wire 1 . dataOut [3] $end +$var wire 1 / dataOut [2] $end +$var wire 1 0 dataOut [1] $end +$var wire 1 1 dataOut [0] $end +$var wire 1 2 wrEn $end + +$scope module i1 $end +$var wire 1 3 gnd $end +$var wire 1 4 vcc $end +$var wire 1 5 unknown $end +$var wire 1 6 devoe $end +$var wire 1 7 devclrn $end +$var wire 1 8 devpor $end +$var wire 1 9 ww_devoe $end +$var wire 1 : ww_devclrn $end +$var wire 1 ; ww_devpor $end +$var wire 1 < ww_dataIn [7] $end +$var wire 1 = ww_dataIn [6] $end +$var wire 1 > ww_dataIn [5] $end +$var wire 1 ? ww_dataIn [4] $end +$var wire 1 @ ww_dataIn [3] $end +$var wire 1 A ww_dataIn [2] $end +$var wire 1 B ww_dataIn [1] $end +$var wire 1 C ww_dataIn [0] $end +$var wire 1 D ww_clk $end +$var wire 1 E ww_wrEn $end +$var wire 1 F ww_dataOut [7] $end +$var wire 1 G ww_dataOut [6] $end +$var wire 1 H ww_dataOut [5] $end +$var wire 1 I ww_dataOut [4] $end +$var wire 1 J ww_dataOut [3] $end +$var wire 1 K ww_dataOut [2] $end +$var wire 1 L ww_dataOut [1] $end +$var wire 1 M ww_dataOut [0] $end +$var wire 1 N \dataOut[0]~output_o\ $end +$var wire 1 O \dataOut[1]~output_o\ $end +$var wire 1 P \dataOut[2]~output_o\ $end +$var wire 1 Q \dataOut[3]~output_o\ $end +$var wire 1 R \dataOut[4]~output_o\ $end +$var wire 1 S \dataOut[5]~output_o\ $end +$var wire 1 T \dataOut[6]~output_o\ $end +$var wire 1 U \dataOut[7]~output_o\ $end +$var wire 1 V \clk~input_o\ $end +$var wire 1 W \dataIn[0]~input_o\ $end +$var wire 1 X \wrEn~input_o\ $end +$var wire 1 Y \dataOut[0]~reg0_q\ $end +$var wire 1 Z \dataIn[1]~input_o\ $end +$var wire 1 [ \dataOut[1]~reg0_q\ $end +$var wire 1 \ \dataIn[2]~input_o\ $end +$var wire 1 ] \dataOut[2]~reg0_q\ $end +$var wire 1 ^ \dataIn[3]~input_o\ $end +$var wire 1 _ \dataOut[3]~reg0_q\ $end +$var wire 1 ` \dataIn[4]~input_o\ $end +$var wire 1 a \dataOut[4]~reg0_q\ $end +$var wire 1 b \dataIn[5]~input_o\ $end +$var wire 1 c \dataOut[5]~reg0_q\ $end +$var wire 1 d \dataIn[6]~input_o\ $end +$var wire 1 e \dataOut[6]~reg0_q\ $end +$var wire 1 f \dataIn[7]~input_o\ $end +$var wire 1 g \dataOut[7]~reg0_q\ $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0! +02 +03 +14 +x5 +16 +17 +18 +19 +1: +1; +0D +0E +0N +0O +0P +0Q +0R +0S +0T +0U +0V +1W +0X +0Y +1Z +0[ +0\ +0] +1^ +0_ +0` +0a +0b +0c +0d +0e +1f +0g +1" +0# +0$ +0% +1& +0' +1( +1) +1< +0= +0> +0? +1@ +0A +1B +1C +0F +0G +0H +0I +0J +0K +0L +0M +0* +0+ +0, +0- +0. +0/ +00 +01 +$end +#20000 +1! +1D +1V +#40000 +0! +0D +0V +#60000 +1! +1D +1V +#80000 +0! +1# +1$ +1' +0( +0D +0B +1A +1> +1= +1d +1b +1\ +0Z +0V +#100000 +1! +1D +1V +#120000 +0! +0$ +0' +0D +0A +0> +0b +0\ +0V +#140000 +1! +1D +1V +#160000 +0! +0# +1( +0& +0) +0D +0C +1B +0@ +0= +0d +0^ +1Z +0W +0V +#180000 +1! +1D +1V +#200000 +0! +1# +1& +1) +0" +1% +0D +1C +1@ +1? +1= +0< +0f +1d +1` +1^ +1W +0V +#220000 +1! +1D +1V +#240000 +0! +0# +1" +0( +1$ +1' +0D +0B +1A +1> +0= +1< +1f +0d +1b +1\ +0Z +0V +#260000 +1! +1D +1V +#280000 +0! +1# +0$ +0' +0D +0A +0> +1= +1d +0b +0\ +0V +#300000 +1! +1D +1V +#320000 +0! +0# +1' +1( +0& +0) +0D +0C +1B +1A +0@ +0= +0d +0^ +1\ +1Z +0W +0V +#340000 +1! +1D +1V +#360000 +0! +0' +0( +1& +1) +1$ +0" +0% +0D +1C +0B +0A +1@ +0? +1> +0< +0f +1b +0` +1^ +0\ +0Z +1W +0V +#380000 +1! +1D +1V +#400000 +0! +1( +0) +0$ +1" +1% +12 +0D +0C +1B +1? +0> +1< +1E +1X +1f +0b +1` +1Z +0W +0V +#420000 +1! +1D +1V +1[ +1_ +1a +1g +1U +1R +1Q +1O +1F +1I +1J +1L +10 +1. +1- +1* +#440000 +0! +0( +1) +0" +0& +1# +0D +1C +0B +0@ +1= +0< +0f +1d +0^ +0Z +1W +0V +#460000 +1! +1D +1V +1Y +0[ +0_ +1e +0g +0U +1T +0Q +0O +1N +0F +1G +0J +0L +1M +11 +00 +0. +1+ +0* +#480000 +0! +0) +1$ +1' +0D +0C +1A +1> +1b +1\ +0W +0V +#500000 +1! +1D +1V +0Y +1] +1c +1S +1P +0N +1H +1K +0M +01 +1/ +1, +#520000 +0! +1) +0' +1( +1& +0D +1C +1B +0A +1@ +1^ +0\ +1Z +1W +0V +#540000 +1! +1D +1V +1Y +1[ +0] +1_ +1Q +0P +1O +1N +1J +0K +1L +1M +11 +10 +0/ +1. +#560000 +0! +0) +1' +0( +0& +0$ +1" +0# +0D +0C +0B +1A +0@ +0> +0= +1< +1f +0d +0b +0^ +1\ +0Z +0W +0V +#580000 +1! +1D +1V +0Y +0[ +1] +0_ +0c +0e +1g +1U +0T +0S +0Q +1P +0O +0N +1F +0G +0H +0J +1K +0L +0M +01 +00 +1/ +0. +0, +0+ +1* +#600000 +0! +1& +0" +1# +0D +1@ +1= +0< +0f +1d +1^ +0V +#620000 +1! +1D +1V +1_ +1e +0g +0U +1T +1Q +0F +1G +1J +1. +1+ +0* +#640000 +0! +1" +0' +0D +0A +1< +1f +0\ +0V +#660000 +1! +1D +1V +0] +1g +1U +0P +1F +0K +0/ +1* +#680000 +0! +0" +1' +0# +1( +1$ +0D +1B +1A +1> +0= +0< +0f +0d +1b +1\ +1Z +0V +#700000 +1! +1D +1V +1[ +1] +1c +0e +0g +0U +0T +1S +1P +1O +0F +0G +1H +1K +1L +10 +1/ +1, +0+ +0* +#720000 +0! +1" +0' +0( +0$ +1) +0% +0D +1C +0B +0A +0? +0> +1< +1f +0b +0` +0\ +0Z +1W +0V +#740000 +1! +1D +1V +1Y +0[ +0] +0a +0c +1g +1U +0S +0R +0P +0O +1N +1F +0H +0I +0K +0L +1M +11 +00 +0/ +0- +0, +1* +#760000 +0! +0" +1' +1( +1$ +0) +0& +0D +0C +1B +1A +0@ +1> +0< +0f +1b +0^ +1\ +1Z +0W +0V +#780000 +1! +1D +1V +0Y +1[ +1] +0_ +1c +0g +0U +1S +0Q +1P +1O +0N +0F +1H +0J +1K +1L +0M +01 +10 +1/ +0. +1, +0* +#800000 +0! +1" +0( +1& +1# +02 +0D +0B +1@ +1= +1< +0E +0X +1f +1d +1^ +0Z +0V +#820000 +1! +1D +1V +#840000 +0! +0" +1( +0# +0' +0$ +1) +0D +1C +1B +0A +0> +0= +0< +0f +0d +0b +0\ +1Z +1W +0V +#860000 +1! +1D +1V +#880000 +0! +0( +1$ +1% +0D +0B +1? +1> +1b +1` +0Z +0V +#900000 +1! +1D +1V +#920000 +0! +1( +0$ +0% +1' +0) +0& +0D +0C +1B +1A +0@ +0? +0> +0b +0` +0^ +1\ +1Z +0W +0V +#940000 +1! +1D +1V +#960000 +0! +0( +1$ +1) +1" +1# +0D +1C +0B +1> +1= +1< +1f +1d +1b +0Z +1W +0V +#980000 +1! +1D +1V +#1000000 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.sft b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.sft new file mode 100644 index 0000000..0c5034b --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.vho b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.vho new file mode 100644 index 0000000..671d429 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.vho @@ -0,0 +1,414 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "03/22/2023 09:15:30" + +-- +-- Device: Altera EP4CE115F29C7 Package FBGA780 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY ALTERA; +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE ALTERA.ALTERA_PRIMITIVES_COMPONENTS.ALL; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY Register8 IS + PORT ( + dataIn : IN std_logic_vector(7 DOWNTO 0); + clk : IN std_logic; + wrEn : IN std_logic; + dataOut : OUT std_logic_vector(7 DOWNTO 0) + ); +END Register8; + +ARCHITECTURE structure OF Register8 IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_dataIn : std_logic_vector(7 DOWNTO 0); +SIGNAL ww_clk : std_logic; +SIGNAL ww_wrEn : std_logic; +SIGNAL ww_dataOut : std_logic_vector(7 DOWNTO 0); +SIGNAL \dataOut[0]~output_o\ : std_logic; +SIGNAL \dataOut[1]~output_o\ : std_logic; +SIGNAL \dataOut[2]~output_o\ : std_logic; +SIGNAL \dataOut[3]~output_o\ : std_logic; +SIGNAL \dataOut[4]~output_o\ : std_logic; +SIGNAL \dataOut[5]~output_o\ : std_logic; +SIGNAL \dataOut[6]~output_o\ : std_logic; +SIGNAL \dataOut[7]~output_o\ : std_logic; +SIGNAL \clk~input_o\ : std_logic; +SIGNAL \dataIn[0]~input_o\ : std_logic; +SIGNAL \wrEn~input_o\ : std_logic; +SIGNAL \dataOut[0]~reg0_q\ : std_logic; +SIGNAL \dataIn[1]~input_o\ : std_logic; +SIGNAL \dataOut[1]~reg0_q\ : std_logic; +SIGNAL \dataIn[2]~input_o\ : std_logic; +SIGNAL \dataOut[2]~reg0_q\ : std_logic; +SIGNAL \dataIn[3]~input_o\ : std_logic; +SIGNAL \dataOut[3]~reg0_q\ : std_logic; +SIGNAL \dataIn[4]~input_o\ : std_logic; +SIGNAL \dataOut[4]~reg0_q\ : std_logic; +SIGNAL \dataIn[5]~input_o\ : std_logic; +SIGNAL \dataOut[5]~reg0_q\ : std_logic; +SIGNAL \dataIn[6]~input_o\ : std_logic; +SIGNAL \dataOut[6]~reg0_q\ : std_logic; +SIGNAL \dataIn[7]~input_o\ : std_logic; +SIGNAL \dataOut[7]~reg0_q\ : std_logic; + +BEGIN + +ww_dataIn <= dataIn; +ww_clk <= clk; +ww_wrEn <= wrEn; +dataOut <= ww_dataOut; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; + +\dataOut[0]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[0]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[0]~output_o\); + +\dataOut[1]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[1]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[1]~output_o\); + +\dataOut[2]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[2]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[2]~output_o\); + +\dataOut[3]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[3]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[3]~output_o\); + +\dataOut[4]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[4]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[4]~output_o\); + +\dataOut[5]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[5]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[5]~output_o\); + +\dataOut[6]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[6]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[6]~output_o\); + +\dataOut[7]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \dataOut[7]~reg0_q\, + devoe => ww_devoe, + o => \dataOut[7]~output_o\); + +\clk~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_clk, + o => \clk~input_o\); + +\dataIn[0]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(0), + o => \dataIn[0]~input_o\); + +\wrEn~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_wrEn, + o => \wrEn~input_o\); + +\dataOut[0]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[0]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[0]~reg0_q\); + +\dataIn[1]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(1), + o => \dataIn[1]~input_o\); + +\dataOut[1]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[1]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[1]~reg0_q\); + +\dataIn[2]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(2), + o => \dataIn[2]~input_o\); + +\dataOut[2]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[2]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[2]~reg0_q\); + +\dataIn[3]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(3), + o => \dataIn[3]~input_o\); + +\dataOut[3]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[3]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[3]~reg0_q\); + +\dataIn[4]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(4), + o => \dataIn[4]~input_o\); + +\dataOut[4]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[4]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[4]~reg0_q\); + +\dataIn[5]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(5), + o => \dataIn[5]~input_o\); + +\dataOut[5]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[5]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[5]~reg0_q\); + +\dataIn[6]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(6), + o => \dataIn[6]~input_o\); + +\dataOut[6]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[6]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[6]~reg0_q\); + +\dataIn[7]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_dataIn(7), + o => \dataIn[7]~input_o\); + +\dataOut[7]~reg0\ : dffeas +-- pragma translate_off +GENERIC MAP ( + is_wysiwyg => "true", + power_up => "low") +-- pragma translate_on +PORT MAP ( + clk => \clk~input_o\, + d => \dataIn[7]~input_o\, + ena => \wrEn~input_o\, + devclrn => ww_devclrn, + devpor => ww_devpor, + q => \dataOut[7]~reg0_q\); + +ww_dataOut(0) <= \dataOut[0]~output_o\; + +ww_dataOut(1) <= \dataOut[1]~output_o\; + +ww_dataOut(2) <= \dataOut[2]~output_o\; + +ww_dataOut(3) <= \dataOut[3]~output_o\; + +ww_dataOut(4) <= \dataOut[4]~output_o\; + +ww_dataOut(5) <= \dataOut[5]~output_o\; + +ww_dataOut(6) <= \dataOut[6]~output_o\; + +ww_dataOut(7) <= \dataOut[7]~output_o\; +END structure; + + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_20230322091532.sim.vwf b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_20230322091532.sim.vwf new file mode 100644 index 0000000..20e9aaf --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_20230322091532.sim.vwf @@ -0,0 +1,874 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("dataOut[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("wrEn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + } + } +} + +TRANSITION_LIST("dataIn[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("dataIn[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("dataIn[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("dataIn[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 320.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + } + } +} + +TRANSITION_LIST("dataIn[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 80.0; + } + } +} + +TRANSITION_LIST("dataIn[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + } + } +} + +TRANSITION_LIST("dataIn[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("dataIn[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + } + } +} + +TRANSITION_LIST("dataOut[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 420.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 220.0; + } + } +} + +TRANSITION_LIST("dataOut[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 460.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 300.0; + } + } +} + +TRANSITION_LIST("dataOut[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 500.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 220.0; + } + } +} + +TRANSITION_LIST("dataOut[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 420.0; + LEVEL 1 FOR 320.0; + LEVEL 0 FOR 260.0; + } + } +} + +TRANSITION_LIST("dataOut[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 420.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 220.0; + } + } +} + +TRANSITION_LIST("dataOut[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 500.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 220.0; + } + } +} + +TRANSITION_LIST("dataOut[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 420.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 220.0; + } + } +} + +TRANSITION_LIST("dataOut[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 460.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 220.0; + } + } +} + +TRANSITION_LIST("wrEn") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "wrEn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; + CHILDREN = 3, 4, 5, 6, 7, 8, 9, 10; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 2; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; + CHILDREN = 12, 13, 14, 15, 16, 17, 18, 19; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 11; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 11; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_modelsim.xrf b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_modelsim.xrf new file mode 100644 index 0000000..71f73e4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_modelsim.xrf @@ -0,0 +1,34 @@ +vendor_name = ModelSim +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.cbx.xml +design_name = Register8 +instance = comp, \dataOut[0]~output\, dataOut[0]~output, Register8, 1 +instance = comp, \dataOut[1]~output\, dataOut[1]~output, Register8, 1 +instance = comp, \dataOut[2]~output\, dataOut[2]~output, Register8, 1 +instance = comp, \dataOut[3]~output\, dataOut[3]~output, Register8, 1 +instance = comp, \dataOut[4]~output\, dataOut[4]~output, Register8, 1 +instance = comp, \dataOut[5]~output\, dataOut[5]~output, Register8, 1 +instance = comp, \dataOut[6]~output\, dataOut[6]~output, Register8, 1 +instance = comp, \dataOut[7]~output\, dataOut[7]~output, Register8, 1 +instance = comp, \clk~input\, clk~input, Register8, 1 +instance = comp, \dataIn[0]~input\, dataIn[0]~input, Register8, 1 +instance = comp, \wrEn~input\, wrEn~input, Register8, 1 +instance = comp, \dataOut[0]~reg0\, dataOut[0]~reg0, Register8, 1 +instance = comp, \dataIn[1]~input\, dataIn[1]~input, Register8, 1 +instance = comp, \dataOut[1]~reg0\, dataOut[1]~reg0, Register8, 1 +instance = comp, \dataIn[2]~input\, dataIn[2]~input, Register8, 1 +instance = comp, \dataOut[2]~reg0\, dataOut[2]~reg0, Register8, 1 +instance = comp, \dataIn[3]~input\, dataIn[3]~input, Register8, 1 +instance = comp, \dataOut[3]~reg0\, dataOut[3]~reg0, Register8, 1 +instance = comp, \dataIn[4]~input\, dataIn[4]~input, Register8, 1 +instance = comp, \dataOut[4]~reg0\, dataOut[4]~reg0, Register8, 1 +instance = comp, \dataIn[5]~input\, dataIn[5]~input, Register8, 1 +instance = comp, \dataOut[5]~reg0\, dataOut[5]~reg0, Register8, 1 +instance = comp, \dataIn[6]~input\, dataIn[6]~input, Register8, 1 +instance = comp, \dataOut[6]~reg0\, dataOut[6]~reg0, Register8, 1 +instance = comp, \dataIn[7]~input\, dataIn[7]~input, Register8, 1 +instance = comp, \dataOut[7]~reg0\, dataOut[7]~reg0, Register8, 1 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/transcript b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/transcript new file mode 100644 index 0000000..0b7a78d --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/transcript @@ -0,0 +1,47 @@ +# do RegisterDemo.do +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 09:15:31 on Mar 22,2023 +# vcom -work work RegisterDemo.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package VITAL_Timing +# -- Loading package VITAL_Primitives +# -- Loading package dffeas_pack +# -- Loading package altera_primitives_components +# -- Loading package cycloneive_atom_pack +# -- Loading package cycloneive_components +# -- Compiling entity Register8 +# -- Compiling architecture structure of Register8 +# End time: 09:15:32 on Mar 22,2023, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 09:15:32 on Mar 22,2023 +# vcom -work work Register8.vwf.vht +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Compiling entity Register8_vhd_vec_tst +# -- Compiling architecture Register8_arch of Register8_vhd_vec_tst +# End time: 09:15:32 on Mar 22,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Register8_vhd_vec_tst +# Start time: 09:15:32 on Mar 22,2023 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading work.register8_vhd_vec_tst(register8_arch) +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading altera.dffeas_pack +# Loading altera.altera_primitives_components +# Loading cycloneive.cycloneive_atom_pack(body) +# Loading cycloneive.cycloneive_components +# Loading work.register8(structure) +# Loading ieee.std_logic_arith(body) +# Loading cycloneive.cycloneive_io_obuf(arch) +# Loading cycloneive.cycloneive_io_ibuf(arch) +# Loading altera.dffeas(vital_dffeas) +# after#31 +# End time: 09:15:32 on Mar 22,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/vwf_sim_transcript b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..87f116b --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,76 @@ +Determining the location of the ModelSim executable... + +Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off RegisterDemo -c RegisterDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vht" + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Wed Mar 22 09:15:27 2023Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off RegisterDemo -c RegisterDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/Register8.vwf.vhtInfo (119006): Selected device EP4CE115F29C7 for design "RegisterDemo"Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/" RegisterDemo -c RegisterDemo + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Wed Mar 22 09:15:29 2023Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/ RegisterDemo -c RegisterDemoInfo (119006): Selected device EP4CE115F29C7 for design "RegisterDemo"Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file RegisterDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 615 megabytes Info: Processing ended: Wed Mar 22 09:15:30 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 +Completed successfully. + +**** Generating the ModelSim .do script **** + +/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do RegisterDemo.do + +Reading pref.tcl +# 2020.1 +# do RegisterDemo.do +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 09:15:31 on Mar 22,2023# vcom -work work RegisterDemo.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package VITAL_Timing +# -- Loading package VITAL_Primitives +# -- Loading package dffeas_pack# -- Loading package altera_primitives_components +# -- Loading package cycloneive_atom_pack +# -- Loading package cycloneive_components +# -- Compiling entity Register8 +# -- Compiling architecture structure of Register8 +# End time: 09:15:32 on Mar 22,2023, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 09:15:32 on Mar 22,2023# vcom -work work Register8.vwf.vht +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Compiling entity Register8_vhd_vec_tst# -- Compiling architecture Register8_arch of Register8_vhd_vec_tst +# End time: 09:15:32 on Mar 22,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Register8_vhd_vec_tst # Start time: 09:15:32 on Mar 22,2023# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.register8_vhd_vec_tst(register8_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading altera.dffeas_pack# Loading altera.altera_primitives_components# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.register8(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading altera.dffeas(vital_dffeas) +# after#31 +# End time: 09:15:32 on Mar 22,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vwf... + +Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/RegisterDemo_20230322091532.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_info new file mode 100644 index 0000000..e49f4d7 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_info @@ -0,0 +1,105 @@ +m255 +K4 +z2 +!s11e vcom 2020.1 2020.02, Feb 28 2020 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 d/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim +Eregister8 +Z1 w1679476530 +Z2 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 +Z3 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0ekiXP8Q9dRClKfK1Zn7j1 +Z5 DPx6 altera 11 dffeas_pack 0 22 dc5N=DKXMMTVYdUQ@D3FA2 +Z6 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 +Z7 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 +Z8 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 +Z9 DPx6 altera 28 altera_primitives_components 0 22 ca:ehlQAg4;_gVV:^8MAg3 +!i122 0 +R0 +Z10 8RegisterDemo.vho +Z11 FRegisterDemo.vho +l0 +L37 1 +VVlE;3DEQD1QSeZ6g`]h7X1 +!s100 X5eG7YZL=f1^zzaD4`;H@>giSDZn1 +!s100 Mok?CPQT5i3K>i?OWHQid0 +R12 +32 +R13 +!i10b 1 +R14 +R15 +R16 +!i113 1 +R17 +R18 +Eregister8_vhd_vec_tst +Z19 w1679476528 +R7 +R8 +!i122 1 +R0 +Z20 8Register8.vwf.vht +Z21 FRegister8.vwf.vht +l0 +L32 1 +V<0FH[1`=PTlGok:DD5J_[0 +!s100 J69iBXek>Ja25:B71kB2f0 +R12 +32 +R13 +!i10b 1 +Z22 !s108 1679476532.000000 +Z23 !s90 -work|work|Register8.vwf.vht| +!s107 Register8.vwf.vht| +!i113 1 +R17 +R18 +Aregister8_arch +R7 +R8 +DEx4 work 21 register8_vhd_vec_tst 0 22 <0FH[1`=PTlGok:DD5J_[0 +!i122 1 +l49 +L34 306 +VR@mihK9Xh^8H5TR]YX@^Z0 +!s100 X=LZSzM>;2 +R12 +32 +R13 +!i10b 1 +R22 +R23 +Z24 !s107 Register8.vwf.vht| +!i113 1 +R17 +R18 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib.qdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000000000000000000000000000000000000..921c800ac4d701b88b4f75bf3f93e06b6d00ab41 GIT binary patch literal 49152 zcmeI)PiP}m90%}uGcU&XR0ym;Cb1P}T$lT1=cwt@8|-#~bO=J%WT z-Y2=edGpMEO*Ew6bZV8lQ*ty%V@!M8aWqY{)HkiZy^pRcyw^}A8>o+}TH5NSS)f0) zl)a@vUi;XuIhVF~ z%1@OquQ;8o!FH|P@|wcgDL=Qf;tW)!|B~oug}#dE-=pExWxsi4`_i*l%JD$G0hU*s zZg)IDeDCGuQYu|6s~u^-RTIbZTEh>8>$SuEsO=`xcGr?>y2QszDJ3bXt1MHToMhJ@ zj@~_pRKl{dW1sDF+O8iha!;b zrdc)D3>|Cq4jt2L^b37MAJM(D7J%yzfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafbOa`n?f&i)09L=J`v8D>UhV(0{{DaJA+`U{M(qFdX|?~)hVK6x z=hgmy^t3^||F7o;_WwKQ|MRoAH2RS~RVV*lxjOztA6wQOf>VC8J zs8?y#muk~rt+U)_-n7_8N#}Y#5OrUMBG_~f_iFB;sJfvH`<-4;-CI2fj*LQ-X>8@9 zOoQvWe$ag~WmEh3jW~5P+Z!}HPHN2y?Q7lXTD?qbtjvm>`a8~CGdr2{YN6)PO!px0 zn_h6Tsz%e^>*i#7IhiwZDw1u-Ig4qPLyKyhbdsYO+tsigh>l-+GYT}8QckyACfUE$!gHi-3dTA_+Ms28J0}T>uVkUNR(==vF z7x7>qh(|prtsqtu(Sss*5k(X&r6OvVr9P2K&S08_}m(ISK#$>aRH7Dda~#S<1WXYwcDi zKeTYHv#R%HGm|>ByVulv`-pyBzc8)Bp3$#T)(0TffzM(JaG<~Wjfeiu> zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOL}zE8tm9;#igwt07WD zs0OZvKn=bcJTEfO#RexxE?5pT(HC zsQ&-|Px_sHl4}6Y(>XdzpUG7K@6+3KicZLN0MFC2)TN_xCBS2JkPguOG)Z^UopLq6 zP7+k5A_a0qz-4h!{Bq0v&M+YeKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5cr=71T5X@yK*@}G8VXuvDn*T(j7JFY&U6V^0bh}q|c6pj;O999MQpRI)ZIF z{9*k?uQFt^uWGV9XtFe5ve<93P%)YInM}$iL}EQB#XHRZK&nEv;Bno3_DH8x?D6(LhPs(56WWfu@x? z>d-~SEq3^dm1(M|QBhH=qT-B-nmOEX!^tLYY_ctGxG$T`v5DjNIp_JD`(B=xn|q(U zX@~nIe{eX@Ji=X}UKSH<|=31*SF^Gs@z#sImimgHC=bE7JcnN z*BX(Fa$RdG&#SJH<99B6Nr%c?Zn;Y28yDT=+85-mk#XA`pV{-sYjszb_Rm2I-r9jq z?cdYq{IRNI{(Ql?d|__B^pD29N2*;h& z@0wtNdp>dfd{A6BlgE?fXW@4qak8!hO(^L1RQ5|A|Mcs2w(ED+IqaX5SI(jx$`Sr5 zXZho#<-N1ne=hs`=U3FHmd~Z`iT}<$;pHQCek#>|PU6&|JjiRsbc6pug`gN(-;_otedA!{^#A#@INB!7@=Q|a+@DS2&}W?l=5yrdrFd)9>cYXN!fm}XuJi@YSS=lj;=`Xx`g`k(GE z$=?!h2dA0WW#o0=eI0UtkjHdNJ92C%pDfGq0TQ|_5bz^z1BdBi3ew57j z@@eM%(j?yVr-%1T$$Q&0^S(NX_odUr`)cw&IL*9Ymc)C(^zeQedCx(CozD1fPU5|A zdU!XJ_ws4xeN7VY%ch6-HRQc*nt8uGiTCBx!~5mreQ=t2Uz^1His|8fEqTwu1^0Bu zcZ>1HjkDzIH?Qt3D_^%*JWn&v>x`$>nI*lq315^M*-y#$_Pe^%(YIXdw%xax1TC#2 zB4r=qI_g`dndkM!6B_`^3l0?tda}=x2fdDv>z(V#bMG|syuo;yKfSt*&zG8nZ^yma z{3-ipQm3o1(J`Iz+3NG;yrWD{Y2I7ObMZ9u#KpC{PVxQ8>xoc*E+Nl>Y3BI~pC|o^ z%ZDlX^A+T|W14y1=<~GrsxZIkOKVM0no2QxQ%|1`_C$9@b{fUqJHIDX7GtV}k zC;f@bz$y7tK2Mc+KQYZbU*+>OfAV@Y)Ss^+&xQB^VLI2*t9_pICoWH?33$fyb^uOgKA+>^w%_dbVX>_mbiU8^aBf%?CJx&C$W zefq5Yun5cIw~_pAU#HI_a>+@Clf&2U2=VFj@{zxZU zkC0BZ9qB~x>yb`0e;}Qxj&#x^^N4iPGnI9EQDwExqp*|sTielbnc!VEzeAoM%wL-8 zz(>UCpCek{zKC_}zj%Jl#5H_hA`Q!PlhN}WS?Bu&m1muvcT>mZ>JLcq2evWrm;Cvd z@qPh$&#!jtrWw6#J)Tak&UN6UL+r1ZL;})rA>;A;?^q}De2Ph7BiFMcWu04HY-U~N zbAhNA`n+I%eOHIZK_Bzxx;tFnEVa|;3iEt!7wbr$+s*U2t302pMSareS@V3JHS&9b z=ly=lcT#?cvgCT8j7CG;)kU97XO&v#ZUM!TkUNM{CM>SsU*>q79Pdnz>p}9gcsBW)7S|R}bv&Ob$92hbHDPhR zBQUN%R%V>d!55C?{xR!y$VbZ@Z!yO^)8o30JT0D0{-(vX#naJp1jD^C5K4`GJCGdD5 z&*`{NjF0Qqgna%r%fk!hQ&ndF*Pk42cU)Fm6HIDZHxi;6K@hScZiTk`aAFI&&@!Ypq&GBxh^gr*C^(5zG`^=xBA66%P zPLkwre_d85eC~7ULVoT88UGy2^0!H072nsc=I1^?A-`rE7e9*K>7?yHn-n|26Dj%Z z8`MXv-nsWboaXbK)%rQOeV$|f%Di9A=T`jZk(cMz$@?NwKd%?Qc7DS9Psls#y0$pC zb={5^2ZUTFe~0TP>v;a>IRk;?eV5}UtUJJZ&YbnzI_GI&ak{U}I9(h#-VajZbUE?P z^f>J%Pm52JGM|rSoc@sbc$t;vqs(z?&r{oTcKkk}J!iK(cPGBGAD&l#N+wC2^8; zo5!@cFn@f2pgiL^=l|!LuveEzJ%Wv^2<8z2RZJ*+Ae*rab3Ur9)Ab#6FYj{ z_xU^T?9%TW_4lpSIE0S*OMXrhbWWM?k3@CVaV?e!czyKmUFkoEIp*pA>r%T;Eml`^+A4(Xvr*EZu-gTP&{Nd8^)Q`O@ z_5EXcoThsZNLQ!4|1FQxRDa_%oel?wBntc?x$G%+&fKi`iT(27l`zJm8{x!WiPk`5T2>7zr*$xkXB@&5SZ};jrQ_atx@^RO8D(=9_ zj(Y_^2RW6z`)R|q=lhxOa*$KO`;3p*IkmjJ)5lr4R`W5^M^Ih+tdFyn@0Y;|P9=|i zt^`ltN0(pOnZqNC>Ce&nb@%f=9txh!CtiOe?|03-k6?NJQ6CQhuJHjmmHGUFkB5L3 zys4h=7k#|0UX9}%ePBlzCihc`w(OY5(6}7vIH&v)q5+d3b#e{>m%4zX&P#wjZ?= z7uKhH|Ddgh?*dqGy2-m4y6tD2P9iGKBXY;7Xj-Vxv#) zr<*wr#A)LN=`?7ae#_^18F@kq&#dwME$|VZtr>YDj9vbRjQb;NTzu2#?d|hhen^Yw zZ<6<>jJ!Mfy$+NG_4B>Cm00IzMc?B{+W-G4#~J6o4Bu~^U%6J_cOAWtFLa;ZfB(c( z_xXQLes@4h9IvFb{ie0lyC}`S67TZ)U2cwx&$Ri2x$fgk06^j;>v$H2_Icc#!0~>@ z@gDH_k3g4owU~ITc>M8t&UZD(yPeYZ)66djbNm%QFKZ>wq_~!S2H7W@?$56*J`Qo6 z$i9*A${eq@f9k!5WUBk8zryGeKUw4L7o2~KXWOq!+CSYN7+IX z$a<6gy5sC`=aIDUn18m5?-uw=*7fzCypH-cl(s)8>ssbl=2^z8BaU5v*?P3=uLd4Z zic7(hxD37SNyGaNaK-OguSe4GLa!6j@Ivc%8s4{fzFF&R8s0aFm$m*`eKU#f>VJ_k zzqT$%8K1#$jj z>zyA@=Xr6Aa)ZC*`sepQ$BT=kI%wxlFK^)x`$dwV+=)b#W1KlImhBk0-^i@SdrQ>G!p1{yWp_qOIRuTu-*nGG9NlF8c3t zn`&KrwwF(`t~aYABBd_QuMew>bzXkRI<8+AZwegu8Q!=_b@3kJp6PXQkUW#th2;Oo zqApt8)NuUZIJUazz3*?Tb@4@B99um|x-azW;)PyZWUY%&xF9Uhgmuw> zFXvS2;$;=nbHDhi=Y{2mCNDo^UFUvXyeDwn`QErmb@5%qJ=5#rR`N_*7nt71q%K{Lt#WO{$BZBJL`jpIo1vsh=C}BG06}@>tbHi<>r% zUnSuHKV{zcu=_>t{o7Nmi??}kJd1p?*2UL&agntyE_r@f+`rlzC$uhhalEYS#rz=a zRO;d&`=`~#A=Ot1Jc()f9S?l8Ji^AgmE^nOBy7*R(cL(d$N=oB9*>i4E7q_y1 zT3sBaUAc8}7jcs6;y8~d#qUgAbn(^9d4@RcerlTkzJ-4B9Lbsb+>Nc@MU02we8Rfu z|K7<|>*8;D`6TOl^XuYg8^ZF#pqC%Aj(e5_2Ig1tfIJ`b{lIbW_r^`Ci$5psnO+x< zlV{Spc&zH8#mz9s4~}E2i{9_yOtmh)(~INKy7)U@Tv(m4`eDzX+IjA0{Js6+u(*GV zH%@3>{0PU(x?ao=vRLwE`%eVge=^Yi3xW1u4749h zvG>=XeJ=*xbX}&cKeH1l>*srrA@MEsJjDKQ1MUAN(Ed!@ ze<#rXyBY0art$E1f%bnNXn!Qo{yzile;H_hJkb8X0`31h#opF4%+&u?Xpr?Ky42aU z_3Zb5N}2tiTxS28GW(xfX8)&_*?&%%{VyuB{|n0O-%w`%i_7f)!ZQ0WEVKX1%Itr2 znf;r~?0-#}{a;>Y|D|R2e_fgVZz;2Xdzt-jEwlgY%j}OI1uZN8<40`E>W`Nfl+_)Q%K2XVrL@0P+CdY4$@?5~ zG(J9F=If8YfOreMaTc;2rR)@5`g^nBg-2`S?F-Z|SL7C4Dev7Vo`-9{vZQ^Xp7;FR zLVZtb(cZN$NNB$-q5X=4_A5)<)vp*=emGfNRpa_@l{rW`|G78a+#-IuZfVCdmDjdjBC@gZ5`Di;5BsmYWWB!csI%u1 zeb3aIRhQ`d2JyOa#lz3Xu9tpYm#n&9B%1X-ENIsEC3URoyGPpl@k6sBdk5Awid^5_ ziTNyxhsV>s*B`XCy`$g#uJJvq-TP42ce&qx1|9c4&0hWGQGp7ESQwK2*DdUeo zkB@KmzD(*b`yr?6@8&Umd`U#7>o5M2`7j4iaOSHSBQB0k2$WIRpg-oB?8dEaaP1}hu133zGwSRLVqpF?UM5e+kGLiLWL|||lf!FN9sCwjXTORu z@{{#qs)xXK?*O`!K==V zQ@hNy&*OTG_`DSDg3~}g?anSUAL5qqk;L}e&J+8gPKUbn%{4+#8Qcyzp5VyoXdEf}2 z0f*P9I{1u`&!B5B;UjS=e0Gt~24|OfzKC1GM?R+#J{uigqw3(Zk9;<{_7Xl_nc~Eep ze0~lz;j`7@HL4CiP2{u9wU_XDIobuMm3&5^h43M637=}<2%qf^uTgdISwTLduDyg$ z3)+RxAo=WYcA4jkxFvk#dPex{ba;)bgOB{4t<2XhjwA7Vx_sS?cHuQjUSrV2PiAlV zx=PGory?c|-=8-vMWrnGbPG_|yPL{BzLZHL4Ci^=_QnLmbEE!M}dG z2FHchBJ!H>?9=A$5bgbW!_U|$uOsewqw3(*MqWo*4}%j_rOmiP%j z@1%T=JG@5K!DpC!PPq0GK6PjpKD)?A%67>5CvFL!^MESzR^{*-RR^Da_KgXA+ekPmT7_?%BZ4dkP`#1Hw%h0y8dtATvv{m`=Rn*#X|w}j8r$!8(? zs4nqCK8q6gxPYoG948;S&kBiW;+F7{bt3W8Og^eh{NTb-;-@8nPZRyK$k`QI1NjiQ zgwHd`XDRupF7ZP?Z3%pE8HB&eLO=N|3*{1|`KS&)O>UgpjR|}}7k}7~AfHWve281Z2d}46K11ZAI{0*x z&*lU^5@*6^h*- ziCeY6HFH_L#Rn@^~EBREp_LBA9NItvBM?O#txgH~K37?C} zN1m&c>u1%$XCL|0B=C{@BJs~r@~I8vL);QR5(g4LvY#n@R0p3t5}xG4ISG6&C7%Xo zSEvuL(Lp-0-Ai@AQLD2DSO2Vb``STs&ca`%>I5fh`rp; z;rRQpTt&KmRTbN0zhGf*)?LyMpNp+t#`B+GzZKN);rMd>H=FyVB5~QCtH{kMJXhoP zyoU#9|6oS@&9r|paDJStkk{5DWaTZG@>f}Lo0AXe@u~{=P5z3X-#EjfZpV$+SJ5En z-v|l(2v2q3eU8U3;c>bChhLYN{yv_Ew!1zRiAV{@O?TpJcS3 zp#6Vjw9jE1Ewo=j`yQZ4 zU644GaoV;0+{mv3v>#5eAM)(C(SDrvavyBX` z=yZ6Esv~dIa~wObxlXU`adsv9?#8c~{rbsI;`emdc|Jelg72yPBwq?Y{HVD2r%`qA zYv(wIZ&G^8co6ujEc}@BV&`LaCX?)KUX=~-Oq!p4{0-nIacHre8(jk}Bet=`Ce zw)CUyRdeKLxqgv)WA;{WWd8@_M)gMfq28Q}R;f4cx@Xqvy>6V^$GhIh=i-yq8$Dh| zy}8`sNA*VaSiOj_UDZy*W<%q z52Ai`vS^=HZ<;)N%S)D*t=`Dz!BRggPg%Wj?WOf*nD%x&sopHo@$-eP9=_#4%MUWH zOuflmZ;!dmLhPR@twm=AX!RlL9HW`C4p|H#hKjE2PvL)2-fUd#v8*@tJxP zTyKULUrF_5M2{Ei%_!}Y>dlyEpH^=UdG<;5=D1srCHFV3y|muQbD45KWXF^0&4fFj zf_%Xve*ctKZ;E_Qtv5?tyjD~@yhhb0sW-LGF0tO^u(2ZhO7i@u@RNL%Wj~F$CH3YN zRG+CgC4PmQ@K@YFMEHsR5c77Sj`L!5#-y5^rrtEsUgl45?f&LQXi{$`SZ`Fv zd`KOL-`_N|ztx+i>_^$F=BPL4<3IZO4ehPoT&M=c{WR@|dL#F1Qg5W6jJM47d)({I zO&EQ$dZWk7s5h^4_))!4JyvgS=J7U2sW+xuz0vkqz0u<{^(MI9tYCa4)tf;*UaU78 zX`fVYwtDty^=6l6pHy$;Ir?}#u>CaGURrM!(cX?H)tfPQK80ovKds&r`J7sBUghGo zqQ&7gsy<1*S?cT(>&*f3Ya_p`^@g}5_2$*&w~YK$pQ$&Q>&=DmpVW(^oENJzCiU=X z>P;RWkl5!@@p|(bbdY*e&-qXt^WpaGiuX5l>~HmE8T(O2^+ukHm3m|LR&V6_6^t8w zexvdibi&f{KhUW?Hut2cVQjCym6!;k8X>alwBIv#I_lzL;j)f;V( z)f+uNQ*VOn%_7EEQoU)@=Wvep~omeiZqliz0Y zQ+=l1WUe>N+l6t9n~a~|9HPB{e{&n>qn-1iI_5*_K>YsZDEnKzSS-e`NQ-stg}dJ|l4Y8YQh^`=3O7wb(E?UU+Ft7o59Z@NAEqA`X)2-fUd#v8*@tJxPTyG{AUrF^QhX+^U&zt2{$NMVIar*;3 zn4kFb)AgQxTD@uZ?33z^ytg2J|6%)SuD!J09HPA){{eWOuHLk|^C?Vt_-XZ~$mi60 zv)aXL#Sw?ssQM)J=9sfftT!vj?>PBMzRL3X4RK5A&0XYog8WpUsW+MH4fA$kkn>`7 z#w6T}ztVa$OnaF>Ic}fd+zn0Y%{b>nbWxHcyxwR()EoIcsvh-5`pI}Z+&EFa*+o6;_ix5&|3mVX^PTMb9*1b3_4_JEY5(ht z_<1~df&5gP_4_1sw9oo|k_OthI;r2wh=1RsS=)=(>&t1Mlt24D`$4mJvM>UF#`Eov zXFo#w#P6SY_T$dJV9x{ZWB+PQ9P;fver_3k56r%&F~NQ{&aPzrSe!HNkcZ^2vOwID zymSwaN<7y(yhhchkeBRyWPHh!CWXi8o;wZw9tHjJP;_2+&_?E?){__xf2Db#fp+E} z%LB52<@~XD#qW)(B@dXr5SP;K%d8 zR?j{y5A5>nZQb~JV6KbD#5^$OcoiC)UCDa0IFHr~c+0vVZb=^K$5B}a^SBOFKZElC z*HhsD{g5>e9HqVa$MV3vJpVeLzv?)DS)cJdki!EWGXItb*03LCln0)NcF6-~Z+T#W zz{T=__Cp@H5Ur92q@Rpe)9^iT^jL;8R^T00J<23PCye}Bw z`8Vh*@mIVa(0<4R&qr$y@__V{@eaCv zQ689}o;%;=q5ZIm*Q4Wlycp-z&aSqB_VT^uxP8607ws3)zK!-$Px1SW(f6oZJ^SUf zAEdqH<+y#nXFo)HyG|DW$L&Wv`%&6I>SW<;*vs{RN$FpeoAci1;JoDiY#;3pX0*@Y z0Sfq|w%W-EHwk|p?H6UVZ>N1{M*B^)AI@mMgZ8^J+8?0(;f(fGc;G|)Q{!Z+f0}5& zIHUb?+IQ1l<}-fZD)EQ;jou5ef%Zcg?YGf>G^71)+K*?nKS=wDjP}QAUxg1+WgVuS zZ;i97os-diF72B#+ApSkYexHJv|o|YzK8aM8SOXGemJAOJeVZ$IhxV_QQD7XwBJkn z1GGn)Ipuytbg3WqUW^IaXWhp*M*FP$BsqK_uKCKz==~gVUU5Ekw4a;NzKQm&w4aT$ zi|6NNZ73oBa`*sF@|4YQ-s!cQ$WQV!;;n>VJ^Kv>@*{2uzx&B=Gx@0wev3SQi^*>b`6c84_MZ-%FRuTG zaNB{u%EC_e-{VO=jN>NXU+sA9i;XA!Z9irM3?-kp!ZDK1RY$za{Zafr>=66geb{fY zA7ykO_5!raeVEzXeVEiYj2k`gto?8wb`e_ZaUUlAWV~Z;oajDm=JT0TuY=T0vFo6; zec3!7w1|JcU88#P=i3dQeUsTI?8h{F_G$YuD?Iz8{g~Y@9uxOtx*e~=n6t}#-9X%u zy!~c4R@T8Dt^?Jv4%)eX(3~l6+xcX*pX%o`Tu+6K^h4JDn60!Ie(^l;+tB3vck=vI z$N9_pjOT$-_P0E+5l5vTWt0aR&@Oqv>@5%AG8})!Jg^bkTaX7XhLrsn=_ljucH=~O zV4Ql=e#`+qUc6pDMEj)un4_M3+I~z9A6UoNQQCe?jc1>>A5-ty&+NxczW+3{A2a#> z&CGtxfkrCALH(4u+4PZ=f-S(Vp(Lm@3DN7)!y=FR{Jw`KZf@+g>lAh*8P}6w3qXb z--rD!G|A`VI3W8msv}}y5$VKe(Nm6?_@v9C=bj-yW|10w>*F}g1_Q^jP^qwcrjXKKSuh= zczfMAQ64x*J!wDYs2(rI`ElAO?Z?#MLE!lQO4@!*y=R}cAJgR7r|riyd-gN?F*Exy zGtbB1cYAQbW1n+P_WaPy^D&d}ugp9jbLw@J+=s|@usz??#OE_w`TPaS;3?0?w0Zg2 z?kDWJ#r9*Cx%!!S-O{c&`9iz1%Y461+>+~-&EP55Ez4QARY%>n`y=CL`!Ss<{QAkS zJCGl7OZdHu{Cdbwb?~$MJ>zHlF$3h6w4X3UeuIJhh+D$%_sDMp`Kbj-sI>^dUt`ItrO&*FV*GyR*iAJgvHuQ2wOx=&+dMfOsAF}SpjMLuV zk9iNze<#mhb)3Jf&v+gx%--_A1!#}w0quu8Fdwb5A0z!_ zyd(9e=7AH`llEh3036?6sZ%|l_98TdkOnwuA{D@n^Z!7s7AwSi@FKIvK82KgbCybKc z@j!mWE#db*@;gC(s)JwBeoWO_lRqCbMt*r`m-)JsxF!4^CckQj*Qh%9CGE%5l3$Yl z4v=46AV1=k@OwY`%^^S4!7ph)W^M|_-{hhh2(xxeqgY zyAL}@;9{SPYd_qFEkJ8M?!%;?jMw7Enc0tl!{l?p3V5ZQ_eiy?Ka2Y@E9l>({g^?| zexunZ?8gjy_G$YuJ3afP{g}nf+m#v@rQg%t<#-jE1M@aYa1f7NmRvOeQ^;5hqR9(V*t#cz~R9$1KW$pdC@dEog1 z7s~_M4|(7+w90;r^po+bFFbWWW)AhF{g@^_UX1fav~M`ytt0>aF>RiG+J4Lm&pvHG zrr)zq+mG4k+0X39%$d8s+e!N|ohkfwl3#ZqKjN0~`!Mfo2OAG3-4Diin}BEO+Pe#9-|_Yv~jOn$0^ zU($Ze7V=B--*NKW8pw~hCHy{0e%r`Tb?{5tkJ;|*62GrhGuK_OjXJx``&q;-;rB7} z+d+P+gWt@4%*=j_)QfWWV|YJPXkgrC-H&OeeU-~2(ep8*jMrAitLlhXxj%~EhqbZ4 z-G_ag{V1dRu*=ad_hDvl_hC;GxY&J|_QQSH6=!95{w&_7 zZlr&c_G7ks_9JGWuphJ2w|926_TEyw4(OEkjPLX8llEhFGjAu=y#tO{VJt9j6SpL9 ze*!$^x@Hg8f$CTXXX<_o*Hhsr{g8D(CXWPS&;Lt4vghPJ$@AaI^H&|`FY7a&2kO}0 z^1u%Eqm1&vBD6~$Fnh}b7Ykf04`@H+fh*A}`!Ui_#+&EHiSoc=>Ph=CZF;;I=k2sl z+K=h??9=vRHhT7H`!U0wecFD^h-W{uA2YKbGqWEv^L$Jdvf<40G3Pz}zx_VnonC%U z+K<_va^12^aqxUh;B^aeORif!h4_%`mV>O@s-tcv?Z+HW;kS?cCIa~pw}juP$?pjH zsSbWg`!UDJFKItvg8YsL@*{2uzt5203G!1N{F3%#s_;ee$=)B6djUWHadw&a6Np>F zZzuUxJG@5K!7ph)rk4DY{8vYQb%FedTf*R0qGL{g}Ba{F=zGA&?(&OZa_` z{N|CL>fkrCA2YKbBlkb$?#J+crqIf`&AK16g7#A1?r~lyARWTxDR^?TI+EiCjDf*O>Ug%K5XXuO65Ht zvsL|ByiXmWf0OoOc6s(=W}mPhv(K|ndp_okmvzU21X+P$;<5g%5%-h5*$=hE* z^vQKi3-h+>SO;h7ehk-Bq2?l2|FiDLG|=A917GC%H}U*c$N9_pjOT$x>~DGCOYBD( z<$=X$mpowhmIq!eaIrj~{g4M#dRm}O^Ae!qS| zaqxVMv&($HPTZ2~mR+cp`h1MTYg8R|J83_rGlkz#^6L)dN8A#AUnajE@>3oBlJ;W; z$S-L>A>ZJxcLoFb5x0cjSIBPz`Kb)-w4VHi0{IcQgx^=mZ!`I+4t`1d zFoGq`Ft9IQha@VBRKfN!}hq^hrGL;W|(q>)=e? zkKuYMG|>-P_hVXV@8^MU@cei3{8h*K%leGxfp+$vK&#||Z$gUSD5E^kjCRQbW^Z|5 zKHB4XK>HyNJPEBkXV1E;Sq>u)^tf@NJg|X!(tgaa9xulER@x`+$L#d%)AnP=Jo~i$ zn0=mo+J4L-&wge=FHfSIqK!-r2UxvDc3E>6$j791YWlgx8%Cz zTd0;&e-E;5tB$&zv>$Ugg#KIpM1Zqnf&t3F7tjHaZC7phy1D?UZd*Zm$V;KOMXfIYbU?D zKz_t6;rCtgn?ru8gJ05q%-j@y{p8mW$d9-s{Km;|9{H&belz$Jjx!&rF8PRma-YpP?RN&174ja7@rqf|d&Zk_ zT)q!6fp)oH`yQm!3(Dwz?d52f`!(F=gMAvYll!w}4!3p%WlN>t z*A(t?dSN!KDspoQN1x!v9q{ZPOt9NbyFWqyALlAs=Rj8G&g1!4R@??1Ef4AOstT-k z)%CqDGN1HAB<;BIk^OA(!(K?>M|i3OPoAHX}BjpF_JQv!@)O+(s$$9KYY+Refsw{3(t~{7^>m z(}H%1pQlUrSp29R;^#WFO8khO;O}*~QTz;Mj32X0i=RKkD3isH9xo$)_Bs40epHXe z&kuOq_*(pE`y}yW_G$5Bc4_frc4_frc3I=+0O#*GrNxiTb3A^Ak+9s@$)R{9*ZBfL;T!;R*4_66a4KCH;SK~8RN(7(&FbYFv?`{qsPmLpZyL$iXYWu z@$*9-H@+4>+CEAAn0;FOm|a@@m|a@@m|fQR*~$6aM``gR^Bj+#?kArbKYxj%52Jr+M|hxl27R*4_66Z{PhH;SK$jPYZ3Y4P(Tj51mL=eh*pUou@n4d4mXOQdfaejx&AS`wD|ckMwu*r^mrNZbI{>O z@uPYyetyE^#@FIU+b4-1vrmg3vrCH~vrCH~v&$MkD>#1}DJ_0vp5yUTS95Co{0)vu z{7^>mvlQ(TKNm^&Sp29R;^!u`O8khO;LmfoQT()Lj32X0i=V&6D3isH9xo$)4mtcN zepHXe&)@O5@wNET_DSN$?9<}M?9$@L?9$@L?6StsBFBOdIUYYF?sybG z|BRy&Ka^4Yyc+EiKiofge_mklzA&{z{JaKQ9`Pe~g1>{~+VeT+Jn8k%IPJ~uhfXiq zr#R%zn*Yo$&3|SW z>c1xPZ=*E-$$7^8H}3iG=Qt|j_W6!tPb1U{d%0AlVp6=}DQMOZVqTE4wfU>I2**8%xr)=fCbvr%!ofO+&wfD4| zoxQJB)~7rlVRqX*ywL=_-Jaceg55#SZX&_%xMx@O3>WV{zZ%zGYv*CVQ|#N>dsoe_ zDFJV>hu4~5x6HF!kzm*3*$pPxZSw4f6YT8wZR|Wo6YT7FhRtp)!EP_douE8MY46Rj z--~H_ri-&%8RwSA4`E|5z7O!PI4d@$wOi%>*$!n zjq=dWjCsiH((;hmrR5>B3(Z3{_<%*~V-uz2Avw=@9vXGWqw9$Ohoj;@%E*7q(Jpxi z(}TaF|I`ltdjnb}4~d=N@8-DUl!quE?>sb~F%OwtS{^dHv^->XX?e)(((;hmrR5>B zOUpxMmzIajE-eq4U0NP8yRLY?9%d(*-cj-l6a4=qodD0H4h!dQCUZn(K>n~ z+GQP`E!|`5NbRtWI?yV4NbCf^>N##5+x27<64+$>k#;?9c4>LY?9%d(*@fnzdh&0k zv^*r|8Ta2VcRb2N|AwRDKg!5|E6^_fgS+up%tLAi|E)x;XX?e)(((;hmrR5>Bo31=0@g84CHT9?Fp?}9wSx1!7I=UV0vW}hr z8DB?ghjp|Ht&)etPVnc}pPGkuG7gfS3$Z+8c4>LY?9%d(*@fnzX7XP_X?aM_Gw#0w z?s$}keu1OnKg!5|ooE;TT>u&PpW4BHU1*g&BzA&-q~7`O1f~5B?&F<@#yM}@3G3YQ zkl76-*jXMjyU_$Y%R^>2o?vHr$m}K(>?{wNU0NP8yRLY?9%d(*`?(nvrEfE zW|x+S%q}etncZ~dA&K|+I39o>O;Sx4tU#@CVBVIAFxR>?zR zC;0ZeXVLZ0SsAZ~XqT3U-0wQo6w>mL*@fmI`&}{ny)er|a-MPj+3!J_|Na9<#ebBM z|GLpG{(HW3k6jO`9sIW%t&)etPVnvbqU?8~?DwA@?>yAX`RGnq=az@eZYaUd@{rk$ zCfHdXGQ05vJIh06H<4gxdC2V2@{rl3XX?e)( zrYjFgyvNs(z0cp)(JygS))8g2j_yLctfMDM_t-j8JFKI-(JFaJ>;&K5_iuT~y?;FU zIoarXC}SQnytF)IcAA+t-%LuQwjhs-W551CzB9x}VM zJY;rhdC2V2@{rl33PWPQm==wQ6>9LX?e)-Li3QlN7CL8X?aM_Gwwfo z|DXBqzi?FiM;ZCAAMN757fbh;|I`ltyBDpJhr~|s?froEUO;=_-{YN!+<@81 z((;hurR5>BOUpxMmzIajE-eq4U0NP8yRLY?9%d(*`?(nvrEfEW|x+S%x=2! zki>g@9St{}xBOUpxM7n+Cc{VDcd6w5<$o^k)#doL^x{R&6Lf0U8`2GB14n=jpC{!=^nPp&h! z&YE?X*a^P97sK9%Veh$kyz|gF^GkQaeUIIjncYx=o!yt2-DrZH-Itl&c!HhXmzmu} zf}P!$nO#~QGP|@qWOiwJ$n4VcklCf>A+t-%LuQwjhs-W551HL`>5=E&i}afi(Pw7h2Vfk#a#R=c6uCk!`^sE zx2KHPqd5o;(OB z<25_GM%96Hf$HZyeAL5hb#?{$)~DdLsb0jZB;HbI*Qh%1>M(Kg{DIBiG7o3Dhck;f z?ZiJ;Ui;H}t>cHvuaC$r($-{D;Yw$$Dlf#3FaX$}7I1l1~m4&Syj{Ls6%>NeRs1BSa z4`-W)v)#ja0&zx&qdIU_csQdT&Q1^KiNx7K9Myp%&t1pQYnO+!$HO^?IAg?79XMki z&bWuO&%>!E&R*iE4xFPN&VCQ)pojA$;v683>cFY5I`zB`c{oQroF@}!f;g%Jr_IAT z>fs#saGpY(W5iJ%IKv*!2@glsPkdd}5J%F1tP9nFv(LkkxWaMdjR%2PSrEH8&biPf z4#mHMqdIVE@cC4H-fP|Q2xpFm^Hk#05l40LkB3w5;WT(S@=bK%GnY83i+?cElT!;GI-w};d3;XH#lJ;YHRIP&{Sahw4U zXM>0HOyUd@M|I#d;W{{uv(dvD@^GF-oK3`09XQ<{&Snp1i-#leE&drMj_SY}@o=_! zI3pg8{Jy*3Y$J~9z>)V8#m{TIhqJ@Oc@A+#iK9AjYVxPz?DTMUc{tA{&ZERp9XPEX z&TbE9kB3uFoH6344xEi1&bWuO&%?QZID3hsI&gM*IQu=EgC5TFh;x8Assrb!hjYlo znecEfB+g;ts1BS4JfIPuuOlALF%M@hagGv4b>OV|&GE$bJcyu}8T8db;n)K{O1JJ;zEZVz$o zx_-dJeGzfxx>@|KI&gQs(D~cmpE?LT90$MRdxwN4RQy#I4)DBov!C%IZfd{1>}P)B zc@}O3`q{bjwEyYGJIH<;z+e2!>)q7xY@S|E|M7Y$>IXPIFBP%#`!AlS%zJ#l$bZku zJo=R~il-6ul6b<`D)3i)u2Jm}PmiEg;%P(=!He+$dg&95I%#7*tz#}m&pHJ*-5 zF`jImES|*e@$~|Coc8=Io*vQTvsTmYA^*KAm(Z`2Q9OMJ{Un~ArTvTXq;`m>52Ib; zN$ez^?7b^HF_7fltnoCa_OkwErYZ~j+28V06Z!3Pc8#iMh^K?ZwRk%0;m#-SA>yhI z+}w*!%}=ASi~CzxW{D@_2FDZ6Gc}&7$ul%R**sZ1ReR?LcbxY8ES_ZEABj!7*A@4c=`z1C7#4i@a;V;6P&lK@pRnHXB1D>xbKc%2P`1Jytd~X zRnHJlwaz};$C~5eE+lRpaa9Lyofl7Ip1+~uFTLIoH#nYno~iLPcZ%_3^JMW<=bc|# zJjuMrfAM)CAf9G7^yP`bzJo!TLuK899_C$STJdoN2p=PheI z$-b6b|1wk94`qMLPnVNlv$JbdJwrS#C9dVCWghMo#BC$4>cDOC;)(YSsd3@gJL0DH z^XnbYGc}$TPcfcso-Cf^{v)1$)8a|yJswZ~ds-IJuar?djiQ&t(?#09n4i=R@$_-D zOFW64;M;pz?EN5FarWj8)PZm#d{SXpQGVk$t^55I?68e=gilx2*9r!g;rNdeocu#pJh>^R9Y^c-l=|i>Eyv?n{X~MqJf_ zyTXg7d{mDozu)rXiMYY>#Pdvzr=BUslg*RGllU_vo@CzR@#Me9NDkcS*m~!#e#?wc5-6o{WUg-Pzx+pI%OWHO{V4 zb3(i&k0JVkh|aei(Z{i>+%rU)u-VhmFPfzVBRb9_D%T&_aF|D zzNR4iuyXtc84u(7$N!ADvOeVfPBy;kvGE_F-4c#(`;4l?4$YJ9`}y%hf28)ad9nCe zfq_EeN9H*mKmPl1UcvLBjN<2W=q2&9K>HWtN9_YHFe0$GMEB$Emko5Z@7VpcPf1`O=&i{ z{Mwyeqw11RpXu(aEkA5^dbFS4>EZTxxUVE`H*r-5Zqn~{7~cU8cY}v}GjRurt2%I# zerLmQH+i_5J=`|p4iQ&%;3oY(hT(4UaJPB5uOjYN;;IhZq~EnL-0dFj4iER$#2qEB z>cHK@^<%$F;pY`}!(ZwC(LVMYfPw6%`1LHQ-$C~K1U7!9pTB;R`c1H(`GMz=x?Yd5 zpUn&Xn%XZn&($}J6V7vLziRfgxMkd>_N!w*TSwiTPw~fOpCip>KU>%B8T&P{pL`A? z^Du&O;`JnH9u~8o?0-wY9U1#sKKeB0eGmi0$739qTyNRFu;jOR-t^zA^cvvF^8}Pp z-uxnZN#4ZcE%+p-`=aVlk3OwX4>`7Zu;G>L-(+MCr~AC%K8v~ zW6rKob*#VFqJ6UKA3e_N3R#CABHk^;QyIJdY32O**Ee?k^Ew$1h(My$|!#1xju=XXK4Ro z{HPt`=gVl9x+`{qAH7$K^KbEE>(lDva<4w_cX1Kb-Gl7k2~>%n+sN;Lvujix^Jw|P zaQ(V_*uy>I;Vvic1aVaduH`So-RJQ==HZ_3aNj`O!@1w;^)6_ByQf>HL4C=%kPG}*u$;$aOZfq9mK68uIj+GdSkeQ9`0NZcb+TBn3$437>{o8x<$0v9SFi5Uuc`g~y32V^?dR8B#$9SZ zzwUA!r1tacF4tvhzd_DJXx-h!exY^O@{!fuVFXr4-jw_n&zt^x#8xuCDWkmk74(w4 ziO2cySInDghrIb!v`XC-JHfa2h&6HjSl&#lyNkW`*W#_e+sUt)>rZv8zg1|TtnTV@ z)HAy-vAWwyJe9G!JIwjHP0y$Dp*@hYk7aeYOUA>v{&B0jcQ`&#-Bmp{{v(Qa-otlt ze5<>vPoeJ8AF1(b^I~;(8^#ZbADQQP{P^!t>*o1TM)9*7y(E78_o%5I;^%8PE_GMz z1mE7H*6PJiTHRgd#m{mtepZ{m89%CH9@FY>r-$3);ofEEOcCB_yIVZmZ65AD#NA3<)q$HNP0om$=X;~CxXS6X*>vR`Q39b><8>n_hDb-k9{@6u0lUX$HN`gND{oI0LgcNur7 z{rtMyosp+sciS`e+sAnbt-FWVFSPDjKC-$yiU|nGo08w+d9$Y8-EZ4-D}9V_$|!IC z5qe49#N(d$E7o1LL*D#4TBYuao#4-{cl#VW)sNXfciBz9+jaUL_U{C$+^_VL-UHT(+eaz*&SlxXTuxjqh1T68 z%tuyt#}GFmdGi|@hsEdF{r5!v7Vsp0P)2$4o9HKb^BnD8th;K5y!kD(OWhSa$(#0` z$R@5I%bTh9yWaY1@z&p)$*-B~Pj#%n-$wgnbytt0o?*KVf5m;~jl@$KtGjKSAHS|z z-F;BT!?^x&tGjP;e4_hZ)nns70v2)|@jD#f>aOZjsJrw>YP{OKSl!)=@k8QA<~d$> z{r6idjcg0Td?LC>TUi_rh-DO_$mW zkLsAmw7T2r;r4jAZzFCuaa9LyTHPJ+a5s3kzf0Uf;;IhZw7R>=!`(wcd7mSy4%h96#q|lU+&l4_Kf|8IS-+AcZB^y z>#pS^tGfqqVj+1`@>@J_`tL=3C*zwk%A4OoFUgxv(*DJ~sdmVl-$lFBU9l5T%RF+&18^Sa*Mqcq(IccPHn^ zud7yfe_zJKxc+ggyR!cuzu#3oHvS`EA$9lN9N+4$>Qkt@^hfIYuz9h%dl=(~#E;B# zJbwK5!al_Fp^V~Z9K9rdF4q3V_)$B=&mW^*>aN%czP%TAobzw-lU8^4d+~G7i=RIr zzXOaP)iIB0b@#A`d&I-tLfi@Bst(+=x_ivSJ>lWLhq%Xyt2%Jg>TVT25R!SdbyTf- zaUDsZ$n{a)*)^&T+_bt|>*3DvaNkSZI^wDh+_bto*TbFX;cg{v194Rc?ikmp)m=ZH z(G7p4b$1{8h1T6e>{o8x<$0v8SHJGkuc`g~y32V^?dR8B#$9SZzwUA!r1tacF4tvh zzoVRo(7Kyzbo(Qrb=UHd)!m~AtdP7Z`7NF|{r3RBkMT_z<<0M*m*mZ-X#Zl~R6FF& zKS8_HU9l7VO)qfgC-1EZdA@(KxBgnZ_4hFOHFN!`j`jC`v`(>LTiyMD;}hNQsvaBv5wMWD`$3LxbyxK%)Lr@`HC}CA ztnQw`_#yEl^Bj*K|2@bfJRiy^e)gi5#1EDW{)+LVc8H(vqgCpz*a^P92f5XYpR~HW z%!{ApUi>^_{$~8Bj(JS0yPY0xkB9pq;&u~Pb>ODe-2o4GgNOTJ;tmp5b>ODe-Ax|u zW)F8eafgVjI&jnK?iLSsn}_=m;%+6b>cCB_yW2h79UksSi91SM)qz{jb!v6jk7snl zUuoTKV!zP3+suCD)?J=Q>U#C-F8!L?&#$|j=hS|F-DTXR_Vep*H|JCQA9TN)v0oeK zA++wUV8771Yx&6PuKzt$du~X>4e@pWAIM66x96E9zs2*W|K975F}^8#iBs{X_>bhx zbG4zEH`NY#Q|gV>U9l5Ep>9t*)^(;_4jeK zPgZyJIO-X?;jdVCKS4Z|vAWyO`SI(j)!k3Zco^3|ZgqEu;}g|g)nns70v0kapW^sd zcU7N4-K9TL*N4rE)m?c2Hl*&#`NiX>9XGJ@`ItQ)@M)e8WfVXA&`aWHzVNe0%TrIOpHuC#~-8cX1Kj=N)8!`+WB^0syOxiv?)u-? zPpi8chWJPF+%WQ7wKf#r^UmjvbUzu#D-Mw77vPA~)ivpU;^cYy{e6k=?>l?sJ^8D8 zualMP|9Je%_eD;gJhz~@s53RH*RWr4oh-$;QlA7z#(BN`2jd*hIF6df*4;Q7PM&;1 z$vASKCHLiXD4j0*bn;ip_M&d>zP!of%eq|n`4su?#{j3}>%Qk(Q<$g6YYI*;@^kG) z-REg~#P<@nKk-G*^Mu2#u^YIP~#`(QrC{Z_)OeLO-R`i~e=(Mcv0WJ@Pji#Gh}} z1C@oRO_A?5^gkWn5pAz2Y@l>{k)LZX>M+A!aow5j^X;JBbEe356#Y-fcZasu6ox3B zUgYQ6i@MU7gzqf(z5_(5{8d)8==Ehyp@Hu~u=jGBZtqnz-QJ65dOZ@OA|b zWXJ8jFs9?8^OXK|o^J08F#A^TxP2efj*olC?Rz73ycHj)#rfLvt#;g=S2W$8!!f;; zpLdvUpBI{LpZ}O{`|>qB^eIzM6n%eDrt>??dFX+u`6}w~pVX|EnxqG{tqH z=NB7CaOM1!FWQemlljzfV|K1R`?|36I;42#Ms@iS)z5qQYdo(%f|Ppi*8%gh9tRIS zZSXWdf8F)d-#x*6Em0kQ{zd|x@zLWkJoEE6(NE?b^fX_}=ey#U`BU_>o?py=f+l!l zGLE!Eil24dxSh67-OoJFD4xG1TvL{^Yb6*|0)aDP0`PKelh33%pbJs!g|Kks)q_}BSaKA#uA+&o1;>-oj}CuoAFe9=A^_~K_BH)iMBr|xHa zF6I%8CVu`Q#t}dNCFEp&*5lw|{msuma{Vd}IGiP_!_R+}fMdOU__em>-I@UQdp`}BX6g;i7Zvz}kfe}XH1R=#Lo z41DpkjvKRc?Nj$NE(>*>NAR!s`R_1}`1$W4C-bu&2M_CSe*UTJS8>?kEKwbP{)YrS z^Rph0;hCTR(c$1<=jZq9|0)ajOwrGJelhXSyB4_0!hJj+n4J_pW9TjO@lWU=^C7abN`J0TfTO=Z z*Z<90t@s#+^D(P)<-p3S+*wsidUGphK|Tu!zA`sEFYBTTQo4yQ!;3BrB5NpXDIp?z zIgXEwB3=4R-H>+Hk6EjF?uMQ|ULE_*p{%Ebi0}p1_({8rXUCaGXWh5vx?UMC2U(F} z+y>&yevIHZQ5vqvq;Zu%t}^oP&Fcm-ov-Y>$au|^EtC+aoNtwNaz*PChF6~ynP?DockI_Y_` zPR#1;THhye)RkM`SD7J>dWhRkIY0>!biNpOm5kf7CfoTA5?}UDi1$@En>UH9p>M~``x)!S^PfE{(C9+Q9@)o@4Of~ zzu%|xeO$38A%_9%F zuN7Vkr;FF+NLTpZy&F0Oi4Q z`OrVO?3j{&4sra$loRFhG5_#7DAYekIQ~(}W99Ope{lITCI1}f_$Q19Hj?E!L&{@Z zCha#R)-PU70)tj=z<1o9B;V>Ph}6cRscgf0R<5UlxDZ`I<}`KXE=v_Aj!{ z$4-u)G!NEpyrz3T#yI{y%Ke@{#;GUyqulwB=fK7PhbRwwLKIHjR89!+r ztlj)G-Sg4J@tY~-cY5RgSVSEnYL9<@TJC%-C4L*F{GM-od^_)?@e|ia$v$1S`H<&- z|2OBOo8u2s%J1C9{echJRELP#r*l5!_i$yrA@ z<2BvuV}#@Hq?F%@jnBs@b%>~aI_E=vPgceoqmJX8irgJ{z_gKaM$0_CaSVQKcT8N%@KRTQLF_?~Leom4y zitzIN@8|1F7xH=^GRyUTHOH@^tfjQ^OeXpNsbUcSJdyXO{yzBf+&bJ!oK=-}|0UnI zu=AWu8n5a0$6SuzKshhaAMW`sd_GaS55HXR!`2Nfmw8F^12)P3SN*V<<2O^b1p1*Q zE^MEG_vN-vusnBXQG5jcLydSssVKL^X2r+0GvqqB6Es^xfflyk}*KQKSd<#-L0^8&|D%1{31&{^}7e9v~W>&M4BKP~3? z^801NqorJbSbp+82hWt*33{T4S}-zx35&0q0Sk!{O*ZV=gb z_f;(-TP}NrKL2xDlY9Q>I_a3JoITIUS*yFc-1F9ug12^{Q|){D9G_Jk^L4&2UznRO z&kspAv0HV^HOl|0l`Zab$eY_2$#`$P<%T69=Xc%RCUWtD9JT_%%Z)etLXL}Z5RW*Y zu0dQ{o>jHFFEz4 z^N905%l1)1&tC%q{ViX;+Ml<^_4+*V9gS}O26F4WZN4zB`?({?Um^kFdqCAkoUHf{ z>J!wDQ9n%m7u5Gt|99%&rv7i#AEkbj`VQ*WPXfPl$n%_k zq5jX5Kcgh?bN-3?KT`e!CC}rWpHlyO%DfwrTjkSUdlhA{2t{WQ;t)9m-0K5oS$>{Q2!R?Hz~hC zIY#+)%0Hs~8s%=vuTp-6^2?OFD8EGcManNwGA_>fJoV2}ewK14iTLir%&M#|r& zd^6>5QEs5*`aI_W>i1I)Qr<_oo^l=K0OeZBHI(;K_EYvz_EO$M*+cnj$~iT;Ts`WT zWKp@ESSbI2l>3JYNYRoc-lLO$K6*+&ejhN8aRCyCb!U?n_zAg7bpMIJ9)_F+N^@Vbb+9Aowq)9O&qm>%07_wHuaLuLaQ%|KKJ#$o_^v|1U{ce@Xs)MIfIW1N|x2Dja zf#>^5JP;eg2Va5>vd1Pvko~Iz@t5HOCxriN1O0hRApYwD@!JFOZw&tKh~FEC-xrAAABcZ%V138URFHqL$sARlc;{SFa{>DK32Ltin5{Umhf%uyO@!uMV|F%H<-wnhc3dDbVAili#EF^!vGZ0^X zpDG0ZU4i(&7l{A+f%wCL`0ozP&kqIW=PiNxSza_4;{W#s`e$n({`&&)9}dKSe<1$0 zK>R-p#Q#7b{s#l`M*{I53B>M>RbAkAu55#{o5dRB-_2r9!^<`IJefdft|E~u6 zXLlg}*8=hXC=mbaf%s#A_}>V`|7IZmw*v9^1mb@?5dS-Y_}>kT|M9^1|6XAH?+xVt z{XqZxX(0Ze1>)}u#Q#Ac{+|cp|3x6a{61kw{{LYh{$B>-|0oduKp_5K1>*m8ApVa7 z@ec;#|0EFqZvyfEHW2?%ApYM4;{Sah{!at*+u^|c_K$)2Z6c8W&jS7P&w=>=5{Q2! z5dY_a`2QM+|NjEVQYh|O@IIq7aIjJ#*|4hBFc&@W;JTGX~j0JQ6na4 zN>dw4Y-tS`Jx7cfm-q!CN{mq=qM}B?sx{VFu!0~)nh|OlC)3Gvn3hh{4xOQ-&-32r ze)rA0_avL#{bQfJ=id9gpYxt`e|+!edvDA9C$!9eBHq}|{hynSWNx{HM3f ze@4svXSU2gyJi08w9J22%lyx6nSZ!t{==KGOR>F7FpTjrKwJ-q!(qPv+2} znQLt`ya$%gksq1X-}xbK_~3o7^RPPK|Jqq^EA(}1<@a0B-nC}v`|uCGA69w0&jJWz z+?K>oUv~h1PhkupPj5Ti=CtJ|SWo%*bv}HLc1D-aHT=IrGrHH~MMK=aUXRcHVSF|k zsQkVod}s287xQF_405z^D!^iGjS?kv|nw@PqeztxQW~G zeYhwy{pMMW_X{saOrBX)yZJIN-@iiMYMlDUrB+ui9}erk4e^nv!je^^e9?`*%XU=u0ksf1mZT7+kv%lZ)fnG=B9w+E=aD zy{KAm%Ht>BN#n=yCeKLaH_u}5)Z_C6+Bq%%Sij z9B0j^n+LU?Z8y-_%J*hXpVq&+daqdjs)LBx{{z}?w2FKEqYvi+=NA>ie{~iVhwUEHKLo|)3Kq%~Kq`rdkXp_a?1%lC1! zpL~A?>(Bad{#cChs@_A^zv_SNc-7xky(j5N$Xn0z#g$*LuFuf^{ohCNTU_v4Qt;Dr zY3%sJ@#ArbTJyfO;J38k_g~_xeQK@!-c#_qtl+2T$Mm?O-<1WwWd%Py2d3zk3VzEA zetIrU(eH+W--?2tp8rzxyS3oAvf!uZxD@^FD)_A_`04p8MZbFrerpSUJfCjHafj!5 zz7M`W|HB49eH}i>ipQ~f|E-T_2hh&_kLPRdf6^-u;-{}e-%Z#~`+M(rXY1j3r{$|{ z^&|YMo})FvC5{f~;Vk%{4J8MU=R984oSg4C9`ugyLlzHpt8t%k6PJ^lK0Iz%jN`^~ z#NKCCwY=vu$KnYeet(@l!`41H&tmv&M(lmgt(N0>eKr2o*R9TX6F#gzedbr|&9fLj zyAgYzc-|VZ^(TB-FZ$?wIL~7E)OCsD$NtxKfcD0Z{pPsG@w4?cepg}Ma6QhO`g}Et z=+L2|?vpwX@qK0FXFJs9Eyo4Tz4I6AfsifTecF!i72|em(8}$Gq1>)Me{uV!?fCvL zZdaerRL|aas>7swd`}m*yAQ6+r}`b0^MA!_IQYeRy$*F@o&5f!^NQ^kSg!NcQ1@I6 zH0SSPtj)UB{W?MIynrVc-Jb4o4fW2uY-b&6-dhpT&zjNB=Xfw)V(pXtxx;vWa+8DO z?yoDV_Q7t+zd9dH_9q9qtNm!GSNENkXU0v#%0F!JV3++RF9bh*fp=a~!HTdc4 zZpUvfXPr53dA;<=w9a|M);?tW57z7O`HbA&mJf7#+rLxw;PnBvv+H#Dj9HBRgwNA! z@~e4lx$~=eW?(z=7#EfgF^0xE+IndBb@cVYL)oo=H9u?)>wnG92|<25&XIY?ECxT1 zWAK}2?T8WLXJQ^)hNx+taV^imnA_FkG#3TnJQ+qS_ruaS@%oF~)pa=)5$kdqw5hIj zKW#bcQg2`PlkjupP%ZwJXduYh8}60Y&q&uZ(ono+0}NP=Rr9iEk?iQ z6#RgRpT2Qh?)++==VK}7jd5YQ`9kA3*?MR{)^UpbFF-!dvvaDvV-~w{vi62?T8{OP zXPib+fT`?H?62RScpbsIybyY%akAyA7q_p+>AY&Y&WkFaF^kc!7Z?2MakAykuO6p) zl~2dGu-tsLo?$lw8y%zf%i-^?lIlIph<5 z56X|)os5J$KAvF>{j0VpX81Jj zpNOY>Ct~i8wi;8Hy% z)r;>}Ccl=C>v{h@uD2o^xlA3`FxtuW3)PD;xwL(jCca16jpJ$?=lb}2LjNi|e&IOG zzx(*{KcMZ-mahqZ9aQ@?6x!Ea{FC~}_Z0j7>HP)0{#^!7_RrMykDq&e-5Ilg!#H93 z`5?b6O|t@5X+F#eTza2EkLzv7MlMswbq?A+7vm-_`DJOEuYA9cwc)$$a_FH$vpXY~ zoXq}otlj0|=S}9}b83D4^uC84=O0vlc0ceMw>>`}`}x6`oZ3E16Z5crk@9G~S6g$# zFPkTrKQ?bLf9!mP`NO~S`T4V4e*FAR|22vS5glniT_5_Z_gVD%yA0cNTufbm=c3(@ z3uERTIjR4!`69n8O?+=J>sxb`<}u%=>&HRw*XVKmA+nLn)N##2yXRtTTw4#H$MVb4 zv^8)c#82O({cC67()&JoTz`bE$Ytud=A+$nF(%jUy`97ACBH09y8>6KUVQJauh(OC z-s|siy&c)eW$L)jN4w`@+*B|5Wof!Ea3RFclcdmSZb&nL4foX!l%Js0DqddV+K(@f<%+Wt5kxb*&--u!wOvXRTwab1XZ&&9ZjOMY3J<_4}(z3_6T z!|J8?>GZf(ARD<%9oI!@_gsvdxa60miO=1h%JGZOZ}#J;_xtp?-i>VJGId<9M7!r= z+{7inEKSP-SE*hr1DD=6)Z^lLl3b>aYXt3{i*XZ|{IWFRC0J9c*M`8gFUa`Nt$YtudM$zuM7&mdrFH6&oz}08lO+HTW zJ*9qrJsj%A4;ruczggrHSv`oJyUCw4S)f9|~M+kd0iXj*IunJQw38 zF8O6?;(IqeSE*inPo^LLp9Zc!K{j%kIxgNv^IVL{H4?7dysLEtLQOTMqtb3GWi zu0S?&nK~}s2lQNwo4DkcrD<{CD%I<TUE>p+F`wX6oaTAyPvNT;4xJvcPf$RRj^=HUNE>p+F&-0#(F}dP#PkvdN zwgj$Hy|x9e`vTW{k&RrYj*FjnJs0C9F8O6?x;=1}>UDSE`f=d;b7UizspI13T+hY0 zX&mL3rD;##D%I=3!1a%TYaOzY%hYl4^PcBoOs;rbm0y;oM*~->UIzl#j{?{Gkd0iX zj*FkGJQw4pag<+{rh|d2R4=?d_ORpEy@Bg5kd0iXj*FjPJQw4pag<+{rs>Kzh_#P& z|8Z8}x+id5iS5Z{>bTy8cF)DQsb2ES(ljSk!7| z()L-JE|R~lCsy0_2ChTw`UBS?cHbV?A^iW&{yJolw!2)uOBElj&dar)=I!{N(4Y6i zkNq)q{aJ~2UuVYbPuySRm!)Y<;40O5ec<{*;QC8sBbTY;;`N{BV%#(@<(H*tW8f<7 z$D0G!-v_S0LN;=lIxb!>c`n9H^HP3Unzjb6QoVKtuI~q~tB{RcrjCo(C7z3MQ@!Mu zrD<2-D%ERu;MyIy{uE>p+F{nK+XZkk{6%hI$iaFyz{A#mLtxITnzor`r?P5M$xAA*r{<@9No8@*%zI;BZ?@wu7@;Q`TPs_)@5&H8H__05xu0M=@ zof%u5!{68Bm!)X{2V&1vs`HT6tGT`&xUNMua+x}=kD}dkF(%hEyx_{;*OFhBrrCk3 zRIhUb*Vh6U^O4KcaeWN!o{MqQyp&&-rUikkRIic1bw}XZglyz8bzC1uyXRtT_4?Jo zVfB(p*K zJ=#4N%N`|Chq?p*~744pjF}dP( zsQj`t9SmHhdQJPa!_N~t0~hxLa+x}=&!F9NF>b1t{IWDnS3ck!@&AX+3S74at}WP} zT&9j|8`?b=V{*m&4)V*=G$(MC>NP)beIamh|0I{GvMsN$0c%^Ib1t z{IWD{4P2#q?F?L>4O~24lFQU_-Hvw8#h6_2_$9wAO}heDsb0GS*S5fQGqRD()Ny?U z?c}P@tBlE|?Xxu9Cx4wESKIj$=T$qO;=Fnb^WnVuA5ec@<@djNJ^WSq?w?|Ro(TQ< zOz01f|Ll*c>(AHF?(58${fWmt`DJN(DsYv?fAE=ypC>*YxIT?+bZkm_!%hEJAaFyz{FmT-*xNbo zHgcIdu5Y8=b1`n>l3$jlb%Co?uML6g#=x~5*~n$;xW0>a&&9ZDe#tLO)26^xs@IKy z>xRJfIb+{G)E>p+#1GIZC#^j2}RrzIU+8ek^^?EFDZ3$ew?je_{mw0wL#aPfMK zT&9leM`-t4jGMURm!)Y=;40N?LE!pC;QAu6k;~L^{TS_@i*eKZl3$jl(ZE%z*V4eX zIdI*EY~(U^T=%2hb1`n>l3$jl6@jZ%uXTaz*Sf%!0@v4(ja;UV>z8QvT#TEzysW8f;)YfIqzaNy$SBXXHKuE)^s zxfnOiFZpF@+7Y-)_1YD)QoZ&Du8o0bUl!-E%P}SG?~azbsAr16QeD2Lsm!1J|9%MlMsw^{;66T#TFQCBH0911C-K_@(t! z&+%&l7e7yu%hYilK)dH++{7inEKPF)SE*hL0@u}n>uzKtm#O3WH?(^$#!X!E%hEI& qxJvVDY2exrxcE7jT&9leakP6b#!X!E%hI$WaFyz{E^vK7T>lU3>D2B3 literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib1_0.qtl b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000000000000000000000000000000000000..f10815b232718230cc88e54b1564fdf2430987ba GIT binary patch literal 42744 zcmcGX2V4`&+W&WwkOWA85I`XGW(c9TP(-R6K%}T3h@v72Qfw3j3o0n~h6;9+BG^zs z1rb3ZSg?1oH*6G9#ESo&-N}jf-uJxk@p%9FoWtzz`OZ9LvYAabGJt946spzNlr=|} z;y;70PjD|)quM9}jCe5NPnwbtqu{OH5By<7vl3!7T)C4fScuVaHI7hVnmz`H(6WS> zEZ(kxg1S>dUJe>7gqS?uHW0?k3i2#yd_)?%2_sNakY__H;E)c0GHK^3$SdG>3Q(a# z1GZUdvQ1RUu?t&XjF~*VYf0c(Cl6c4_k%3WnlH;vyatTbvrmQ3lQmC>|4L2W6k>K` z(T!61U57=N<#)?3+BTk3Jml#X#WUL%T!^af*%Vo#kh{eDXtuz&>E>eFb*?WyYy%hd zwVY3HdN-m;CV#+{mX7C@mN_fGdX)3tHPke_hDCnc8R&BMnZ1Vj%T%V{i}sEgd+tQ% ztv>Uy=hUs~cXwI7tT8nLsawA4hHXq5sIUDaNF#d%w=wziH&*fX8}rjc7tV?D%u8Rl zt8dKkkn}l4A!$WZdoR9OG;iSuFyWxOj>!M0B7K5rn(gwF}4WTh0WG)+kl!+QWxgd790OwuXenFe^L{eLWC}WEKXD zr_n}Alsg6nT2W*l*#USCYOE=na{L7T^SA@mX6KDb?qLmMw=h56WldQ=skia@*1@ek z%>F8DWw=7yJA38$&b$t0h6iX;R34K0Y;@Yp@vcmRu@v_&EJgF#4_lp83u%*=V)mQ1 z$H_n7Pz$x1&raH47WYu@^M^6(uhN)@Knv#>L$T&5?W*(l1CL(t8SS6uU$yz5N6Xwq z`H|+9B73t*}*BGj&V5!>nt_n$J<((n|>I5rXuSOaKL1pC>Huw>T-5GIpe+D zNEfr(%5}7q4FE_fBOFNiE5WNG`#G9-loGSLvoYdQs_K zmsh&0j~za@abqFq;!fHmYPT&rHRsyg?<3MHzVUB%uF-sbe7yhbb(yzk!>4@ZSjDZU zNAAFXhPh-6D!lS$K>}Q6@CVTVB?IDsswcpxxbe@02d+2NU>1)!)y9#l(KN!C#p1!` zfwqP;?j(#AEFN5oXbq(CGGTng;t^|7H)#Y)gcWdDJh(*BfHJakNxKS*N32#r71`BD z`v4Y?T(8uTU4yi1vUqU4q5&SVYms(s7Eh6|>j*I=`~c{Zc0Cr4L)i6Ee*@BP$l@sz zb|YjrChaCH9+$8WM1J_B-IT>sA?yOw-;A^iSv*z3ZjS60q}`ImQzPtF$Zk#AZCJbk zgxwa|?MS;li>FT59gy9Tw2N3g4Z`k(?9Qa!g~ih(?5@Z@h_t)0cs#;B7}?!Py9bM> zMc6%&-HWt)vv}Hs-3Qr+kak}dPlvGof$V;y-JiwNCF}vnK9sZvvUqxgeHgL_k#_uS z(kJX8$R0}ChqHJFgnb0Ek0kA3EFN6rXdoQfN0Igj7SD*VM@leSMA9D1;_(UlBxIjV+T&O}Q^FpP>{Cd40*fag?1{*p zMB0;CJTt;R71_zx517uvPgq#j3}l~4_D^B)%n5rcvZs;ubQaHou+PGn@B{|4NqYvL z2{J7SG6!SAQy9!8$vm9Q$FB=`j4X@^PhzluBnxq}$eJM87}Exl9Fi=?$r4+FDQ2G@)5*@}F&dK2;j#`3`rzy(rXL_RN)@)B}#2}&xstic^ZjJkrHTp_z% zMNY1g@)~k-jg;4slk23D8yZ|+!pTkKb)L6vSyG=eGH@mJagjkq zQlBa^s7dM@fDG!A`qZHTuTMjR8%AcNDXC8rWzUn;$3q4!Nqt(#pe?CS8`YEMsm~D^M3VZPkil6}pEESz^|@$pBgu?hCH1+Y><3Be8-xsQlKR|`VX&mW z!KglW4en^tn}?)64;0x`QlBR>cuDH>Mg|{AeLl!AL{i@nWbl>L_XjfgN$T@Qh5$)@ z0nmWgH&lZgMP?KzsV@*^KTJ~JFk}dl)E9&d!IJudQGFpA+%crLP)U8EDDrSgeZ!Gq zgrvTa$Pgx}FAN#NCG~|P!zf985y%iJsc$qgL`mw4f(E?4F&f;lWJY5#Cj4AP0nx;J zKnfVgXHvlUXp&Bl&=^D~LK-`cq?06+e6LLbagfH3C+QRkO+aH4Ax)Y<(qsvpis&>* zr^k?VhJ=#uvMC@1($tA0O_R`cG=?L2t9@VGApt< z?aB&4r-S##vW}zobW(=)Papm8{b`%16=v=Ex;j&Q6f%Ul;alIge;vY1&_9;6Bq4LZ z<_FrcggEP4yKkta%-C7lv7e7-bFOE;K3shNpS+4Wc62-0&NBlzcFR1&(Z&ncvcoxcd zr2l3A#{>n_WxF0m{&-?M<#j#nZGmZ3+YNT->|5DS^wh(OW*%}GRJT1Rtfgv}QLW7( z-Cp1QNqrmFjeq>{X@g4In!xd~}`C2>I7X>|XE(3nV*=))QSjRWr*L_lX%*{i# zajFLlpZ3l7DM@vG+9aO4$KY0#=AP|+{l^w*ES+=17!$r;VEOs{{!ODbPMUgdYJWF0 zbjRSmD;T4Lj8?`*9raTm{CMe>wI}EAdiG(*g=<%bKmTmpa%9o{`EUBFrqSkE?3*Ve z*CO|n3uJ=Uu%Cs6_@`CB**5uR>H-JPN&BjAw;!nwZoEP_(%rgsL;PdTxvPR#`X{!g zE+{(Kqo3iJZQ%7KIl)r3@P&bqsQcP7^)pjOwq2~gapuXj<~D5az3M>YfQvq@CdxrM ziFONajPzVl@ICKx!3EP(T@xPET~Fz^dOm|w&>CUgJ~uOYQDgaF`55II{q};n%{fhL zJ6rDuCFhjYnW$a2SLMm)Z@f5LAuDWYbc`sx?ZWr;iE?8eHn*uiSB(16);K?;EmOY! zlM$mb>@?eVt+x5n;c1@qlzF9%#5srGfYig3DAX(ee)rK*#%mw&JP7np0ANWAt>g@* zRqA_|01J5R29L`DFsFqc|!peO@CKnp#>afV_q0H(CiV}RoX z)qVi@w9s0>5ka*N4@3>E0i3~9Qkm*O=A?I+aAHoyoeY8q4=kkO?p>k4eOTy*9tRvZ zUA*5ODiBaZPf$3{km1UdP)_8qH!()5$*a#lmk;jJc*p@stfX6oEu|7Put~3fc z>O^ZXrJV=()1rS25TZHssD3qZ)Pg^)`bV7Ug#xC>^n-4nB%({*O@x6_y6%D1EV|K0b2C?m0@5k87+{*O`o3QImYYM|J1AU=w0{*O^+6_#e?D1q2gfR7>@VibPf)li)U zEdqh}0em5%8Vs)ku7VcfPtr}$V)2s{nYHlEq@A73S_D5ySF;x3Ptwh-#o{L^61MP# zugA@FS}j{~y5HmGA*3W59v}l4a=k#n^I%9i67`hr7+)*(YFrUlxRY83}Uz^$VOH>1u5=K zpvKj54rm$ArcYj`JJYh_Q`ivaIL0QPoZ+$k`J5-p)F6xTZ*}-%=@nn}2q08Rzxpk4s=OSuvxOVu*o++6V+64@M2Zb-OgMGN-^HfHW*1WUH`6mK$k1%Sw z6`SQQ9N~6-P^t(j`vkwDDBHa47@TPF0*llQPlsCC`W~J$n=YQh2(jY?c$Xs;m_lNyC7MR_9(>ZhrApQE;a|F)x(NFcwx2O7kazw1&2ZhZK~e3_7k zx(BUF7>wX2T4Rj-qX*FGh>fKC}U3MitE}Ndu zV@yiZ5lvQ#SGSd=4qvYC=9<{LR^GpgvGJa+)tQ0?gWZDIyZewsnE|9J+5a0a!B88rE62F?F<1}P8qWmejpz~n~T z?1_lD9BQbHDb^}(*=Y^f#>*`RmvegrjgIFfmMWVPH$& zD1SQ^xJ3ThTPwj$#occXR|{8RpEInx4`%hryFTLX=Be7)7Q062V!cVMK@UfLWmTKY z+MMPX*WTD4%)~_6?C006I4BtP${G8sjx@VdyKNTsh@+6G3E-MSo~I-OD(aJ)MEN=$G+)Er{S*-vjujGIBs{~3*~%JOlQ!Ck^bS+K)^QpyRC9- zd}f5ObyquV=sw0+asUjS=2))9&GQ_ruhzKSA-qUCxi#|49$)yKVtS6qV}w?YF21ZT zSn1r_c14LR{|qd>?eu94wR(x!O#0Gt-K%9y>wR8dN(|nN4Odd+l(hEMZ;Mdyui)@scI?LQ1)} z+SaQM+1A=))+X{Uq`=HADn-|=J?_EGL)B&1c2H9FLazd?6Hdivsgsn|E$Am_>mS{` zt@!c^U^`MaDuB^HXxlBh$B$Xjy1HL~Oa$j{IX6wDW;UG;qRUQH?HR>$pg)|Y^@BFw zyKlaU)%%+mwa+zi`WTklt1-5pt}Xr!Gv7AQ?p4ONsW9{R#;*cjwYoJtz6ta{+Rx6A z+hh5uhh1~jp+7^Ru%j7ti5=IaadjRSXsDF~hsP9cTIuI`Ys_Kh-%UjAiRp?kb4Rn_ z(pi)FvlsXR%STS@HK|m64|Tdg%kcH-VOCGC0GY+IPO})L^320>b;npMmI+JF9t8)V zId2Q4b{1||qu+g})+ocnZqx>7mDs%2e_fqdc3?GjdBUJ*VZuYT70I@R&$;<9^M-kL z&RqxKw@+B}#})G`zU(coTMc?*?EMGIZU45dk^N|aLxS$`Pj12B-WJEAVs7Tu21~WU z6CLVCYu~atXIRn?GtXBQ)ki*=0yCd7W06gOvrhT56p(h)i9Vk?cGkL;bPW&VdrD^1 z+IFz!sq933M#^@VF1ck1tXDOuYoe}#o)gZ)TB!HG?P;c;JT)NSmbamzU0Ex&^v;}- zLg$?PD6Bffjjq{Wt9HfFcE&WlW|;ZM{dUp!`rr{3y#C6-n5p@nmPLV0!S-B^oP0q; zKHFBsVU%aA+2|OMv)|FWformyTdT%$a#)tDeHg4)wcP?UZyqX2x^%@6W*$}e0w}YV zU#c+&#a>SK2GqE{ef4zr^nrejY7|Aaeox(ckSM~n*5(w}Tm4=CZ4bX;lFQp(Hne~A?)vYoJ(%)vKSUuaire`Y(tYxaAXZ_F7xN{-Q5 zn0d^__7C)^#*4a6;GC>|L$;i#>03=^jRR24Nyc$~LajsI;YOBNZKVJG8q- zxo2TwnECr1BIdVT05d<^bbM4}!LfC#`$5Arr$iO%_lxE2^z~0nGx+XHSsvg^u58OD z#?TLkhRO+tvliN(c5tWxA@a^?`>Em43g7A1|Io15!28kWU8EIwVfe#c%wreK-1*atwWDQ}M++x{Z+GpBkIIejiLqeI&vUr? z_%zq*6|j|e9KDyDGsx_++TzU)!V2v>%Z)1|h=2Dq>5FJ&@#s95`Imx~%Zth%7in$* z+f1EKE}&jqztxWZ@rs$D&W*Pr>cCW6_QN?w#=z_IFc@a;Rgj8~4aKZK~SSGKbW~+Cw{M zy(#z>ESULS2fKC20zS-q#aQ((pDG2`B`qNGiT%Yva<@jv`mpz$ad^Gl zuVUjBFlvE!2V1c#EO(M}eYZ~uS9N~nFsH6Cm^o{$=p#3#A7(zy*{t%CUN~i1H*nkG zwB!xd+sc_m-!_`F;n>L~JqG|M-FaR-^`7p@Ui#_NTA@Z4xT`%Yv0S|K zBygEvf6YaXY2QDJeR+VxlsQ3X`a*!u5^tMCMfHI08f7%vjakwGhPXR1w5Xncj9x*{8l&ug=a0?Hrh~Ov&a*hw6FYXjr)%xf zDRxrv;$~Z_dQGpWl@4u>k@GoXoXAd$49u!m=8Qj}`&_5A=wKy4@WbN?}zQn%)LYmKSz$ z4-HAeh7S!%Zpw^OSs3l*)jMb-ES$g9uBLIvU0C>y)P+HzuXFZ}NCMLX?K3aQt(w2S zn@w5k;KMntd14;unCV?*qL}*BV6ZZ0iqD7|)e%}>&mWl!3*TrYit=xr2n%1h2Gh#8 zs$lxs2jq#J_|vIJHgk5<`DKb{*6R(%Ii~T2vw**1nPtiMqoBRrS$h(-^VRl^_=QyO zl#GVmjh;U=P3W)VmsDHrIiQGNNJFE9(GOLclKk|qa4*A*8~X7J>3-ru`tD=XT&s(F zJL(ki3#q@~Kvwy;8>bYI|VJ$KbzHM!0_BHA_>a6c@V}aYF<(?JGX573nl{G$=9TNU7Q)LR6 z;Uw?AnrS=7>%2Tes9@XJrd`hjH@U&G#?<25#tZ4OwfYYA8tGF`*J;}L6pjj1;)km8 zu&JiQ_iWM{r_x*CWxsCJV_10RYrCAHh^6=ucG$0XsnNhrheVtVHn#e)aKKf`;jQ@3%RML+z;J?-)gWVJa@*-6b`_4P4*=@Ntf zaT+~~H>7BWG;CGyRirwcpM@<_88ObUV1>%=5Wlbiu}5LX#Y^qt3)aFf7cs};-5$Qo z`^)ak0)?vnsh+IFgNOSS2h0r6wsKHRG67HfhlYKW8`0r-pY0bAcxRt-ws-H45&L1r zM+G9kJ$XJbW5t}y-YL;=}&!MVT(mk+W}ZR~4Mk zjG2SUjUD;*v7U^I?K!W|1C|3};p~-mkFLr6fQ7FT+TQg#v`KUN9KesZ?|dzH!^9w# z%{}K3zH__$vqUf}%)4v8VpE#!L}hB5&-6X2Y=z{`4kK9jz(b-y&Vw7U@X`el3SE68 zr;WZ0a<(}+H&E9v|Kkw-#0tgr=hl`}@xIJL&iaC~EooJ8>p)$-^S<}gABR^Ar2lwi zv}J%sVRsovbJO~-Htnw4vg_)x-kD)CZs)$J?6C7&<4su(Grk&Rr`!KQ4?n^tUfW@` zV07(~1NGq641Xni*5Es!3oaK3d#Y>0EWXZ+lzFWn%NDn+PMY+dtjWweU zX1qK|wEFA&*)Zc-UL}Sc{>jyS7Qi>%azLWYP`{&FnO?)S=Gu!QmJb07x`(AHQA1Xb z|4ug>X7uH$w{>76c(fuY;ik-zPT5Dyefc_zmoGdbJORG@%bhqX+x32x1M6}(+nx3F zoOLEJGn2nj&Wtne87r@ruAo1ypVh7jyc~i<=TKDw?e5Ui<&C)qH7sU@6==q5DP2%K z`=o*9j?K*vU%A+4hRV1)ujKQuQefdz4%ux|os$m>e=~aAzWLWf{M6h*bb)>TO1X-V z>0GwuL5H>PKRd232MX5S%b1FrFh!u;oa>{Or?35zoY zYpfW|5>WHW>Dzkhhoghj>F3`lemrsN9*k($#2I<+VkwxR(+lpLb^eh}H)>^a4M^l&iiB zziPhtT3iRH80J6uJrSvaP43)u*@C<`9;`)+*>mEJ z3&MOsREPZT3ru!sAzxmgtk7;)V72BRun!4#l&AW-Fze`tMh#3^uMttN*RRP+@H)Qj zQN(+ZF?RfX#Oqp{DiyUhFZsG!3M@SAx?RW+UJ)#O>o&FXn!7231;(J@oc)hOa`@Zs z6t@3uhf_Jtp(8(oc((WVi;9mYPf1hW*zY5Fr&_mVe)W$HuyD#wkqR}S8y5cU_RB5( z`+OVcHG+Gqoen;yp1Jzjh`#TZ;)2Yo<1%>PkV+1{uIE&X{_L~hfTHu#C)ARi8Weg_ z4PUTOqhjyzotlRi?$Xm$Qi(F%f*lYa`Amy?Q{UWt2 zm~lbBsQh(#0?fE$()Wy=Ck|YHz5`5{WI1e}%$>xy&CDLLR${W?<$-W;`^m7U_Efo> zm*eQps{EIstlr0L4V|>E zDF$G3y?oOFUT^TK`hfw(B=&Ii;hlcvVf z8!rlGf6!QQaqfG~8}&C{EHUd#jsAw69~0Gnzr9H%;HKZzcwscm*qUvpe_8D`%-F9Z zKzuqaZ$jWV@G8o`=O#`~CTKhYVYROr)jLF`0w%OAj*(E3|^;-yT1k01g@r+Ym~f zTDVAuPM;>Q0CcSwRY1KMH1d;7EZgV-b5@2r2-Zn@mW;7F>)^~yfgm7 zveNpi&y4dylBQFAJ@szPJ$rg@v!cq_t|##J@*@+@fc8f-pIGU&0T+Su)#KCw_RI+S z5vEXay~e8=iyX~YZQSb8GY>9`8nC)8W7pO$tW;6o>DS46O?*%bv$hLU8&?D~7H(Fr z_`wae7BqnEoBgv7vC`MbDk>g~3s|?j`DkbvP-YJeJS*3wwOY&;cLxeol#idMRR^tu z8ME3%?<#r32eog5y3Xh9>l06_1x|x4f4q@7ceOOO2Ref^r zYz}zntXq^Lb1ZpXEV10`T4;&UQ(yX+#5@OpK2cIt5N$+=dxyJZgNiV z$05(&wqT!MaBn6zcETzZpRt~wZQs^=lQX4H$`{5`y zqn(?Ora`>Qb0b(AI4?9iN;r={s;8ux_;o6EwV$2o$R3A1&F4;AUHSnoqOqPV&w~Yh zD$_aBmTF90ZPGXY`-hOh{pasKz_zAxmyU8+4qw0Xj|v!Nb-bEn<=S9|Wr6(T*H82!4(R*!?Oh(|RHS}j!RkFJ6k&eF zu@U(vgd)wcT?e=Mo%erx=1zG`OnA|R`-5KCD6F0&n&wUy)rT}~>sQNHIXZvugP955 zGNbOliZ~tN-&;2C-a2g3yP>ujB@W@@iaV#p8^7zxzkIqocKFtf z>Rq<3LiwJD4V6!yE8m_!W#p(EHYZj%x6j`#v&m>+`Q^TK%Bl0RuPZ;S^7y0rX{Vzi zc&RHhl4d#4fzA-}`;?3XleeDfOLE;6zxGtBk*H<#*BlqOzVcg76f>@$Hb0T47k}~5 zu*%k)=zU)%@~)hH_cH0Rf9{K3wykes#Scp5glf%`W#o?XV;)n-p4 zRPh0MTI$R5-n{`n6rJ^4E@L7 zK3}>vD%_`;zR@_`D5dAi?1IVJ4^%t?Ym@n!KjgnwQ*^Du)eh^Z8V_+mpz*or zFWqt8OBJdrhD_$y8)@yAJr`wK82G`Y?vv736^iMlvjcbaANQLY)2MMN-~Du{VEF7! z!VfDK4s&$*BZhfxfJWfk$i8Kd)!gnU$&Zhiv^{-!MM%a}o62!t+Eb}A1!vmJ?}o3_ zDyUzw@BQAV4S(c5JhfGq|NhMUxQrs+<;H9K)^_fHxc}K=r}vMiznV#nE5E=9^#5iM zbva|i3D0}2YvRXn7CSCBnPjbZ=={{J4r`Z%PaAV`@StIJgC1X9bh<3z#ImMkQ5o2YvEc5c{)+GUtB!^#-s174mxc;N2TvfhO<<-zS{Kf0s#_=SB?seN5)JpMslX|Y_$ zn=rTimxmAUX@5Lq^Yf_fm(O=D+1vdk(kpT0!?I6aJ(ZI-==NDQ7hKE@9hDix8M@FI z%nsYRK7Q)aH0Mn;$9|M2<*z6xDF$X|ew0)j*y}A;|F(^{ ze7^bKw^WJ{oVM#xITSA@jjvU+waEz#r27XFWm4vhYL7tS*Vqq|B_a+o7q z{x7aiDJb47)Xw?c_`kS5Wuthxs9o{9@qclB%0uz;QM>hb~b zll?zjpDIxNzgm|h_Wxh&Qyq%mfZC?toe#Y!|!3j>jD$CEYwQQe@Xkd-@p9g`lN#5 zsiRiwcjN!!`ecOS@lh-M#rS`Zh$p5SFpHO^v)O!E!d`Q+O zd_w-o`t-+tZ$AFf`ZNN?ABEbeU!0HMU7seRcyXvr{N4D!xIU$zc(YJD=Xc}(;`)@0 z;^m@t#qY-d#q}u<#mh(S*58f)i|bPninj-~`+hP0U#(A$|8RY(K=J=-U6R=Uf2~h- zD1HNKn|^mbBk|#X(?h&}LM;omlJj5E{(pP_gyN~AR_k};|Kj=t|5+fcpO0GMFUGf{ z`HsUd2J!p!0B>{g2~)*eG5+rd^pG9;pP4cv6@Ps)V^UIQz%~}|hu~Y_t9}4 zOW5JIQqZ0lpN#*506H@|TI2*<+>GJ(bD8*U`0-HtCFA>M;B9((^hBs}vmb16^S_OE zg7>5U93PXIfY(cmi-9dJ2|vAi8cdnkT&7aVA0))1c2}a*2xj?5G(C4Nz%bu(gD_MZ6)ntZ7u0yLw2#Vf`wVz{M5x((#2NN z1y*4F)67`gOS;%ey1-Pe9e(mcObtxdUeX07Yc2Yz3qIjwJr0sCM7raD>M}vn#SwL} zhUwz};io_5j(9*GM;y$eJb@e^QxOn%^lDfWwQq`y$-?cSWRQT@35*yO~*7pW^U&z6g0Yik%InP-2T zSUrz6h zfLg^7QO{HHO-JDS4e|m1As)>L34AABpo0M$B;7Hfr$mvxPt4}*_xsxBEGWmD(RNd} zS1)~WVaO(n%{3`k>e^(V@5dgkP-*pI_7-9G;jD-+I^~s#U1Hj~kJyu>H_fuSVjzyM z-LbWY*SzyS&6LQJBKH|UJvuTwN<_;w;BKO zW%x{s*PCZ9m_W=3Tn_Qa-`+wQUZKb>VDT-K;k#eBg)%hm#P7UeRD26%_*=;Tv4t|+ zf|1%Sz~J7CG=QI2@EighIEL6l8F~Q37RofBLOPVlf7wWx1_od({5>iL)Jazw7z<_r zG)al?mIvblEmGoJ;}M%b>Oe^ax*A+!PgtNw#}sf24L=c>Le63BS?9LtEp$Y~XMC(SR)? zb|kUKi38koj0PNq_?tI8dl4iQ-~<4^JMsVmxnNA9PFHf!AbgOUIza{_;!Y9|oOo&w z#0wE`lK9|ch$caN5&458emLzXWHnCKkee^BMPwaG*5f44f*7*_k&PtTgp+(rf)pUKnIv0qvek+p+Ys4Kk{vkN z3EyzgKp`T#NU|FzMR0MXfnr2TNK%TEJ@8E&4U{2LPLjPi*=I+P{fHbO$w8b{5O3JP zApj23F>)*BO4O^0>{X5TIs)I0(ZEqej*+AWC$;bc4GkPeq>dyfa8eK7deOj1L>fra zh?7%rFJ~G!jR^dT34Z}M<3tSiai)P5MBtY{I5~@xbFKtAk4P&?F5u+iAc9;%GUDSbRp7Bl6N@i8G;iEcn`n_ zI_69C>P5XilD+!yUZ4IT$Y(^pkmM^)zWEX4J0krg`2lw;lx{9dtb+JnvIL|6Dx|ak zIFJHl$dULyvWPMuWez2&tc1!TDi0~Kbud1bEujjCDnhC>3?GZ{lE?uRpiIZ$R>62* zuB5LD>Z=O-ss)pDfP|_essX8H2uXPos)eXFq&lG_)s;{^MD-yx7*0|{2{l607*dlF zBpoQBd_+wl6^taQnS=@vHHXv!?K*2Ip;m}mLuwOFjIA8C z1W8>a)D_V|kh-DWQU^<@JE9(tdcxgL@mcr6XWg5Q!Oy7ptoum%4nci=Vc$Q-kkn5? z{Sgg-bm&-;21@8KM1vp=jwWe{gpzytQ^0UYM~oxsNC^!?W5XdGHJ+pq5*mraOw#ERIs?&}kfy|uG*v>$o%`{N9;CD4Ns6v|6hL0~C;-3iQNWxjBt=&~xUDE| zz&BRKuYDAdl|WK-^`ijt@<##q^^XFw6LE?^+d25Ny_k+Ak$sm)vdBfAmcqWv@Y^P^ z`sEV30@0O_u7cah;B>Wwu0eDyr0b@UbiIV;A-Vz5jnhfGNka1xEr4|M43ch<(5;AW zgLL~$lJ1buoro4fx(mPc1hd*Lp+$%mLt2tb(ozZCgJ>C~9^3ejpvkIW|NQ3*YUXbq&b86-U}p>>F!fV4i7q$ee`0ntWCPt74I zx_(kX6QcMPlmf(aN!lVAdj`?7ke-`I((@A9is%JMFV4p)ex_W)&y>q_EQ{=WMbh^w z>U$0Ly}p2?Hzf2XqPHNuy^y4LB=jz#ZIIquMAG{b`T)^~kUq*L>0=3fg6LC7pXHGB zxrDwzv>noyi%I%QLSG~L2GX}nNZKKxorrcp+MP?%cM{rz=zB;%EF~$r;!*&4$)y1N zno9wnmXQ=)bt!{0-J-KBtU%Sno^yc9rQdMN;2dnwoog5nop^4d$GkZ~#S+DoCW zB&p=uOQ9nQue}uJDw0aBy%coqrNC=1g|!-|6bgln|F1yqLp*Hm^ej$ly64i$E!2cU z_Kljd?jth8*xnfzRbSfCl#Wt*-)5>t@K_0)aTl6*+B_cmJV)-y86!c3s)_i~;cEOB zTeg1N6q)^ge+T`U*NXO#xPhh_3%__z=z;ce#IqV`d_j(#!9$=g;n^}Wg4Hr<7p z@_8z}cGJ`G4I%!WlPfY`1sJST?l)QULvOCnQm_?He81*U<$|NF zaUx~IT?4y5@oF&HXZFi`=L>VWQ%|waP8wrlrNiCzUgmaQOYF@|hZ&_wa-*!H=k(hL z6;7M6E5y%bRaGQCS^RC;-Mr;t^P{%;nyH@J(9&`_A@}*BnIS^uz;TT+2U(Bar}~F0 z?k#$E*d%wudhL;##W&|(G02xwy_Tz#u;_`exD?y4Tj}#Pp`E=}#@<-rf&m|AueJBJ zvRZz2%e41K8`G$l*6w3=Zw?t`Su^w8?)etAwaHEAUTu7Kx649JbF*3NY#YJs)8aB& zg{&QdQ=@OL89JW7n;lRs%y3~k8y!B#JjiH{3oz)q~9A3*Wqw&vsu3H~lc4x+h-jxNr z4UgYEwQcW~?EA8rr&oQ?IDTp#R#&v*nt#5ht7?F%yTy=;J&UJ}Nj*{Zts&s?z<8{T z`MT_&@{&1nZ-V-6?~h%qIAfI4)SJn->=ZxLr>x!3UbSTOnn61nLiOhs=ERRrYh31= zI-ZK3cNo6?Fi=y{!}KWBynnwPWJZHK5bvWH*5cdh((zq~o#^;}wD@+yW(II?VFP@- zUwkKE{EwjF+X*{ERSNJwfmX$Fld06-3k*Du;XUy`iNN~gZ(yun1^D=1 zM#DEC#{W>7h$1$ngdF*gMgUp_px7X^mf}VcTTq%pj~Y~psA4{qIC7?n1;kNEm7!NT z#W1vnGKeNNr=7;T9Ue>*TM|c}yC@E!)yjMjQeohAkYW&8PjQnGTgZ5q0leSvWB3gQ zF`xdYa;A#~Qsqh)3#H19F1C;=5d+^US2~U%7D$yVLoAdkH-^|kszgjNpD7*36bq!v zl_?fVl^auRAypz-F<(|XPF5^HYD5i?R3?%W^W~&{#$I&+?2!?QYGSu`5fsuj#wa7t{kyYs@ynY3#5Lk(^Xk4RQ_|ko3hwKszh8d zpNlgJH5aoOfFHmU)|o37KqGnjx^l%rsdD3rEu>1MBIc`*J%0-5rXsdLR(SfWiutO4 zdUIA43#7_bRVG)`D)T}YGQ#@xvGhUQst&5wvZ~(05Km{LT2wgKrE0d z*8yT7Qa|PEtS%O)|2e`{T`ZI;d<$j^sS;_3`5J%rbk-0Hq{>x8ER-rY4Y7q(i8RH0 zP3bsIu|TR^HN`@ya?=!BNR@~u=JTZEcw&K6x$?w9sls=AwvZ~3mYAxWER-rYZLx(^iFCw#9qBk7u|TR^b;Lrc!uOK4kSdX`n6E1x zrz;jnm8-5;C{=E{VhgDf>52Jz(s6oXfmFHbiG@<-rYE+LDv`dJuTPHqc@Ff&7RUvU#$vv)bhxotfD~S!eg(YFV(=Y5d`Au7y;}GH zYw%+Vu%^LVpYQ>=({Kw=1CqZc`v?QBSu}M(;&E0OaC65$^CAtDG2pv~HUr6EJq-Bc z_?QF_z(6R_qcuvjPB#Wd04)meCocSWynz@<0Xnqd60L;?Oaq$uhZhdV=&@o?oxC7XO&Ubx#&Dvu%2Fo^6Rtfu-qzV+JnZdJD8onaDYk`Vx%$p7G-nma2?DCb7ZAMY9sa?+AVo1HIuNISc*l%XoxG4-* zH(LG^EnB6YGMU8flb^#HgR4k$<7!Ejk^2>+v&Dbgf zHGR3rrOb=@r5?i+J-%q-TLUho49(A2oRK8AHHfMaa9hOd73`lQkFhf`!?&P2L0@g z%$}1ypt+LaYDE8f{@hX79nTmWyrZ{*M?s4(KCBwStsQ`ykrH^M@_uk;jAsp1E zo3Tr#@mVG3*+o}20P|+b;m|@uCV!Xw31|8H2BQqFyll)b->!$>jjed1;fm>3WA6p)U&x+4>`})+jj)jkrctim=f=hR zW~&AZ7l5E*;MR@Kj*X~D{LY+q1El| z;~~J{BW*%Vjy-V-2Fp%d*>~epxI$IC{Hz#GPW{97;)-nD_pjZz;``*3D)ql+Wgk@@ z^6nA-aTx!oPCK;f82o31*oH;2eifz+)eMDrC-&Y|oMSO;yWEwL7j#-0t^@4dY>qjD zRWpT~XK=yfY7J19p(O?<$iRVn#>#Gqp*f%5qmZp4pBBtXSY`Dpa%_5cgDQ-uHA1QT z4r|I1<+2~Ty+5)+>n+-XxkuXIz|2b7lKPvkUTjc^6tlO?*sy~S2cBB2 zr2T<)G(tH;*??~z4j#XzMIRjf5e_U#l1&}{#?EetLi$&EpM#vybKUM%CFl1K{t8p= zI?g$5!ir4cmbSiYR$2z|f0{I7U5*hP7~n3uXQk+l>=uP$K09t5C+Fa`zW9m&F2w{! z92BS2$zx@gDEmJa@=i|%3eRXeJ@#n8fg9HSzuL|Oo{Ihb<7XH#ojGG>P6^#oF4~k` zmJ}*m%928xTeJ|}ENMXt;#BBnsf2rJu~fE-s9SEbR7jysk-DMH(n`AJKhJ6U{g3m@ zzka{h>+jXe(|5k}d7k(8J2T(&Fyk3)c5171V<2(x9rv6Wl^M%Z4Nuu$bF&JBoC0#% z6+A16j@P-QKe`xc!qAlDE!RL;l#3<#$xM{aB<9HTB9o~tW@F?R*#))W!pW(3A(7#D zkQH4_C_Q--k8tjw^L#CZ%Z^ttR%my-_xVm@e+Adcm$FQLUA58gnD!$Bh)6_`b-lPn z0=>3L``%;=q@xdw%Z=}Yh|X!4NAkyVU1uWb1#ed_74KUscPvdHJ41K^{C$FyRl^fD z(F-{(Lpec+&UkcdsI_o%!fi2Evk7tvUc@m&u16?ko%_?rgTad)(07EBvzdI%#jVom zogGbg>N1hh!_idxqZmYVjm37K99C6TObAfkIeY48=q1%T`&J`=p&%q@k<;$s$M(=J zI)qWz2Bf+IjrQ3hJOR#>V9tJ(YVSRWMR&Lde5ft<>N5N7&b<6|4~}E$0g8Hp2f6YK zM*rB=F>@k1ee$XYGr35*nm6vUh-|$u($V?kRwudhj+H?iI~6KxwvEfa6cXoXSo_2@ z>LBsQ(4j6!nDC?70Hd&@v6qzzi8EZ%g+gQw?!BRV$K}c&+YJ!Tp||Azn>Yudk;H^Q z+|-e>rKoh%jd~DLdKhtcNtlHR;b_Yn)kNKJ*%eybs$o5MI|#4gWReqp(}5=AchAc( zLCnliXVoU*J%PVgVoJAWI$l&K?#$=TRi&DmT?N4K<3goZiqiV+p9y1-esXl%l9QxKk&Am^RNqtxkYGaG)^ zBP2Z(oj6G*AA~9L*kw+bY+g5^8OPO}M9COQt!pwTI@Gy?)(8_1ShqnJ zS@jTA>FM%?h%IuMTzj*hw<^(nojbjU>gwB+cBe7BX!Suj!fTjSW-H$LhX3entgmV{ zvUU;rF5`1B2>UCs&i9LbrZo|t=J2{QsLwsC*K1Ub&zSlP2v>3`&nbBSXa46^UcaQ) zBgTi(US$n+5O!X}UX42vTwF?IpXNSRrZh4FLo^j{6rS1!sCsWmj}!Qm9J*`mhJ2S& z$1}Svo4pp40IJm|D&H3OujlJrEO@za zG~yb9-fHNX2f`KY*tGuOZaZ0`)|*$`K=qXO*?%gPHJWt_P@UCD>!tYnYTDhR$TM;t zVznNq`pILk09o^ za)-{PGF`a|ttk;zO~+yMRmZ5PGCa$k9~+jcPm~}x*Psidu2q1r$OB6$^_(~&hp^nt zvyGyz%^g#}q+hY+Cq6`cXh>d@!mDP{#pMc4vGE9b6E!EJq(RuY9xDvLVYO!`5%Cw- zg`}dQ%quq*9|_%V0An1zoor6Tqj%ExZ)($%-Xl?cXjs<4BoHR&V-3H3B(&QJ#TUH9 zEb1VM4jG;;C^K9H5kpUqN@MUu1v-VaAHvB)^bVp*p{~jx6imiq9TF84(ugCwxKg2% zYZiHEzW4JR^iL4c_$nF3#a&YAT~TtCD~=+go6+=^atwsl@mNI7!}~!6grJu9XA4yx zdh=(??vvDd84!|tNh43ZY!7XvC$U&%B@%oMjZP0<3&L9^m}NkfrAYws{66~{5)DKg~%n&q!&2tvywY=z1GTTdN`q6qG3e@gGv zL-iw^R;9mRf^bX)sn>_=o~JF0+D`baMY^V-7rOkR-~~r@FJ>L2aW-HJv3UkBql@zQ zzhEG+SQ4_W62|yw8##6r?ze?jnNriyfFb@;Xz;?-9w2m|hfVqweN`h*v<~C0T1c(# z`uO3w<3{6(V-PXKiEMd@uWF-Lbme~Nw?nS2Mq5JCn?Sg+4)e)b)!MdCut%7*&3^;lBOPlvvjqoCt-#(2vC_Qjmz-!XuA>SK5o~B5%z?6BA1S$ zG_1BoA6D!u`Kbd^%Eo(Cyc9mgjNg{jp6^$MkQ%6Ecbzl{XKcWpHBQN1+ek!A=Pm7~ z>Y_4A$G0zC{mW{OuLNiGR_gv{ynZKtdV`PcEk5FX3=MNm2?AkhKBkp$)V^AR=sUwr zbf!|e7Y*soymP3s1V+E&EveLuCx4(XlLeA)ok&#(+TY|VER7pogH<~OD_QgtOTBrm zO_Zzi@GU%V*;{kXIge3JxjNVTJUWk372J!yP8uqV*#Q^7q9PSWo3CSAf|ynrCDN6XzVcDLPbLUbaL`yOz(9OAt|+CP$vb>x*gKVO6%p z3P`RbT4KDk2!y54nDGJ$`&1dikmemTrk3g~GU{97d+0;~T*GOO4_o>PtyNsLAZn%Ytzw?N)bJPPAk0!DQ(xmA+O%!1)yL@N zNc(nFSGKJigd2unGdp**$FC=R_wlC4Qf)yU`Jwf%C;w3csLyVanGtxqA03cUxoL|l z5+{oW^mc}W@Vx~V)JAx?TM<&Dx$AnVPT6&@&fO2mS@RBrDa**GzIfLVx~IK={kulQ zV=BtonBxz^&)QgTy1w_zD&n;oPjMra)4OV?VbbjM_CPp}UaP3&&v@=Det%rBW=$RP z;tbkj*Z2tgpwUu03f#2Usmv{usniq@I z|A}s@o?HgPYc^P(>IE0)Fk*H#Z$&jV+0OBkul)7cCDY+JHg!->X5;D>{Hhnar!CqL z`+rc=vcr}jH1WgAj(^H^>me#SxQoiD!_~*ir4AeoL1)dcG+yHSR@&DeSIZqH@qFa? z3B1JV6;>baBAjzOhkstAn;m$~%a0S2)pOCqC# zXH!b$WUsqbkQ9^S*axGZkxf?5!E=w&J(s&RhcqI~4A8^jyRAWZ@i(kD)KFciinua? zTNF<1E>#<6SYp#QED%O-?@3MP;d`q1C0fD5N@EfEGiZ(JCRq?3>c{fd7s%WXBVvy6 z?wC@W!X>PH=T?;7m<|!;T1khi_~vLjX_;=@yh7x`Kj@z75mg{uQ;fwced=@0AZ$9g z`>LrCrrpE>d2-i~S&02z)Gpk?5`@wr*ech12}%oyimSW@ z;gm!5AJ;NGj=K$e3c_W($a)@bUrrBs@VHia5+Xkly_}jLL^XSbVJVa9jg5#HWA43F zDk1!y#g$YS1HUW>1=9}6_b8q+{(`KW492F)(#GS>M9W`sj)nMYd6K|g* zrI0#`h*6)~R4TkuwTF=-?&I=Vbhvy~WJwlsT@uYTakvLUECt)+Dv^|4M7Yr00u#!? zaoYZ+b^bNrpeZRP({)z(WOBf3PEW0D<&OMQQx9VOufys45Bue z+`C(0TA=V^7n}gO`^ihM@c>=g^m^C}6Cb2~4ys)e*$u*#9L#R7O^-@2;k$@CzJ!Vl z`gAttl%a`+5cQcNnWK+K_|YC|RSNmm$j_2!fX-805FW6=*0xAUWx5arG;f^_^|VCx z)$u0Z+9R!iI@ytYdJcb9Nbhg&3jA;$$sLF01?3chus0M-Ojq-2IZhbrbB_m6IXb`S zxg;$}eK7)rX@R8rYdqJ8{_yiC&87yV{T4dS%}a=Sk-*-sXizUJCw$9zYHpNQkXrFn zw~V&hO)$o1;biJYO{i|HyEOu_LD>dM7&eTuHtn z%_)2$r)LwM7KnJ4a=LO>y#DzOB6A!qOKXEV&79!(*v-xpokov|-_P@!)2O!iCuU^* zT@@`i7{ri|q|11`J%tW&Ua-mD9x+Kllj=rK1mXKE%ug=a!`h5E5yjn6M~zNfH~RFX zt{n4!K&VqqN-xHhGU*8oV>Dlv&;WNrnNo z3pWIU(DYYQ+Z#8%Ps^GFKP*#5HlIP8ECSYn(4hH zOK!puZWu)d&c=t>(JMFKPb{=T?D?p*uBIgjM+9MB2K3HcSE53KJ71U5tlnFFLTb6) z(I+5WW=`I^fNPc0a*dri&y0~wHT3e@xDpVKd4(w?jXTvnk(fS}cW*5fr(0xkH{EH| z#{(ct-$=SW#$!$BvGysv2RPER05x8w&;r6R44XnZQRSnE<+HeBmr)99M_%kwA9w$H zDva?1N@m=_&sK?|-w?K$3#G;?MCly{KocwuPCsU7>?R#X~KssEI zgoxETWbaYD#hI4r!L}V#Me;A94vH7kLHNoYlP>(reTObF?gn>`B6V@HXG6uF(^z~!m8RL5IzmZ5-K~k*ryYQpLi#$s9K%%I!=AR=Pe!y z!n6zIM05PQ5&cY+a*!)SobRF2;=N5l_>jQbJnqhwy+vHQ!c~i>ysIpW$GM(}MAv}O zRY0bW!hK5V=G-5@IzaeeT^%6&udWUd{>N9xOA4(x(CL5ajq;RHo%rL;ayAhok9Vlt zl&JT%n;pjSJ~<+`vO8#c`qM&AMw{r$$jsV+m611KWrQ3iEszqfjQoKsBM3!TM(%)> z5e6~H$_PTyyn#0$Z-A4r<^?6p8~6kB1`vwo4cs5i8$c+UH}D4J4S;IzNec4@dSKoF zLeac|`=faS2u1S--jC)DAQa6TxIdaVfKW7V;0?$dV2pA>q%d!w2j&eR6wMpB1M&tq z0knrv!n}b$FmC{%Xx_m4(YyhKqIm=NNAm^{islWx0eJ%~oP*>IAQa6TxIdaVfKW7V z_}{BzTM_z^Flae>y|?#F7#?(F#669X2LfJO5Qh^cqARpGW&}<9WY&>=`EwF9AN)1# zWnIS~pYybGCvXft{KMBT_NvpQ=g|2x@ zn%0RGPH&zxcjW92^vrF3bz9X*;c&{(84>&i+ojD64z2AwywNsjd6>&P<8_ZF?wl1J zZ@X_?Mae3?Nlj8q-nvhi?>A2U!x&cZzMnAk8NwyJma?A@QjX7 zWIny;96aRfK4vqy@?fgdLY;ZPnCx)Uu(?fP&y;?%d$U~aMCWbeNHzW4>qf_&e7<1p zOvQJFwE0ShdAD!BjFXb^yE0eBc*?$@y;{}r%TAl_t?Gy$mk=?$K~K=1mGq0*_zN)~ z|DNksog5Qdo_ytE!;#&4XE5t@e?R}wIc8={7X1jp3k>Si=?|tl-83y;uKlhXSA>(8G?T1^nu)na$ ze2ZuIWBX&be(|&aE7y5uAK(&N5w31#yC@g5zs1G+6R9e~XLtCtz)4nHbk#^TpgI7tZU~`3e@}y1+yOE}<3SddqAFE_m($ z<{#R?#p(sDjeNrTzwxEttCR6Re2C=k7>F}O(lZFGl%!_|Y?qRZ5bnmDCvREZyDvIV zlo)vY2b#2%FEajMJVGlno*rhq=sZIk#sdqa=y}Qd6RF+91YtnTZBmLMy_B zFzdDGd_ntLT&zCfHQzFA6&oK{aEf;KQXQfmP=?wxTM9np#3c_)}Mg2 zk?<$)Kk~V%E5_w3##PC339SehCdLJA;1XX~S$_i7M$QuB8tnXX660Dg#&w0|5?T>1 zt{4}zzcpX1KLKkaJ;b;MJHGRRR$4i(8G5L`& zFJkf|xIM+>lag%ptna>c1@dF4`o*MWLQAriF~as&3*&|TpeNQ!bE8_p_`2MfB zaAz3C%T^mhIZS!6A9;I)0UKu;YfV|pm;vJ*Y@XTkP0~|~IV+g?{odP4X0d*m(@*#| zlfmrQ_|Pv}-B!F>oDs7lREvYWT(nx;zg2~ETP~~)=e|bB`R`TZ+yd7?wK5O4Kw)(> zceeoMmg*3h0LCv=+X`@7|3&XVuzH(#bvKdy22_XpS~X6j|7&%(FIDH^($<8y%f+>9)h%tN##YFf72>^Y*)DB;pmG=Q{aW=)6MC6{ Q%sI)qAzX;zf8YQ59|br0^Z)<= literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_vmake b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology From 4603c170a2bb129b870b8b900b000be733677d5b Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 22 Mar 2023 12:51:53 +0000 Subject: [PATCH 2/7] [LSD] compilation cache --- .../pratica04/RegisterDemo/RegisterDemo.qws | Bin 48 -> 681 bytes .../RegisterDemo/db/RegisterDemo.db_info | 2 +- .../RegisterDemo/db/RegisterDemo.tmw_info | 11 +- .../lsd/pratica05/CmpN_Demo/CmpN_Demo.cdf | 13 ++ .../lsd/pratica05/CmpN_Demo/CmpN_Demo.qws | Bin 2491 -> 1892 bytes .../CmpN_Demo/db/CmpN_Demo.(0).cnf.cdb | Bin 1298 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.(0).cnf.hdb | Bin 859 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.(2).cnf.cdb | Bin 1328 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.(2).cnf.hdb | Bin 868 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.asm_labs.ddb | Bin 89331 -> 0 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.cmp.bpm | Bin 836 -> 0 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.cmp.cdb | Bin 8229 -> 0 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.cmp.hdb | Bin 27249 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.cmp.logdb | 68 ------- .../pratica05/CmpN_Demo/db/CmpN_Demo.cmp.rdb | Bin 32374 -> 4463 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.db_info | 2 +- .../lsd/pratica05/CmpN_Demo/db/CmpN_Demo.hif | Bin 509 -> 317 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.map.bpm | Bin 811 -> 0 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.map.cdb | Bin 3970 -> 0 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.map.hdb | Bin 26344 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.map.logdb | 1 - .../pratica05/CmpN_Demo/db/CmpN_Demo.map.qmsg | 25 ++- .../pratica05/CmpN_Demo/db/CmpN_Demo.map.rdb | Bin 1336 -> 1212 bytes .../CmpN_Demo/db/CmpN_Demo.map_bb.cdb | Bin 2178 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.map_bb.hdb | Bin 24948 -> 10468 bytes .../CmpN_Demo/db/CmpN_Demo.map_bb.logdb | 1 - .../CmpN_Demo/db/CmpN_Demo.smart_action.txt | 2 +- .../CmpN_Demo.sta_cmp.7_slow_1200mv_85c.tdb | Bin 6914 -> 0 bytes .../CmpN_Demo/db/CmpN_Demo.tis_db_list.ddb | Bin 294 -> 294 bytes .../pratica05/CmpN_Demo/db/CmpN_Demo.tmw_info | 7 - .../CmpN_Demo/output_files/CmpN_Demo.flow.rpt | 51 ++--- .../CmpN_Demo/output_files/CmpN_Demo.map.rpt | 177 +++--------------- .../output_files/CmpN_Demo.map.summary | 22 +-- 33 files changed, 85 insertions(+), 297 deletions(-) create mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/CmpN_Demo.cdf delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(0).cnf.cdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(0).cnf.hdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(2).cnf.cdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(2).cnf.hdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.asm_labs.ddb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.bpm delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.cdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.hdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.logdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.map.bpm delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.map.cdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.map.hdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.map.logdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.map_bb.cdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.map_bb.logdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.sta_cmp.7_slow_1200mv_85c.tdb delete mode 100644 1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.tmw_info diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qws b/1ano/2semestre/lsd/pratica04/RegisterDemo/RegisterDemo.qws index 63563b76eda4b19c3f4f321afd3f1b7df67b8d5e..3b759d8b6e50274a529d66c459fa0e23753cc5c9 100644 GIT binary patch literal 681 zcmbV|KT88a5XIk}60WpVu}C2y2nk6*{8Nb-t5jlRCAs8Ik0f3=BNpNZ@_X3X*!o@6 z_?u;~IV6a?yq%q$w=-|%q^WZ4XsV&^wa~R5m8;MMZ_e9D38Vnjb;12cnd2pJ&hTfj z+ln<->Uje_>42B6j)))f-ct+2?1WpFJq9hZcZgfG!|s70?38ogWlZ$^m%1h{c;Q$A zec2(^wx#fx%eqH|jXu4DQ3x6uped#Ax=!_gUC_@R-X%6?DluvbqiV@A>(_?P`I^v* zQAV2lMsm{l&}4QQv%CFIB}=>pcAcpCYN<|LMd~KKP3Z=aeC{JTbA1*jui95s5(tK` sl}skXKJAe)_tTn@bH(heM72gc_;`PFiCol<+7{)mN|&r0*4*LP0 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info index 83b814d..7094f3b 100644 --- a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Wed Mar 22 09:08:32 2023 +Creation_Time = Wed Mar 22 10:17:38 2023 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info index def6241..1bd50f7 100644 --- a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info @@ -1,7 +1,4 @@ -start_full_compilation:s:00:00:45 -start_analysis_synthesis:s:00:00:14-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:18-start_full_compilation -start_assembler:s:00:00:07-start_full_compilation -start_timing_analyzer:s:00:00:04-start_full_compilation -start_eda_netlist_writer:s:00:00:02-start_full_compilation +start_full_compilation:s +start_assembler:s-start_full_compilation +start_timing_analyzer:s-start_full_compilation +start_eda_netlist_writer:s-start_full_compilation diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/CmpN_Demo.cdf b/1ano/2semestre/lsd/pratica05/CmpN_Demo/CmpN_Demo.cdf new file mode 100644 index 0000000..5c9ca54 --- /dev/null +++ b/1ano/2semestre/lsd/pratica05/CmpN_Demo/CmpN_Demo.cdf @@ -0,0 +1,13 @@ +/* Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition */ +JedecChain; + FileRevision(JESD32A); + DefaultMfr(6E); + + P ActionCode(Cfg) + Device PartName(EP4CE115F29) Path("/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica05/CmpN_Demo/output_files/") File("CmpN_Demo.sof") MfrSpec(OpMask(1)); + +ChainEnd; + +AlteraBegin; + ChainType(JTAG); +AlteraEnd; diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/CmpN_Demo.qws b/1ano/2semestre/lsd/pratica05/CmpN_Demo/CmpN_Demo.qws index 4c432a4df447e6831f993cdcb012142f326a9c31..bfc762469d049f8bfd264bdb86a66fd482f78e66 100644 GIT binary patch delta 68 zcmdlj{Dg0UHY3x-6jMg#%{!Swm?p=tb4;GkDYMy-HH}4uc@_f$!)yiyHc18srYZ&o JbUsj)0RTfR4C4R* delta 317 zcmaFDw_A9Ewk0zI1A_@eK0_%(2}1#xjAuw=$YjW2NM$Hy&}VRF$OVe~F~l>t07Y_v zD)ktW8B!S1ChC~hvj8>wFjNC241t;~8H^YbfjE^xm%#uClYq)}fii|b+6c%>1dD-K zAXyMh2I3SjZ3JW+G1QXg7*&L87#SHD7~_H3{{u0@Ul75-z|zUUz;t`_3)V13wg3PB ze*@wlK+#DI49s2-6%49Ceg}~60O4;;3Sp9BYJhN9fMzk?2O0tAZ@$1(z%qFolL`Q> C$UEEs diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(0).cnf.cdb b/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(0).cnf.cdb deleted file mode 100644 index cc6e36bc09664e21fa86ec1526e680ce11b43698..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1298 zcmV+t1?~D2000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*EBs00000004Od000000052x0000000000 z000sN00000004La?3T@IQ$Y~GUo>j{0!2{|A|4c^c*s*w(Nm;7L~I*s0!ok&HE1BD zO46%;j{kuFgn01a!DEl!y@>c#=eP6b?Y{RC+aw+ooHE^=@7bBzmn}z8bR@x(vQC4d zp29xamE>EX)U z9=%vhi_K0y)tsi22gPczF@^QUY&*ZJt57EFe>wwjsU6DrAZHTnm4R^97={Q+TL z%=h1ry?(=$J}xNrsJSb=RBcq-wbcogaBbtX_EWhid;KtIN0D6<{FzpZ2r?uBp`Bx- zKeR>JitChWU6AE{;!i(CzPiYu)>Sp3lpr1mNXL6;`)ZC5#-_F;Xp3YJidh}6j zU#0fmC;mbV`(XVs`QIe_BxeD>Y=3jZy&&h<68dX^H-#6{+>ld(z-3*c1G2goK_xnt zu-vV`7`mJSLb;dJ@JM7n2`bz+yt*?GA^HXPrST58(vLvRX)65fMI7af^lK z>J#4ZT#n|r$S@igy0UX2YGL@^&V2Lhn=rVb3;+}BGdnKC zF0=tOGpz}Y@tkUM!8C4^y1<9-tDD^<7g5w zibj>3Dk^72z6Z9^**yPvr4~f!BPvi{vqa)ys=mG)wtjxWrd$b>8D{M+Om{ z9(n;+;=0iX8T3obw@)MqO&s~d69wI9E9r*>hVO^p>IhatyX?0{LY7PxfJfZ?VD#mL z1h5a|v~Qm$2yqNYn(u3NIZ~v^b3$IylnhG=I%4ui9ts?rnvKU7`XPavJqMCeT99P; zol3{}H#KC@sUv;%g=*Ek%2suAW4uz`4_j;2+;bx~V+b@RNL-b3Oc*SUBQnGM I0ssL2|4vq24gdfE diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(0).cnf.hdb b/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(0).cnf.hdb deleted file mode 100644 index 4d6221d29ee50077882fc04baa4900af33c6f488..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 859 zcmV-h1El;E000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GDI00000007$o00000003G70000000000 z003J900000004La>{L5X!$1r^-p_%7fsF+z3!pPB3~UIAp<;oGs!@kZjcBD1ikk4_ zS-{^pm-wnfVj@Dql4E~<+?{>#ts9{o7TZ7IRgT%OnT0uKKIo7SdG8};&?fWAH*Cy` z1k8$gRoYX;GqF*bDKKxaD|@TPxkV5YYIjX4Udy;6FBh}tBAWymyPTDmRS|KSH7v>G z69jpPOF=}^Pn;Ntup&srP{fmno`^dUX9<=-k%Q)Ap%FgAh|R?r)5XqwBBuYHP4XM} z+;O)t6f%nG7slwCQ6owXD4LF) zZ(ip2=kL?W7XSbN|NnRaT#-9V13?hSHxKiUF}@9gX#~;IM$*XU+}xey9_(%)S8bzc znn27IT4`tLC-56s2^OjS3}PoXK0vUy5ob2ZC3x^>_GW(kXLqJVVx&z7dF=IWVi4n? zd5|z&)$|N1Z4VB%ka02xX|>aT&qB;iVGa|5noKcQ@*qK~P#Of=x9F4rErHO@0W&XNTfkU z(9p%JA2LH`$rfa+)30(0B3k4l0{i6j;F_!7_mCzyymC}GJQrR_ZCB>C%f7LDfpbHb zm58F8(?Ctw0e8vC( diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(2).cnf.cdb b/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.(2).cnf.cdb deleted file mode 100644 index 453a96df384b48441c711e08cda508e454b8214a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1328 zcmV-01<(2v000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Ff900000005T*000000052x0000000000 z001xr00000004La?3hby6hRPxC%V2LD2j@B@Sx(s!%hq;2tp(|te9lcT~HTUHX1Y# zHcB>Ee}V`9iZ_3NhzE}z{R@I8Q8d=qH8tJyNV2=*#bAY+?t0f$SIy3(7Ddrv!J~pF z$3!tpp)R`#`P(1ua=Zz-o^iY=I_Ef1v^!Z3%DUWtu|BAG>W>p1wpkd`Lu?+1pM@bk zOjh=AwX%n)iXLKL$ua8?cdAx0?o_R0+^Jg0xKmomnrn=$`eCnVEWKRst)!ZxbkhN` z>aPxFQwMk%)xpZ({_?Z_(o>hgs16Q^!OEcBU(33{O<~+N1h`-369kyei#M%8Gq<~Q z(+|1}?N+nh>NXedwYsf`%~>84BFeL|_Yj2h9hDEK>iG&~a`nwLhOzIXF^qi+jcgpw z;TXmh3KmY^7?CR!ES$MvBl~uVB5XqVCGA<9o=~G`qtaqOg;x1Ef6JzKou9nZg2~u4 z<}*`sLM1t_M*rmQRrh+;pHKG1e0R3&?FSx0#|5R14foKQnQl#Y8}pk~&>c5bvKNd= z+3TZBI|11(!QW|>i6G4-5ZXCL`g&57&AAg+tqZbzO#JD?)1L}5s6|yxC?$vo0(m?5 z*qK}@G&OhQ|F`qC=1l*Ey3i9}B-htzzWyG_Kg96dtshLj6J%ed2Eg}?pKb_wRroCQ zj~w0+exAofpArPF=o0Od)xC4oqhkrnP4Q(R42fqS9;U;~60<}P<>PD?n_K~l4SjcM zZLRgZ*N^41CxM!*7TQ)sq>-q&#ZtH?6lsKbsf5Svql0l-3ia(%&<@fkqq)MJLbfZ7 z%ZjAO2GfZ>a(OR_aQ`6+>7RX=IL&ukXs+TfJsJqIqJU49`*Kx)|0vlPGhwR2EZ75R zF51RrlGh3~i#GKlUQi4R$2Qgb1p*t4?*hthU_r6b1~ZH z(P+Yh4$QlRcHo$J0q{g;LT`uY14PT~szjqA!!I{GtnV^^e*gdg|NnRa5@29tNMK-K zP)X9uW(3kqKr95r!R~(Xey$-tp1~pUu6`jwkxUF6K;h-93T=QCSQ$5vc6N7+_jmGg zbq-->hye1nbax*C83V#1AbA(3_+WR(5Z8DQPuC#FAZL$A7KRF-#Baxhl|Tw?7e9(Z zKYtfjR)!9sSf}GAFFqg*GK?2Rji)~wg9A`xjnD2HJs_C4Hm0LVHTZO+0Bl@SKg2s``( zfL;pm58`6*0E#5P-!{X4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Im1000000086x00000003G70000000000 z003kI00000004La>{UHa!$1r@pnNkhFtCG^1<)B77}yX}hl&L%szx0uHKLV5C`!UV zX9mA>F7Z`CVxk~n$+4g9b9}z|(lJ8YEVjPEs~odeF$;6Vy#I^%fNMEq25m4Of5FDA zNWd(cmxVn+JQEw0nF8}VyOP&(oLU4ip%<=c*-O#xNQ->-lqHj3#SX7Zi!y6*nGRAi z`2~VJ#38LQNBzi&fe0&tL<~hdis*^xia3ps0-GGv4-1X(7`E74oH5IIGgrj)|7H{Y z#5pgy+ZYNN#rSfhz=a0q8juFI0i+X9tP)VH5>TuXP;5uIE!-62i{pvD#i&D1i+eZ3 zyH4*{bGyk%3a&MR=9XFPpqJ$N!*rYlfYjdp_C{s%xH3Tg;)32Z1Za`!K3}n?>6k~7 zHb4Xvbac_+BJ{Z-AUrQv^l1WLS5Y5KtO}t%1O|kw{#{4G3!~pZup|G2^td**Mz-d3 z{}sI+hM-0`QRB_?{O;^+I{5?u0RR7Z0bG$gO9Md=$2Skn+Zf*li+~EErH!PK%elE* z$=!wB4dkkA6ipL|xk4-LEd2z211rHIwVy%k#Ks2*_BP_o=5h%J{>)|O$A4yLiX=wb zgpkKx?;&deAqPqe>NCip*fBP1l z5}-v8x>?+7HI?+6A(2ax;Tlc2*B-fkgy1^PqlkRIT=dvrhLU ztzEiTpFR>PPysY_@oIH?O??psT*x0z^kx+Ac-V;7eksIz}Z+G&hZBT0RR6?uYXwp diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.asm_labs.ddb b/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.asm_labs.ddb deleted file mode 100644 index 8f92d6951efe441c70f97db7d98de046af1ba0e4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 89331 zcmeHw30PCt+O-3>IJ5>8L9dob)e;4b)2$+qs7OJvV625Im1vbxueMQeU`TSLf~ZuZ z0^)!~Q7^XCV!?_CecJaz~123UcJ_W|E7bFUVZ_AYgk{8o;rEblu1)Y&)u|U-RjXZrcNF`WeOGi zoH`l&_TvSk7p~dpH`-zQL>{+`x78`Nr8;)BioBnIbAh+37gLe;eEtDKs|t@yim9>&LcxL6^^ zOOYfkbtez}NsHp;(bd>@`Srz*J(Wl!uA$bTG>rYZ9L2>2xCX~OJ-LB8&1W&VLVO8t zz*{xclN98H_Ik~7PJ+2);2JvnvGPZn=Pr4~k{CLLMz^DWkAxH^$z2{MrFu$ak>#|3 zB7D=VQu&!8e0hf1-m_KnbZ+cl^4Iyqoc(Fu`!-B!xODaTi3{$1`d)N&ie*mV)KZIa z>+JUB=NAtww?Fh%)Q}Gj{8Cj_7ts`d{k%*6k?yMlA&27G*=7mRCFdzCSM6NrA@J=ddUL)E_AUkI>*<#&JoS8BX?l8hrKtBwI(|z> z67Z4lDfGaQ4DRzjF#HI<#SgtKlihB;Q+JLMC7%&y(BDxgxo1)Y3;4pg%5=oqWoZoB zpRIGuW+satxoBu_tL6mZTO?%bt&jJq_r@no8*gWmHc`CAfLC7VflnQwFTld_Vq8te zS5;oYv+3q)DPQd{efVC?QFB=}%}ywa=k^;WL7c`T+yu;Wrh&RpyF{?J)tN73S!Yd; zN~MUw+3$z@;CW_9tHAbn(`oS|_X^v7qi<#J!G|tlN6?#i)LGeB3GWMO|s!kVE)RPpj(1*hc~7*p&BGnHBygR zeZ|c701pZodQZf3@{|>8Ym=4VvF*7!%f&G+nj@FeMMb)wweR@G$a2?{!&QhkHn`81 zRy#@gHTKat0aHumoMOHUv!C0i0g0Tkw5o0$#*7Er@UNc-mJge!bq*8HrU>IMan-fK zA?Ph{W(1pS6z?EdwUDG5oc zft;34)RANZyU{b%$q_rqUt=j@t>D{dCySyJ+13q_9YJK5-1Mq6QsUC$=?P-`Y2A|6 z@AQVr5B$rKI%KBYUp8Z=xfQ2n)78-AnV&qZf<6Az2%#Ol!^@cUA#%XipxD}@uJVd zAdW@CdmRSBBOv7F$+Mt3%!<$|Vh8r_@9uGqcO~-mE*GB)=h5r_IGm3yeVO6BcP$L( zFr0U7!v@27H|dXIIR8gmEEvwa2c`q7^M4e7gW(*8b6A}}0b5{o{*OcSFr3414#!Gx zNY=w9i>+ebe)>v;s+}A3uYH)Wk~P{~;=Y2;ZUNJ_>g1Fhy1rT(2>$tHqC45uZwRv1 zr6?$zUU#cmJf>sFZR%xUW|uO$P)wlGe=r@8xgbIMDfFUALh&V9V2C&0#ziytKB;3+ zFib+)B_b6>)$$X-w2-0tuKr_A>{o8qiva5-arvH5x&B%KlQ+i$bw4^O9!HZ+4ClmE^ znl&qf8HS>0S}e7e7R#A{(BD=qRg1OcJ^B*eJA)!ziHW`ZvYUk@-OTqeF-|g0=9v!9 zjDpzW7F8nEWUQV2ZQ2kr7}diw*5l9Eo0yn&GS__mSt3Vded7iyz8Pk_S8$D68?0n>msJU>xyk>j2Q zC|{i6joX^AKcXG^D&N34-y6v(e2s%9ZlGuaRUk)%d+U#aT!HjGPGR=Zl_yJU40D;B zVQmz%(A$5NV%9<*rAbqb95sL^2m#!NZTsw=q6Ny(%~-$Q(*aGPN*OI&ZTEsHk59cDeWQEpF~Hihr`Mi3*@-HLdMPnN zHmi}gPmr7L=-N0>Kv9D)D3~~ppaDotMCZRwx33aOR(Ihtdl%&h0 z1?vHqFa_UG6)Gbcl?Fu5P%}m}&Itla0|STwa>w?&{y|INZOcAG4#a;+-uaquzr)MZ z(=X4TkJB+7MKeg3(MBcA00Jq5Pz{aULTlr`1ICjygCB)8ssBSzboreqx}60)07aJy zD7q|9trrmR@M=Ay*Gzqo)`Zs~qcml@z66ud5co7jm$^OEtc>Y^&h2$$Oml~V6@820 zvkt=3>#ef{IZm$^PWaTT!D$NwxgZ@8D<$AV8m;BA$i9@mmx1uASSA^(KOKTUUvE4K<)72ixD zn(c%#h1Gx2_Tn| zcud8#hD3e;`VO)?r<1B0eoU=T%{q;>o@4=4HI|=P$fxEy4a89_Z=Woi{%BE<@(w-8 zMYCdTl-#Eu^+uwdP~~?4sH**}uqF0MeiNh%EEaW;2(2LP{U6orczsXxD_2v6tre8J zeUrp{UlQ*MwpLIp8VYQ8xm-AGtzfK%>hxW$wGUgXuBT-FIqLe7DiHW(UiBI_Y^`8x z1=Dqxr)$_+!PW}4R*4JcSY?RB<0DfC*l`P zC+H$Tdx*?SF`5CH{ALD3>ty#vm;o*IU4n!brUSdT^i-Ba6jz=Qfiv;SUsPpeqYN7V z88e`%4}hx7ea$e!N9i~1i;Oxzqk5v&M1rPoPzSDO`u-VI4EejQfQ6T1yF(fHLeU;L@Z7e3rZEuc|7 z@xyt8EVg=le17Hg*Y1TA;hY$DB*DUK8t@+Y(58k9G|>2M8fYZCR`EbYh;|+(EWJEC zS$cIg0|b^{alq0m-G*Ze%m8h5UKo2s<5D%=Lf^xd8G1+NoJhg!pSJV@B#eHtl%q_- z(=w>Td6Az<(5Rj`FG9^un67(hx<<(3#48CF9*`CUS!rZH7tN4+kttBX z2%w=x$ynt&VRCvUKVBz`qqYUIOLGBWqhj;lr9CDXn zJN4SNQ~JD{BTioXsn-pa6(iAq8hN}?DCcq4vftbV65($9HNQcSJ{ae7;iBSj4Nb6K(Py0 z5yB|b6-@y|H6W_-+MycjUO9yjZe&3Oy2}yhSAMEr`2sZ*yMVE}D+oQ^xX*b7cp)4Z z!+|kO*Ik~jVQU3jE10gkJYB=q3dZU#kJYfXf~^&d)muWYXw^?n6A4# zUBlK2wpK7*cX_&otrd*bT^_4pYXw^?7^}NHR>RhcaKC~&f?vIf8j?_>?4P^-a4iI` zg}}8CxEAuh4HGI-z);Z5Ljlwg?B);X74X?$b@+FyL%4Ad_p9K3Rafj+J%xV4)~b8g zQ`jom?)_B@Vn${D`_RHK3MVL!N37_-Y(I55*KzQc)PIji_5b$x2>ZV5Ug_m4Hn)Cv zWOef<&+F%3HOBy^yT6d`8pu23czLElR-tQ@CgNMHnD}*ISm0h2XYDvW*nnt~o1&Q7 zkjBVhG)R9?2Bh7$tdQ9|C99$n*`phBLp?;bhLaSsn~Nr*!cZVTrhOPKh$YnuVmY=b z`nJkWpQ0(f$tl3kV_Okv^KDj=%hDKe|9XY1tVr=QO*?^OOWwoB`i>VS>OCG6scJOK z485sBsow?Da)U}p2BPodn)k;0c6ceQF|>IpP3 z>V&(R)kFp(eU4MeeRNH?bxQVeo?rl~K9wTnUeQP8@iYmW8C=uWgrc+%`goyfAuUU) z{GrTqmpp7q43koZ+L68&JJtATjy3v}q|0>$>qR;EUG#|&36-NPUBeJe-tVFrA0GJy z!$P7k`uqH(7-MoPi_mtjTR5N~e_erSIXx`Idi2Wtovq+bL1_voO;PgaqDb9%GmPGD znc!%&Oc)9*6U^>K^2(B=13Ai1)K{q-Ct#VtbmU%For{t?oK?jq+|kWv(Dt^LJ02aPH!F+q%|hz+{d!La7pO`(D>^4&YN{=4SM6NrA^3+wR;#GpiiBshA~BiEpqaFmp8&1STry`Ex7nRZxI9|&$O@;1 zME#)pjvU1a64flt=9^3Uen&wUWg$zgmY{^o;~b;Qqsr*=cm~-}6)GdmH22k3rvsPA zm1CpiANM2ONVI#>L#>j!0*9aZj$@OG51Ai`E;-Q2ILp1l+9vHysm7lIpt^B&1Lp+K zr@e;I76@_)54a$cec*$Q*)F;DSrR$hQ)H>FegaxZ6aOM;!7@ZWG0rMl-T*f?LdPhdsr!F$ury2irmJ18QKrfoP!VGhqv)SKrxr%|Hh z!6O>y1mX8IgZq5xJA{;9v+4Z^$?EfxPL6c@gMP_}h!@1!dtxeY-~*QKS;Ab=YR*Z} zM)eO*WcRDjC1CIf0E6o*;sgnx`J!6UABWy|(Y$-F16kKKwc~<|8QkMRc&g)RuIeO9 zvh5Io(WtTc~)cwc~TyU3s;8k6mWKK&auq7rNZHc)EVM{F5TDl5U*jDHAQ#`K2 zM4{z}sT6ud(=9t;BHMR+s970(GdH}~VQ_&0LXOT~2~xf$qYeQ6CS&dFZwtm+0T=_$ zM;JNRh8&7#XG0!Em-8t8xin^q68~RC zD*w@+(x~uV0od*H_>gG4j`@+^av@iP2gx=6m7xRTh#r;iEdWF?myA!#oP!Q>rfWim zqJq1{fns4~y(h`Y5A*F92R;u!jIJxaNvGpqNw;91JQldr8(2e$S7X2fLc9Q@B?+|2 z<`O#ot+kS#qFie|6<^rgLa--&xX1)y=LQyh-vWUZ`e@wy29fPY&Q-{EIiJsHWp3Y9 zX!608RRYg*V7kaWd>zZdwSCLdQz=Lb=RQ;A<~U4}GIwj4d&O}IGOUjhU{5ZZWV2(c z{XrBVGon{EsO}lq1e5CQu~xg}-qy2a#$f@Utvm_l zQ9FX6>>A<`PStqbq-zIlm5S9ugBaJ!S}>FWNE63ia12Z~$g@?ZqXxXdt`&}au=Kgu z>$t5@<64Tj6F}SWN16rfSiDY?!es~H;vEG9Iha}Y4Ql>scxh-7DZ4UtCdK4n%Um7Rr7Q`mXg(g zxY*-gmLfazskm$aKjn&d4bG9^lVealDI6I@>=5CUCW7ULYhQThm9FzvB3vn&s1n<% z__FNbuEI2}B$5pO`F&0lacHw*XGJhLGvn``iXQBn{u zA35?B{$&jAAf8c?$p%Xz_=am>F5LelVn`X0@Ck!Pd5*m+D}1U_1fXpXyy8L+KUt7a z-+ehR4fs3?&eQYv;u(OYjjo-l`?)iLh>pl_Ad{bvhW_%5CJG}CGCg$-T42|5Z#;|{cxW+H9c_cc|>k<&!%k8(AcAjUypop$0;rUhb2kG(q&UsRl~u9OTY85 z9JkJ{JU_p5%9OOlM<)S5JSRFjebwh*oSL;9%)`QMY*=|$t}obh5$q}U_w*>+v-41F z?M8x4nGm1#?$BwrcWQ`c&e1;D;r%bXzAqxCh(Q7J%?q!;%r8WzFGwgCP(z$&e)Eff zs&z24kXYY}Om8d-K9+73K@uou%5;fLM_;W|gNx?v`h=o254|lUVZTO(dL(UPE{!oQ zOk^LsfZCbf@%L`^viddDR^>%wZnuxpxPu)m5r_F`(FNt*xFVO}l~*h!nP!7-xMWa7 zeh0T5)O{r#XL9SaA(2%Z&uo~Iy7;L4VyVi7)z9tQs(B&vM}fcSsqg9QcS_(Z`rS=d z+RW3eO_(UEQ-xp;E3;(ZYeQ#IJ!Xd(ZVIF1BZ{4piY}(;TNv1Ra!WyQ6;1D@pTsp*4~H)@D)7xklS4 z`Rv+U<7cxI_FcoEEqcH_u&p-DHq@3>n;jc!%cthXU%k$+NdDM&7G1@wHke44R#Z2C zF6P^kr+@v};Qhd`O!MsvT-*%0w*Zjh-7EIS|M;ItA9{WNv0y+0ulk+dJNL!AQFl7O zvQPyN;qMM%w@WA8`Wa~zC#ps?>Jn#!kJ(YLOGNvwWLWj#1l7@wnugRd)Q{YG3PE!1 z)yh-iBHtL%rAGUscR79xD>u#s>BeQMSeM|@6NRR`bOiy6IGg=gk~!Jw0W68a?_kxkI&JQs|reQ6hrse~8ob?H;!1%nr?8@0{w zIKG~>D9m8p1ebyr3|_F8(1XDe@%4eSVaR|bA}kSKhoMxrzR9pege786pZa$D3lYSxy+S&F=^kQ-cVjm?BtH4r6 zE#WUO@d;jpC$h%z^Qgs$`Gy^6gZ}$jr&QkPu+yjYO{8MOPo(S?3cKl4UNAEJVo;Vc z^gbBh-D@AXeMeHod(;V1DWsD?7jXl!bkO&rAM4LJo>qA^o?#2#4z!rY{ZW6~Be+I? z2h7b>@0=iG$I}IG)eqF??4Sy_SdTg!6~IU+m~1*lwN~QZ?^wpzLHRYyDnDO+YL;YE zx_I-y`wSUlzt49_N4*CTV&L6)J%NWF6jl&! zjAJebKojdt_K~^dwZ@ExBW|DlH5%67qf#u29abC})F=wkL^KryR%WwA(tW?iq+x`ztvzV^=?o3wU!)V|o-nBs>R{?XmP8wOa6yOFaD3zi5f znYXYqZ?ZY6x#=2gFm1jZXC*c8&HvK7!l8R}^-ZGK6y&{lI=>mbFiseG4^cLp(QW)v z&_V(9n!FBr%>$OhGcPS=u8!$8-DK>C0q)zI@=bltiaYs_y>c)jJTj6FzZr)`u~g69)ZY@?Ebx{0|J5l^0l9R z3rYy~u={AkpMGD@NvKo$#IzjuK!?Q2)H99(7q`df#Fsgn!lki_Hgcl4Ovob(V#OOa zAQy7dvH(R8_4Wm4)8Gv}tX_m8_(xPDPN8@+mdC9Y*PWpz>3){0Myn!^uWY`{nKfM; z5(AFkDl&KYI5J>PVKctjZ(8C*oJ92keG{E`G*4S@Ig@ubUA}($ZZZ~bCv?#)eDqMa z(P}O8Q5z9MV~R&r>TmnkpIK+lngi;}OX5C4s=?n24=LoDBHuKZJ@f^fjYG5f_${U_ zqPxMDC9OZj8+j3r{w6BV9mV4t2I_zJh~%d8G~*DA=6RM>F#{Zi;Qf51xIsCFUXxHX zK0|)0FV|`34NzgCUf^xI$PnC{DDwC-p$1B`3)6L6uve;)%vaKrGP{g92VExRr;v(=G?ezNQlI`!SIi zFVsKoi)tHuc&X{~LlV^qeIfZ;ip@l!&2ZVnhPLlsqhlTxvmbe*oH|CU7cEWCjbUnY z)h(dhHmS_c%%*?}Ka7Up?usKMeQi3+NmwTIKOpAG-I3wXyhf=ES;JL?F%7L}TuXd3 z*X23}w}w<%9Bm;P`mL(5HSx0;k%?Aspx$87nv%uFOU_@)rFVW)+n+v)pVHhg<+hX> z-_&UZGFQzh`nPRQrYy4*)bzBW)e03l9o1Y);pz;$RMsBFA-n+RgwdG=GBj31mM9Y0 z+fyk=uK57cE17FfTMsI%^=#G9J~s)Xk?0n|gJ3>*!5f3SUN=5KZ4+yM(a+be{<2_` z(EGRnHT?&Pv*N@bNSm~=r`d(b28F}{ADxf`4l|xDuV^lm(fwa6W+`-_VN!3yKC>4! zeygJn)%Bt8)vj-+IxdSLnXBR?$F%j}Id2PLNy>!Mg310y)f~7+!u!gfJbhD67%I2`Xp?js7H6&nk=xEvn^M;7 z5Oc*OXEIVif4iYrh%L$9e#vITIi~H%TpzQyHycFTEb{faA<&2hJbW`Fak{wjMoY|InIo8^C?-L6zuhvEl$bx)h-19_2UJzB&X73=Jz`F1cs#y>_8G5gj&)r+U!;MdBvb`=Rk%a zln*vh&Qkb{2VDONf%j#Pu8ngJ*EQtMn@GV%(=YKhv{J{i5Sd`kNeeE!^t_eEr}MqUboln%$eH783c*HGY^_r2nm_FU zv1jONR-$r2Omh+)O|(q_ULYy&o@gR4C4jsUt$tGW^AxgLG}~t>6W^Vr=dTwJ_>~`6 z&YUKHd~l{8nD3lQqm1l|@ea!)_J;@R3loZEWGwl=c*+U$STYuOEsrdrS4WcDs#RnJ zF}%`=N?verjO;)xj_Ih*om;6_vx@rkbAvpF?zk@ex1Z6|_iseVAKgDy@(bL*X)M3y zq#e!rxV`=R?Ncw=QG}{J$z(*z$IpABnwB^oX%JF^evbq7sAQ4>!4)hTrWWB*)RPb>(D zDQi*_p!LdXP(Ft#D~1-_(RERI zp0h)iV14cB)D{+AAybl^d1CsF+G(D03CS6iZF131HrECWv66_CJZ?)f@BNB&gAG?- z!s6!f){&9>h#J-DP)yC|s^Z9q5)rN9)O>2FTgtvyM@?Ec$?2vpmq4|;sAzFON;5R> zzc|iZ#eB5Ai3xz)#H_D(&#XW54Cb9RUFG$`pF#1kXzeaa1AjYo{QbrPG_&}?UcGwZ zcu?=pSFTt+c?I~PN23o7cAM7wr_p8(Z|Sb-X3o0m5WM|Ls5V10Z3?BAOYw$D)n*6$ zR+#nv+;_<*m#@EVH+%5#UWfj*m~q%U{!l{P;l#a%ymR`T8`#IA?2|ren!TTl^&e}| I+xTVvAO81y6951J diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.bpm b/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.bpm deleted file mode 100644 index 3c37d0ce6536c6b899ebbdab06c3f079c7e45f61..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 836 zcmV-K1H1eb000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*JfV00000006H700000006}R0000000000 z002Y-00000004La>{d;0(?AdnP`)|A1#zf^#1%H7aT522+6$M!p`0z(-li*TueEkL zBk@1^5%hwfkj~retn1PwmS_csuCy8L^P4wscD+_MdcEEYn)m**d6WD+a&zh;h29PP z(73e-J~F;6-gbO`&TH0Td|B+uTb6%~FAm_U_TdT1L;JGyACuMtn&DRT{>|>&Y1Dt} zkkOctZ?c&~wPnqAy?T2YdF)_bCfI0vCZr-+kDN`p+FSY#{Ut z*3ee8tltg_?50=Pj5NpQJMSWhN6P263Uq>q_Rbs*My`m5S2DwMycCAa?R{8tuwl3soeBI7J<2 zQMxY@otG+;X;j9hR<+Va)sSBqt?T9q{KFX>^1%8$hG&~J4`Y)?`>Go1Yp}nSq?0a6#93dC&-m}l;qs!Bl}a0~*OerEdDkj+ z+D!iUu(DjI&Ai*ic&D?&H*{@x#92@3w3!!{W23Gq8@^FVd|_M9uxJ4;zEP(3vJ=M9Q0;E-eSQ4tw!_&pZ)eluWGs6U+ ztoQA88$mWO12G>|b%0|~h-ZkWzaI+&3s49KK#l?NGxJJV88~2~KpG^$1H{E8DOOg+ OB}JKe=>Px#0RR7O9D4i! diff --git a/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.cdb b/1ano/2semestre/lsd/pratica05/CmpN_Demo/db/CmpN_Demo.cmp.cdb deleted file mode 100644 index d8e884358d0b5525295c545a3a3e977d91f06606..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8229 zcmeHs_ct6s_dXJY)mIR`B&)67C2E3*8g=#F$?BaA(MgaXx@ggZ=&MFgv?bQ+OORmo z-qwD-@8@6m{`j7mbMG_f%-s9TJ@?MJ=L{_#9$pOq|4!oJx!n!%J8k6P?c)M{!!9Z; zC?Y7ruI%UHZqF_yD$Fh-B6K%Jh3}yLD|Ss6Uk7#-dl%okz0@#*|C+!s|5xD&5&fV3 zk9@-Ze>f0-#{yPoi1h2)Cy6RjQqln0rXv0#Ik?{Ua}cql(U)>%;RO-=Mi5o!>8(lo zZ?n0$3fR2FNK3wpS9f=#;dYRnE(+S!#gsJOOEvviP+p*37#GXuKg0qh3!Rgd=e%-y z;!FkGOhVaPl5|15a$0+y|2!?%SU$wu(n1sR|1bdrB!(a^eruRbLu}PKgVZ8~>G#%R z6Xd?j66Vsv8@fB@9Wmc5X(8Bq4ZwCzvJZU|`MPy++yf>*>Xs1~Uw^aSw{8YAl)q@- zsFRt5i87#lZ#|zO#!Z(lQrM2o+YjyD%V zAqm}`YHH1x7s{B_Cylbdkc9wY4QQ zMF-IAd;V|9QJ*|H#=Hrf$i(G~bMjJ>of3okn5TB<3FS?eIRjE`hzA!WCQb-_Ru+dN zT$hZ!B7!{MtmChZN*8F!=nfR}Y3FId_2_3Pd{#!+6(15;O8=0~&5}k;oQU}-b`M0b zk;Mkiz=sDYo0ku&z2p{d6v_U`_A^Vjd#^yTpBdcjEnYkiJ-J7JN{)VC60B4JVlfsB zo(MsQZ6J+>f)nLi zPm3o@AEW1cH3P$(Gq^0}zUVpT3Tl{ac7_ho-%bP-j*rLzkRw!dt`X)#9WWTA6N18B zjv$H!ByKxfoY}U8k+2(zCK~gK+rxxj{y))aH~Zn2693p{v$xqonX{Wrp&z-BFyHJe zp@#$9PZF4jBu1uQ8?d#QDx5ut;MqGvW%}hL|Cyl3_cUd#nEbN`^*bw4sSqUXzOJ>a zA`BcdMQRM|V{nBK{At2{cNy(p63=iK6#Zq(Bm8W7*V{!0nz20Y4}TLm1ynvsB=Dr# zje4=_fA~z7f;?M!1Rc1e#?sW``lNNcrqwZTBKpMuH1*pE+i_c3b>Q!#C;Q#2sGj4F z+SqoEbLeWa)cY{7m@} z<75CSPPdS=_8Cpd1RoW9iPk{Ftr03!2u3-&-`^>&I*KOy671%)!NUT{RH%4gtozxs zBRG?n{EJIE*D!-%5uDv8B%3vf@fgdLg1+-vHQutY5#<#`-m}Wot7Hlqc>5E*opeqq z|KwgNl4GE0e?LiF->>zW?6l!B=d2GeyKh7ITe z73A`2XX`d9e8szLJn^$TW{1#B0&}np6ca#A8S!bCYx4@xHk1uDScSz-NcF<#x{aSC z>K(&&>QNTq9LDH;@Igw+qLBo^ zN#$qHCTiAeX2`=!+v>hgeHpYKnQ!UbeEdiDMax>(UYE~H%%8ZEvYYRo#;J1^w>d(e zBDzYi&MnIF{K8uTNWU1k-r%YmhreFu6frfH{~G$`zIK=!6(DmkmTHH%u03x#l_5FV z4h-BAgR1FZfy00TLmYBr3<%pfR-WQdOU@Lc`+dH@lO|P5X|e8zQ%nA)kO7{3lG-Fe zpP@K%&&|?1V^ubH;Cslgf3i*iXeV(Ey}3@s#+&u9ycs-XAu@RWx@AO8#J3F#;2_5$ zCZW^3rZz%J`rqYh%R)X!yf%fS^3hYBu0czXs^Jrevz0>a+`>}0w|Nezo6ywL&|1gjamNNq@-6JsCjDng?$5<2 ze($g7_};d>LU+mzAMPGs30(O-d|ief6>!0_1FlXl{vA(lpTAe^RwKYt1Y(zuDaJ-* zvH4g4l2Wzw#%)&rbiYp&^|n9!n2l%1`IHhH!j6FTV7k{s#%`YKVOL?Buxo}>nEU~* zP!VTmiMxg)aX7=DR-?%+(>k5%=LEjx4pzrEH_6twv5}V^ej&Gp?{zG0a5tpSg!(tJ zuT!IuM<@Si!&Ix#5sKZr5#ijOu)U72KA}1{KJf4qjAE0zPLfu)Fs_;CD(~oO3FFl{ z4$~1*#{{$tN8&88h}rEmOazXV>;#ix{1no)-8_C8Hs+CMyq}YgI6rX>gMMnuh`TAa zo5n>e;s_&de|O%R#UAb-I!(2Uhp5c(#^b~$Ds``?q4%>{nps2#dNQNj8R zf1axS5{}o2gC!@;oo~;c!1xes6aF)F!Pstj#3KcCu*bjC-wM_*LfWvFxZ@8=0t#Kg zO$7l2EFOj11mqo_>ea%UZVPBlVh7I8Lv7rz!k!{(r4Z08)P9APW^M9Q>`g7UkCz_C zB71atIG26-`TA1mHhui!l6xXqunVJNQ>|mgx^}G6+J%020j)v7(4S?8P$#v60rkVZ z$3s6=4+TQHk?`5{pHdqU)byu16X>I5p=2rFTS6=5zGNZk1QxvE>3M~UKN|b;e}Yl= z+$LE!*D($$?@ymI%_2-ei*W=v_#!1Fh6gM%35C z2MkW=MuAt%LQdTn{TLbLA+uV48z@u2s#xbDoJe*#kuoUHOkn9zZ%fH=d{Kq{&ixg+ zOV6C{Os{-Ir>J){_8n`RfbUFM;wfiPyi>avA}9}m3ciBB?K0Y&{kHfO7;oRX2@JL= zPSKFu^Si1L7>V-f{9K)HNK$twsDq{*l?I3@e`(y+{%}-Fb=9eS{CwRtaW=4Qkq%jI z_%^WS?r8ff#ZbGv7Sb{~yBwU=N3u4v&A^r^DgU-qf749|lDHU^vnORW+j#s32EN}} zc)7n2UeP_Afg>wQZ-$@DMwS_R{E9N~We$%sw`tb$t}6afb(hR7A(H7uQYjt6AFXBW zLaR=Jv8;9OE$k5=uHzOxXhKGES7snk51+{Io%j9?()=5z$i=+M#jeo8b~2uKOBpyV z^U-l|vr)qx6J*J={LO{T?(J1ebt)v{7Ua&cl+PO;N#HV0Fo6V}w#*x`p~!Eea|nw_Ry;bzk9(d5vV zaJ6ztd7cxcHt_O}!Hk8nmF33{v&T7~zr=3b^!1WJ>5(NL*=Vjf+M-&Twg??x&>|#d zKTfrfb{_BrdpVgjR(a@DA-Qu8__}yeUnL{EmR|k{lH*))GG`ra)xA*QJMpW0n}kSI zQATqF+=WPqz}8&+y-=et*p&LEs_GJdAHCsYA$r+mUck?kI?Xo$%`(W0tzMah1{U(i zI>0Ms9R(^x*#j2oMRZ0n{gSd!h+o7g)Y35I>$^(IU&*AhA-!P>MfzAoH<2tQojze=-dK>+x5y#gBqFh&qBV>2m8y~SXL zj3ID%VfOERj~vf$JV`VOdzuQoISelJ(_I1RV+O5^G4ppyoLpYh1DdH=^U z$=uRT+%m+#+(0S8iFSA>A93 zBJV~2-oK-MaQjC)`iwzVn*8N{h`n);Wi^3ZX}wys(`#GsN&L{b;CmL@{LA?Idy^3B zpVd>dpL*|URqlF<({*KAG6E(h)RW{gm=k&E!v_Uv#VaId^_uCA^D`m_W?e+-vJDnA zCLtG>_Ab>6dFn~g@`oM6XSS9*xw%sdQ_~1;){sVVx^_lH$-Ebh2$Dm0H|h~VW-dFbt6NZgcAGriX6_Q33|k_068nAkM7E%Odho*hM^t{0QT9xz=o>_hMjF*7|57$5<>(Zp zYoOIK7PQw^y4Oc4BQH6)#`>t+Za7)NY{K#LmeYBWfBTQmdrYc9;Q({+bRowM zDVUB3t8q3K(r*?5TD9Cf|0yC9m%BLbVSx^&2!Rw-GJ9jB6%qk%7B%YL@sWK1(2GBK zHS>zkt&)fb-!yZ_ga@t78aXGsP2D3jA7bjE%_ymmdi%6_NHt z%E2CBxd3&IJp|bC&Nf26);PjF8$VtmSEbg;Na9g#x=hGgABK<-3;~>$@|I#<=z0=T z2l2R4v}Rnvb#MB6#l^Doo>x&3uRZlD^zMmF)Rw+iNe3Y!d7y55%phL*j*|#6{6TjC zLC_$){&eq=^$)PbmvMq68`eC+uc}&PDJlq3cSs}#EIjtSOQ}G$&iXH-1U^=&fguT$ z2&Mpi8zgXkKdRV=anB<(8sI=b05fQiRnxhG75#fiFIx4<-s&562?(n(+SaL4h?h1h z6w2J-Tu>5lu&XtGC?#9KcRV_yOGb#bNlCY6HWlDY5Tw-4lzir1BECP8`$1wI zsE_o5o^i&+`j+yNvHE8-Ym%=@17CB4vr$WtN%VZ%IKgzp;R;!on$$?F`1Ft3aC7;R z|HQ&XoDQ7bBP%Uep4}U{_h|gBEC3{&m?D$$NE$VO7hZexz9*8T+Wtn}H7?DM4zKLa zDc>cTeX5DIjHMI)Ri7yydV1`LgWTlg*U%@Es8@rB#(N_~D!}^)_Ne|QOvi%JArGRnB)s2kZR`I{2(kqSo77mhWbuADPy(&$YpoSrLsTZHcL&LQ? z%DW|WFE>gXQedg(`ObB|+CLiJxlK-0j0l4UKt;DfK2F7T*eQ5dhi@0PYK77T^B@m) ztgoM;DIk~2uhPSixcFPn%F8gn-1n|dR%I^*9u}XVsDZ!=*dwRn`G{@%=_cE>TlK&F z3=+$!u4&eh%_>!Ybq+sMIN@T(3vW|`9{(tfXH(^xP6dNZW)*RzckowN@HQ|UjNhj3cA zNjP`OS54#!ObpRs_r)sTz@#7Y#%V);tZ5Kq<@x3#Q7h!+Ta@LEDI-kux~dE2G7{)x zsP$GM(JIs2n@#XJ8RTkMeNq&iQ29n7k`!OMM52ZfACs>G)x~6 zY4ira?$vsX(xtR=LL=I3HY(G@s-!xg8^r70W3G4ZpT@R5!Y+n+m(ir@B!)t{kf`~!ZDC~sEQ)K<< zQvSW%uiVXNQ=(PPb_#;cSYKsOvm`<|SrQ^{!P9xWe9|BO0xVX_E!m9dz>`t>D_&^e zV7ms&Xftjt?UQJb6F5%0Xvi9UcgJ~wy0~(*ahLe+)>V;T=huFFSMUMNIO=z=fugAz z^_rU|161pJ;A357&>5|576O$W=PiS?X^WHTD+44_xBi*aVjTwoxdu6b$r&lpbiWJ| z!g`Nw0H;Y#lrm38e5p@&3P)$p4rh+v_J>v#1f`m<(Fko{9ODI9cl?x#Tdwmxog&vb z6smvQSahPgaqB>>PK^t&s{^LK5QDDjWZMOGx&%f1Y}NevZV|G49g|MH#;${_h*nm( zc_&fd!4#9u-A5a{UX3%r=h;@EeYf1EBi3h5P=qaQaRStk&v~*)KN07&e@Bha6jc-= zFIZB8{8UVs<@#xUA;JEwemf_*_{*H#(#)pew5U>$fad+c9w|*y7Yx?k=NZeCW&}rt zW7_}^SJ1agv?9gaLBO{!HZcj$l_l%G7=j5&xB`Ag;9N_H@4FP1Dpl43K=;fT^hNL= zYr?fY^!2(>7p7&yrsNP_=;O;Ju8 z>f_?9^3tNXEOkjCg;AhsCP#i0;(3cj?+nI;#dm?*J-;fuv+&JVdWf5^7_fSqAC8nM zDPjT%13f)}#y5`cbUJobn?yiFQ_o%VQ}{;dXD01SwW>)E#gGLV`fVe14Np^7Bj4xr zs%L>qx>aA0o6Qz*s%itxFquARm}Np1%{HCE426wK41_Zp9t0HjF0NxfrrBuz^hT0n zR=L~I7ceKgN%tMODQN}jHKGH(0AN8aHeJzEcdT1E(aO!bEpN$5Xun6p)jw~TLBG(e z#=;3N{dM8sCqL-6=^jtOF_ze9skY8G4diFojf(z2p8A^c0x^)j*4d`~mt&CT3b@?q=e9~W5> z^HV9D^F59^e^uQy+@4O)1@~Ya-#BYDcx``tGEmd*s=>brv~%I;o`E)H(AkYac|_ej zG$shTwWLaKg0gd!_&eoGj?alV)+0-iG1gl`tqb+UOdt}bJwww4t~AwdLf&37lH3Rl zqr0YMG$rBr_{$iBzhGA3MYnnk;YZ`ij?TE2&xAuCO_Nl+e@$;2?SOr!YZ!32yAMbl zE)YL7O~YAVi8iLJ8QHZkEkAYT?1p@)HK3(3H5=@+|2XSRerkPCGS0jtT`vW}?VRW= z@P;q$3;&NlMe_CRkrxnN9*edV&N#nfCMiCZD9#I6A8&3DNYXwtCu{%aUxCcOK^5

    @|8vEuS*g?#WZ0!y+8{PC8L>Wjhp^3i-R_6Z9n@Xv;1 z8~EzYB+C4{OhjKsZ3cB|N}R}dM1*#w9tt?~_4z?Z0dw}F@Ea}J)dtnBC_=q%+(du8 zi|jgXssw%!TUx0{7oKURGn!;&dE1emZWj%k2No4e(FK6nqwCpTcWbKH3@S-DBSxNEC(U6 zv%Mm$x7=>v`Y8Z~rxXMx_Sju(tLaMy%1~)r?il{cY1aI3AplaFF^u=nQCY3IDc=u1 zM)?_n3!Y#Nvd@pnfD7Yhv=>Nohdh`o2$n0oSHtKR6#i);5Ai1(|2hw6Mn*2Cp_&o> zD`$uOV0bHxOV!7O=Ky4a!MjADmqj{piKRPo^54(4+ih6m$mdlGB@X3>J0vE-qnHlb z7dCLz4dZ2X#GY(Cy9y@%+@+iJz#0J-UlE}OPHafBO?5`bCd}_2 zYx7~#6sERh>4XsTpLuKh;l}RS0Z1pFkwcm}=}h4!SO&DWc((0LR3~);3pglcRju-z z)e9)6Ycrs<(_phDK~u*ti3}kIg2T`y+!C`fTyqRsnZ5>`w zzjiY1rSTI8>w{?{Og`EKMTt0j_Azje31Q(dakf3k5=i;r+Ti03Qgg<16yuWI3H8`$ zGr-^l&R(fLXF~7;8||;>Bx`}Cxx{ud`K7&;eYEqTH9NL0l6U`Ya60$tpzj>*OcwkfhUo^F>JOf|CL5{ zH2xhFq(nM+p31O3;A46t3cqScfZn(@P<}98;!Pky<&E_K*Qx|e-69hK*k@lek z(Va>JW{3R-o`e}N@+Z+vp*BMs(OY_K?W@3ST(7oU*iR@)>yi+PAWVla~@m(@f%Xw6`dR)P3_- zViGgbR4pGevHfC+!~dk{FjW=w*FM~T?C3u3KGZ?NN#06!qZ6s5j^Fopy8co?BZESw zVV9T8n%xA;gvKZmy{A~vWWJKuQy>2ufr*N=a%G&$e<{{p>mQ{4wAhUNw8r^!hm?u! z2TR;$xmYMM|3@=kX9tAihI%Vu9xgi0VHcC(s~x|U>Fu6VzOb);x!WgOr=_;1zitLq zTGC1vqlvqE;#6e7p0hFkp9WoN_LDw}ZT9}=EE5rRbt@oJX4OG&-?b)Rxw$Bz9hs(~ zHWW*PC8I>h)4{VV9cp&7KyXMc@tlTadfY2MTfY_G=XprAv%(tiaGXb+3g1Ja9S5ScNSr^-1F0RDSeHBbam1Cp9+uoHpPX(){oZk-@N)G%-zVLxxWz37 zRx<7X*s)K)L5$g%f?8F7Aja*AJ^OzRC*j3u-dxz7I8Nav%3pYgZkLKl&qf}r^*;@v z9`N+}(`RvbbzU_0+rKvY?G>9ok273mHeWHg3y-T$l-Wz=tvKbUWc^%|ibWr2w0gKJ zcC4V?-YKoOdA~}OVYWM3A~WwpII7-Uy+z47NS)(tBhb8@(wfO<>!&2}i6cFzX~LDY zNG`HR%m8|Fm)8D8eDnqQVtzX}hJSEqt&{k!(!sCAS~K=-}%W-a5<2GcTnGw zq1U-Ry-zF0ez>#MT0oucFP4ItjrEf$^vxn(?6oS`7lX*|viB}#)nw(c9#}7a?LAM3 zfur6elwY1uB1Y4)?4H2wTg-$*>ykKE@QMA1EqX-p(054dl{HSR|6EN2fl8H;Njn28 zV(=dU9D}aSOm#n5$B^qLU#B^k)=r#NX>crNlIAx|_9*LkGszykS(I+4ax8HQnZ-yo z$;LJTb6F%iGxzoDWM$|MJ_984p{C8aFio6-puZbi)BXO+DR@QyTkITDO&|lTVt<{~ zJ1$6>r>oGNTU#MwiMHvdbbR*g`KQ4XDns(e1UCQgKA+YF<06zSHcs>cxoK*D3tyBe zhQhYDtgubngBGT>wr75_j4_`t8>w}BYd!pY*?SYvy(v4mzLXtXIRdq`5#F5(pBx*% zdMjOcTLaPTN>c&bU&)B8p2Ee`$4sZWCrX#sq|+;9Yi(YP@-gU&+pM8IBCxb-tlc$0+A!XUwuL8SHK7ZGeYQ?kX!@fDF|ZcFD{){ z?%_tfXQ4j)t9nDAx8kcdPWmFui?FiLm9A&L+3l#?MCyHp?if__T~n zZElNEBK#dcWa5E445#7~dbJ^9){Br75%V9Mcy&W?UUS9Qhg0Lgc|5OIRZ-Pwbo^Zu z{nBgkHt~X;)YeNw2WYW?UF{t6N161GDP2m#?v@{smEwl)pjU~P1!GF|$ zJ9qzcmq-cA1fR8fDMe-bj=N$a`bw(ZWH$t3@Iyt$ z;Ye)U|Hi?$YIcl!0={rP>P~b`^4Xr+BF1Jgf%$zrJM&{~lJH?AC)jIoGdU4W+%y(l z`5cobM#DC`YuNm{CNSn+zSR#rY?D@GoB6p$I%Xe8tOA(Ue zOWOLQ`cdEck~T@_c1Pa%?Z8)7>buKzkutGYC4mgI7X$K`Vg1DGlyB)>V#rpTPwCe! zvEBRQL6UT%a8lY9_hCnkoO#Mt_W%uFg_h<@s`q@1AfpP4R^}|PDHpQ2vE6S@l0xxQVsFl9 z=)d;~-OOMY-dW^$IJEf%|=B zENPOh`yBr%?Emh^u%3tWp(?XjrCXET5Bgj-m7k9x|EsX}fuCsHwq)egpX&%q{SbF6 zJ^cH$CS9rZxl5J8N>PxogJ#j7Fv^T9};klT!ayx2I zvlXa|KYH51%S1=7XctbmEhM~s9vxAfTY;PQ4E}9~1a2`uhgRRA)9bmQgCF@lqOqUy zx<5}7@>&UC>DyhxjS9~r_qU&c7p)aPWC`!Jv9GE=Yz0&H&v(3b)?4p!_V~E}$6}4b z@TK{g@5ZX8YOF8d-BWXHT+21VV;QzfAE%9gn-mWB`T9EAI{e0j4RtL_MD*F*y0PaX z6&SQpcx)MSn$Mb#u&m1}5?I>~5?%nn7^t+2OzF8@ACys#h#X?cH2#@AbTPxs2*Ra( zZ=n8gUuU}YFT-4Ea{XaEJ}%(10?MTUfJe(Qab*LFq~ZzSs~?}*--Y1N31EFxN`{w1 zVJ6Ym@yn6}1FwX|Hy1}#BYTdNaD$U*Xy1*F82SI*_07GGg1%lQM~x_+7Xxssgri0q zrXQ2n7602w_2OE2;}m)y{d;$J-J=44CL12(VExKVAguU^tu^UrBVc5&@jiM(Z0-McwU2$3Yf3r^ElXFhD9F7`00pBe+^Pvl^z2|F5*Lq9o z{HNGn)Vz|*~SlvaM&=agpRdYt#_^!R3-QTK1g#S?U$6({J=FlV9 zbB7;KR`Nr(mYXI5Bq_xNph_o+*^6eOy^f)`LYk-TLlpLw+1Y+rAof^yB0+iHrQ$5# ziQm)I-UzK{D4da+#N)TGWy;Zlj}1-lQ}7vYes0GbEgR@P%5m`|TZa9%T4Pv|b-2F2 z3{rjsp_(k&+&(22oP850JF^*WI{!H)L>aYcoi{T*pU`ZC#(;@f3~dUK;-a*0y!6Nb zbDHk<4ET09xb2-u=(1nG4ET}; zWaZotz?d8YZFss8vV{Fx_|K6W@iOBtJ@Gir9Qvo6nFlZ@>F?NwG@LzERPd=FSDGF? zggj1w@;iVS7O8_fz>`P`G(}-(*Zsb8*XsA2Itdr@9V2jrW%D5ba$9+lvmeeJ|a2RfPPg*0OO&aJR{oqD{7&sJB?hHp99~{3oX^qA#ikaiNJYUS2(!jb8q|As!WfLckzgvHEHD5~A^ zJkKsRZ)NWE*LR?Z{kt;{Otjfk@D{@rD)84!PJ=5+_!$ZD$^-!H?48#3yB~~or%o?M z!3kvdP6c(p&*1>oNl(JL7-Pnglf!YdJ-RM*S+^ZhNnPr1|6vov{JqIo)A6upTe5HP zxS){erFQT0z6Oy`YRlkA;xz-X^rXrJBni zkna21IXaUI*77Vf&gux;WIHNlxh2Y!d0lOt7K8R^M?5q%o2?*v>|gyn;}u8mY!@xa zrl9qW+E{*dB2eM7bM-5R)!xpn0NSefZ$h8p_5eYg31?AJUvJj$Ma_+sN!vAF z(TO{Kw2Tq~o-zRcH}ihylcF?kFkJRPAGxN_^xGH~9zW%7l=k%cl$q@fF$s;5Uxa@_ zFowdLr7XlqQ$hN+Td9Qp!vc{*t>=%BWdvqAD#TsKzZTO6&Ox7^W5E~3$h5o;N>BZ4 zBL8k|Z&0CNH|g05IIgW#r{BnnrmuQJWEk>@?yX>o7SsuweE-i=P(7{6;6tTa?Z6Q2 zoE%+GEn3znJjEICoP;g_YVjxcC+ygn2p&5_ zPJ?y+4ZggsXCk>G3ueS@asHec%5tnDw5^%4s?RHMTzN3l{V%@g4*cU=)Q4+pbr1Ej zoI)Zg`5bIvqyl2*?!HLq;Q800R>@gal&oLCipleGQUB@#TD@|5gp@bbg^>lfB{4;P zSR+$7Y(axNBlcN{%$F}<0Za`Ab%9{eVlJJL(IzRVVJd4oWDd|l3@e_L*0xO)JVuvt zCA{a<+xw$1zWv;Kqu&c~vJ95a&mN0SyFYY1a&@<-f+2s?ZGi-B*1m{%(+XH<)CA@` z^28hZ8{|XVtd7{pqwqhpyPw|@-xQfl0w1CSEh~u*%ZiMmPvVKOmj zaahY|p-=!acFxUd~LE!BHnH zm1p>0FQo z0q5hmIKU#R87hZzvDc|~#lHUB`NpEaoExmRd4<`wDc+ixJeNTWN*WSB9G0R{IdwUOmIq8_1YEL0P|H}W5LVN)Y?%YWhPW~lK9F6;Mo^Nw~jK zqg7s$zXh(XELlEQ=aG>VzxJ(2G!*a1da7mdbeJUzzfb2U7t@sxZo@LV+Z%Qahc=*% z7V+T5>J*o$JF~rB&?l+GMQNBDws595Svi+LTM_EZhU+JgnMx^YrtAW=M-1+rZPCbw z&M?N*QYQo#+CF47Y6y$t(c)3wXbF@g7Xp-yEyH$69(HFAI|}E{$%{(%t$wRH6hSPk zcRi_5?6>>e2lbtFtMi!a z`LmrC&cU7QsRQg2trYE-rmRSI8hdGX&^SUoY<}S6SLYF}m29S-s?{D+;W)ZwK{EpjUJ65*V>(ZVP zBgu1=Sdc#%xQHn>5amVNPp7tcH@z@lzn7GpMeuZzki{XXaiosDftJl#$E^-~D zar7lLkKl>HoV@RY-LCjdtaYV~M>j@l~EN(jTbzsRQ zGGzPRkukDSEK}+-5Nz=`%F=Osx?ZDkDR)Zp7tFkEd+tzOZ*LjzR5$p6#TP*&yfYLV zwp`ViDlGNJuFGv3z?+9U;}5GGzQJ*0MaCeRTRUu=kN2WpcDVTE8VThq#Gi(=KwLou zlQ`?M}`HsrgkE@oIkVH9^2N_d&Vmt?DiFmB?R)> z9TF{uCbf9Jqk4~A6gbj-R#GgJ)7<#!&JKk^_G!|O*-8L`Z6vxiwn@6hgQYd&ca8{6 zjl0eThv;c7XVqfgx&(4W^?dm}+~hv(9tfSuV(bxT0-zTMr(A!x$h&)(Q`!H7*&LtT zd6~$D?H%2XVbRt=~KuiM;2WeNK|_4}c=&`BN)kz8g`#g~nw;QYjei9xDn2J~Av$QNg$ZHf|1iguGMdt~Ht>iu|Y@v0o_Q|BLF?iF3;yrl)$!#32@HP#OCZ7LXv{R#4BP0-?T2 zLRhkvPxOb3_gZpJ91Hn-2{;SmM=(Hp=xR6YZu?reRUfxK#GBggavNa&)wtuNkp*B= z``AB&6DbzAQ~_CKLjFGWW;quN-zddm*B3|x7&%YE;=%K|!P5C^1&qfRr>R!KEN+4| zM`0Hhh(Gz6IbmBtMEg2lU=@Vm)d?t<_j!MT^!+kze z5R`N%3Qu#ErRwC5O3ANV?8{gicQ)$T`88qTUcLWgYTxx_Ht?g6%?9RbO!2$O0{5E` zGz2^9D`wukvY^6Iaq_4znKn?UO{Bb4qNYImc?w?0+;%>c$nmE*ILG(y{V7GPR}J^? z;KJHK5s7M=``=k^Sri}#NaV(j*OOvc6CRK+_}Qaeh9<(8D)wNyyuV-V>*_4GMUFhF zq-MI#+*eGbzxXi|$D!w8GxPa`RW`PeJ!HxqNxt$_s+Xe0AoW(Y#7|b#iWV(<&TlVg z>H4V$r6^r)CPLwz=McsJ`&=)+Xa4~(>!yYCKcL{7N2I8lehFcx7rmCY z?~61$6nx#}OTCUFh>)9d zelZfsVK`F;1SqI1+`kll_-yJ~VlA)Y%qwR^r3uW8zs9Ev&6`zIG3cG|Ir-itdkML@8oW20q-Go1 zwrZwLNYC_c@YXWmlMryzcQL->*pe*bRTb^&d~+8N6@fa18tXCiGQ4&yi>Y&)AknR? zHzmL`?k-{EF)tm2BwDkqNPC@pfjFfleODx132Wcls^9vmrj0!KK7dyyIgk8K(XZo7 z(&{`HCOO+VPobXdgDY)T>jqyP9f`N}Rmm}*QFOEm_sjB~Y_pxrXST_N5*1oc*{xUx z`svLYv)(NVm{<#bq<#G)um6~@h5F+@{Qpl-~vkMC3HGN{i9<54}+%+t`T0SQul@m9Bma-x7Sco4HG4)KB`MTRp$ZBNAFFr zf`U>3oQs-??^S8?0?peIjR1}*vy5NV;g`|l>};CMt-*8&aIQyRzv-w}8c3?gU;yF z75y!WrZ@8}PD4nXS)&a%VzNQ;5ft?D#$Dv*YGV{s|1d#~Y^j-$oEKw&Ymwgm8wx`NWkJIWEe!t6a&F8w|7W32Yih;|BVlT!rXwt8 z6QNi$x4!RZS5y(p3sniK2T$PwV139l+_}ZF%74v;bn+(6q(Zm|y0ln^7kA@ShXVH{ zc&HU;+6TEbIwcK%Yh!QtDE(iQh?oDdr{$x{*ML^He!E?3l0nCsbNwTs-3M;Ibw~EQ zV)D}#Mb8h0ZV)z8iv{=BM|_v&2L_dO3oY8%%;QE%Y!cia{A&EA0qYpOoIIPm2XGk5NzjF-&P zg;n1%%cHgtkHWP_o!JWliA2u#9a(Fo|NluH^??}R{OYF}Ti)=KjFIfWBS#;hPh{D8 zA=#YbFG>FKfK`h4UvuF?Jk+JjhQt(#amyZROo~1ZyN;aurJ>)ZT~-QN0}ItQC^8+m zXQ@kd3L1vf`v88#rL}`DBC|M#{uf-;X=`U*0I8&$9T)NsJ8|=?ERAV4 zaUY9^W)A9$s5f1pGIpNMv7qF3NgpfELDz?U_5;g$d#tNLPdl=i-+d=mV>_nEVxM^+ z(p_~wwMC!v`)kejjO?0eyp~{Qb$v9(_o8`ix4chWLw&7~c7deCV(L^~!Og&1@SEdg z(U8F>6pkgS4vwX5;Rl{_;12S%?bWP1A88YC%XJS1DVo_JO;7$f*4JqVWKI7AvEgKU z_gEgUSdFol_28yk8ZiHkiHCX$egjm1t}tmjQ)v+U0Y)gJZQB-k~0 z!f#0HS8rg(zMNxgQDP+rW@Y;)*f)jhlj^bl*-*cmBW>cBj)RDOYe`Lif+t=&Ncv7QJNm67$Mtar&ym9xb$dQ;?Ben0-s?!s zAfo!U$p_>JLULz#elSi;w&htkO8S>rcQwH{UxmTqxAVYgH`Cn$ z6mS*r;`@hE2_MuvYp9rVdRCI!^C?CJX?*nyLK*P;-u`4DT_p=YP?2H3L!#To++=`r zt+@tRogpjqGq1Mfte84u08xi+mKLf_)uh>7!B%lec%eaS=IjcXtP7=F^CBkCitQ1?ALg z*a)xAisvx-1k*!lUdpP%#?xIB}^qU3P75X38ZaK0B zWa=^v#nr1{YY$20?f|f@L#emLI;cCfFX>IrYyY>*$9$-(H@Z9_y>_O6+1Sok_QIBE znYLwQ0XLO+t?E4=WGRX0c;RrA(4+3!Q5V1u>25HaD{)z=ISz^Kb6Qacmx!xIJ2_OP zsvcawi8^x#2XibI@0;>;opSTHUvQuk<<@YTf+1mRxIyVP;9Boz<&Y}e8>GAUy?D<6; z4G%LVO$fv`IVWHq94^tjtXhHMtzBF@MbYio_E3y?FI0O}h;-eB+9ZCcp?Gly^+8SP^&z zfnMSviMW&A1+yNE3*DAMbHb+G=M003FVj+;;KJNu5!8q74=mFDkH1pDd`=RYJDgYd z1p}hMIn7FNmg{sQveArEcZ#7!ha>FOa*@a2L%S1G%QO|A3IV5Gh~475UfRadw>RHz zb5hM*a!U&`PbM`HmEHcv;!*xEG^aSn-{R178aClkm|~gJ)8gAW$7k8`#=_rkuCpF# z`{kzVm}BNcc4hbfYD7&+>`5ZAF;K;r4u8stX(1p5hDZ}44vK^@H!lZD(SPsIxFl7ihOO|I1lzI z6TUNPK0h$%7>c&f_(v9ljyS$ldFmicY#=}Ng_nK$f{EJYRfCiLpfpH~DA+PPhy-xt zoPkLzTc3>?%ppvZQvr5;FN;akP+mAUXP#tlFmlaN+^gtwdo3r`(a^zXcB+#k^*`bX zX(rFERD{i5Z&bFbJX2s&bWk54uvr64dwz?748pmw%l05D6HZM7JGT5z!+0lI=~za3 zp#GXRjVDt;vwy}`J|vHV??sL&y~eW>Ol!pVr0zuV&dH>`Wcnz8g z_joLHSaQ0IqWwTz`bfSafcGxBMis!si0eu@e@dYfB zI5XvpF0nfgGE*8gjG^}hQ!w{=ES!V)f{V1*Fa|n|?UHB_Gsxp(?If?8<`<7R(dA|* zmn79)!=|Y%#wF3c3El%Yq7yC~U@yc6*pb5qA9AtsZFh{09a%kH!f`Ko{pLX(Cw9}+ zJe;rI#yyY$>DvJESl|BD-r-(F9fh|&$Wmn;nGOR+7#S`3ahZ#MD(~Id0r=a>?!7xv zvP|P7W{}=*}RrA(-TR0NA1IK&qZ5g42gaeW^0C0v&WSzi;9X*SvkFX$KC%dN zw=QJ$r-AQ@{2n$H)ak~8)6QQ%4d^EFx5nF?9xPlV?NA;Qe-$Rfehl`c4~?Um;!t z(}4OWaY2LnThEK@f`JilRxh3(`|`qwidIgLVUCD-oCF|7!MWT{z3N^-C-k_ zQ2`TxB;PMJdj=)2V2A0}+VYhxf77dw&?nG7lIV2(tJbd_UJe`C?g* zAW0pmsO+P~EXObnO3yP0WtNZYqhS7*rA|Mc?o{c}#?+Sk1xUxx`>E15oz#RCUp_&nrPe)m z5OeEoSw<3DJMmTrCGeg9Q&xBni#9R=*HAcq&9bu{q#`&=^;@Cus)xE zdRQU&`p;iYOKm^%CNg2q^Wccv?EdMo#E1w6X1tC|s~-sr8M{aqh1v6GOB~Lyd{_mn z#+KV>OUuVk@x@0Kf5}TB&pRB#J1QxSV(bwH-gX@#a9wn0E{)n`Q^HY~M}hI={lky6 zDi1H!=*ML`6nVO4k6mSVc_#iY9I;rgr2UYh(?fi-QCNtKIgkNqT^wDlS)wW5B8`mv!$ zrH&LjF49d^vK^NXpeSgn3Ab-9`+qcAiGcddWucI%kowm)ieXk6KGc?Pdk1?+6B@#i}DSaygLkXON&vLcrBb= ztWo+nuA7mjrdLJynR=W}c`a<#AZ*i1&>f0}NDQRE_#`Le z;M(oP*K1LiZ&c<}98D=m`VaR%m6c`-2Z*D+o2`ZAEc5F}!&l0(3p~9mpAbLm_t!<# z2ApT9X3!YO*~4i5lIVoOa|Y!>aAp_n_Aihc1L8tZyDq7eZFMd%$&8z zLOpD6P#}!Q?;A~R#k$f!k4pEC7IoMVvw4;i%CTquf89P+(t7IH&|s~sH!Q<#9sx#q zvg#JU7aup60t>A}{2~sw&3UOyQ74@;6Ut+wTlW=OSL{wcSGz^Cj0+Tc))nvnQH-x; zvoS)&`uzUITj1P+=zJ76B6_fF_(9ge*6d%cUz~Ry9azvVVn}-IOB=I%fH7NrbAbEy zzw;_oP8#b)2+`%_@J<|C(Goq>$aXVyK$vevqc@Aq<7&JZ3FajM4}=Zhf)q5eJc^){ zGFSP)SF_}4!WK{?|0VrtqH>{g@MDx z4l-Jy-ts-1gOB^Y5*OI#gcMvy`eHe5T9b2Xh*<0`jiJxH%&>QU|ALYCc##RWC z?=p6I!v@~(2^^2xlXM0K?ycE>O-* zG5~tZ&_9);_g62~iWkjfQ=tO8T|nXfzG=J~!3DTq|^L11^HI)!Y z4C4r-_y7tHnRSL`5F!8QwhTh}lhlVHv4l$HENLTBu5>YV^yw^{OgFETXAQr zo7eoGp9K2B;g1(jm%Z;B;pMKtCL+pz{V&!lg#XBfbE{$bK8<-fyXLcAxtX~0CLz8OW_nny+3RSM$5d8bn@+ci1?fG?My@@+* zE>3`bM;Ra>zWw7_{*oVLoZfi``#-77=7WRQgEAg?QY2GeGH@BJ)L;-|=>KC8hI^HC z-YsXO(wjBoGIm8KPr;e=2>rj8AIcV{0wrbIvS=c}A0N5lgTGEkXkewho15e(uj?77XL$QSGBw#gq2&%& zt9%k#R|bZ=N%2rn^VZzbU!BM}etrzs8J0Bx zhk%6%$ihE2@c*L*i|~VJgh}K~v2Ayx9Pz*gc=Uw(aUKCl;l=eUmRtWL-sj~H6TBcl z3InZp*ABz93sfKh(Yj(cq%K~kPE2b@C!`VOENe$^zGl45fz*Rk`_4U=j5H5_%rb9e zYFRjy){1Fc@}}Ws0m63h4Z!v$3(pph)qUaE8?>~v^*|(Jl z%u$GazUM@siE7jpS2N;SJ9-G87XE*@dh58R-v534rDCAc3W9Wsl$6v4BHhvrN;lGs zRJyxkNQ@SQ5mG~G*x00{B}doj{2tz)-(SB6j|F2p+-Ezx&-J{X*LB?s&>sfV8`diw zQHz)ae2?83A1g2Gc0M61WC%=%^>!?0Bao%#HaZ)xwPM>f``t5Murjrut7@8 zx2SbvIdeA)t@p)0ycdwS(1(%Gqn(N9rd9L3jE^7Vy7=En)7V-k3Ig)Ki0^IhxAia; z_tnAMFCSVtljV6)@R-FyH2J8z;658z0EZOm!;99cba`VXY9h~9AjD}(JFyDxmr za_!kyyv$yn)L#ud5B%#j0kYx%K2lL%6z-2$vWAzvz*3oOE1w)K>2$sD&3nJqm!AOE zyl;dOi#ChxE_5layE1})`AQmv1JS9i{~(udcf1d%nFh{*R)L<|xRqzl-ze+5QswZ} zEKrxA8bo#tX!@O6QBKJyzM32MBuc_PS&86fe*f5OoLT75)&$Y?E;6BGYo(j+3)5ya z?yIFg25vGEEF6?N^T&%={Pk76+lVLJp1k3@Ro`{Vpr630>9FeLGh2;E#NkAJ(#!ZP z2BF3E$seh>P8Oj!3PZN&@DspeZQ>g2>@>bTaCXfPOlrV|SB5A^ry=^&%o?uO;e}8J zW#Y@upX%5iNBfyQP=xZSwpMS`Z^#~S%0q$dDHCY;$-z?z!&;|Z zz+!}hP*9RBA`dJ)pp8)&Q2QfowAQhAgoX5ZfBcq~atR+fB7g|*!yeY8A{#%3D{UMM6l2v2Yr8okyK@4V-c zP+D?>u#N}C20lpyOsoCcjTC|80syl1!)5^rwkQ}qhTGEzdSqDvGM+l3kc_i$5N_3s z*=#GbDFGyP6Q?X4i`$D10hKkvuEzXM8M7t**c_sCBmbw%w{oSKCOx9AEyN>Ad+__g z76~!5po|JZZ{*GG(E6cYyAvzZ~DfLK42H7;pX~QdJ?{nfT0mO zT_JFx_|L2IQJFwd!;*DLxR?^_2RBEW={Oq`7+`ghVj&Y|VvLFFEBtL;L%P8k$NAE{ z12J4Rro?fP#@)ud5T}ykCa!wU!3VlrcNi;vSQ3jrsvMc{zB+RCEEZpi^-$f|)i>NQ zfYncDRbdX%Z^EC;9(_i!ywvmX7ESO_fc?r}_d(vgi0@BSl2)`%@j2CFb!gL1DCrZo z)Lb~rroTnl2FOL1)#-s-fGwiNcLKd78$6)P*o6E9+|zl_YNnpEO`yTG1ZS!E7P1(D zPM+zZw2pYjzN|qpAkK2jBb=mNl^yK8;Jahx!hQ)L@bmG@J;#%m@h>nm8tiT|9;Xd0 zC6inXx+jTe7U;CXlY7~2;pl)5-hT-j%v=HpfH_-Q)Hk1c-I00Xo%;hQo#2Ec$`erW z3E@HRaXx@(Nj%+@jC0_azS#eHt^y5N6mO3idk+|?>;Ed2ieMghcfNBFXaw1V4?IOC zmS!z}Xn`t(bWyI?f9sQ(G^{03+$x*}AH|JjUw1eZ{Gnd{?oCqlid5m%TojMM&@YPO zqIAq}E{I}?7P|U7;g`~0hfdjeN^5py*w63Q&uG7}7!vYqYD$Xw)>$A`lel!#5nuku z$4|}@$I_o*JvwkaK}IBiWOS4Und@KmdHGH^RzNnu$M|KZdA~`7hDe@~1Is8NQ^D&` zL(1^a7na~f8%{X%@BLWqKf?huf{knEmKZ@2&YHz=hk3Gt&E(&}-#ql+Duqp5rG}hW zlLx(_ql?SiR6q$#)%iDx_$m3kC%`Ji%UXB-)sPD|e?n?04dvoV0}nlSHTlsJ(-R#< z$ZdpCw6xevBp8UGk+){+7eUO4oFld(<0#64qb2euw}77SuZ+xJzZT7{E@G8t>^a0w zKobLVxcZfym!m0Z8@`9(0zRT*O2^XMvo|?;A^iikncd(^K2@N?N@SYREP4EUYh^IN z`fL3>&99km*hBWUHva!r`Zrl!kiL*+FDJ1~K;54;?#MT@6%fjnO1&A=TObMb$Z6w$ z-j`@9qo@}J*}K5<;)Cw=$% zSV5{iA!IAB;?zXd<<{1>?oyIXIhSEuVKMj*2Qq#UYjE@zHoF1s=gq6r=?h%F@I9Vl}=FtRV?k5!SAVB?G4WHR-vqa z#L*XS&*QRK6YYQWlQ1N*VI}?v0VZ^xa{55q9s;=U?{$OM*k~4A9>=&vl!<|Wxq2lX zyZPPSq7r~`Ur}uNZ>|($q*jnJnOfVuzZ2L&MUMR%T-f)JZ~7#i?(P}=M&{d!cY^;t zGdv$d0@j=j*TBOI{!1C+VxRj&(XS z?+}9-Z>H+Vwx9@;mG#v4KBe(4c;N;@w3*2kC!Y%&oTu=o{V^p!Mh6Xz2;OoA5QYDn zlmuJ0Ejmh=iB3}03))O=J@H(5`g&viZ>OYlqpt|-65ogZ2G`~V5D&>Y<_IX6BP%G7 z?0<}?y?(0~X%D$pPv5X#)yLCfE+!hLU&T3ezJ8T2 zJnU2gM8|BN9`ctRli0_5qQZLVu_1_0-+%0ulWrnQ$mg-s|2r z>%O_mP+=1mmS=GX(PV<$G=NVg{{BXUtVcFP=I8>@9JjoD3e&JbRzDh(N25$CsS+>vm8uXf zVWr|lHY$3}M|We$r4OI9TTyAZb^O`jHFOIznw2VuA{*pYIeDliD6i#W+Z4Hx30R`z zX*Xj7CXB$wvR^1r#A5_et05~}>MJPP1yUG_To#Z(zy3y#$)Xy=lO<1|%F1?PkPCyn z*f@QPd*kiu?F8J6Hn~h^g)=aa=z++>&I~tfp5nyEhW>1-13;)3+aT!lvF}A<{LA*& z^&u>Y=(mn>g|6Zcg(s5xl5uCkwTh>`iyHB^MW`M2Uil@dVn)kiuijddcqz+Rqz_iYf#ooew$ z4s4l7vCeq2-u{cF7gmO;{NF4+cCu~~d<|O!ef{9oPh3CZocMM?*B%GLcd$st`bwam zXW+Bkx(EmEDJ>SxA7!uv0)X2z@sygk`m#xIWAgrP(`nz!iA2P+F;^|ys^&MfFnhD}mtz1DVtUMPo;(}q#*;hw;(H!M@X{7s98+{rAonr?0#1r3I^TTLQS61rqr-HY1>8iqUIA#OVsbvHCJ zJ*_y1^9P;Kv@4p&KEd{4CBu@!E(%i;J(}B8o6z`#OfyziJ!l8hyU&yQz6j1QgMkIL zN+$S9z3p?9iB*y-SLJ@h9T49SIVK(yPOxp5sd;pbvfLz29A>K64#C1x?IteS7S6ux zQPYDcp120dJ2HVTp~gR&j-C_%Q&G9Tb&n>|nUPniD>yK9d-GcRL>Tj%Q80S7Q(6%` zDug@+)aU6R6E5KGHqdqn{BnIG{;N-b7}giCCE5toJwHjhiaY)nDCL$gEh-Z?mcCSo z3eB-5r~}{mPE|qC!xaID9^+?mmD-Ht z5tA~p;Ua-|L4X)Hg!T)p9WAHS1nu@8XWN($g*#NSC9+uqcF;cmIZ8M9sQl##%)W|O z&LD4)u=;^1woIeYyVP(db=Z`sGNuWNdArX$U0%oWbpp~rRu&60#*|UJmW@eoM4N3I zE;6+9Q3AO#uQXZ!%{Lmi6bfGMV`V&ImE;b(C0yguP_4o8jy#zVOXpULj(H2;c6mNH zO`&T5xM4;iu;JMzg_0GN1*AK0l-B}yLj0@hf;d{Y#TdhsI+{O$az=4VRP4(QZ_{4v zMCdT@{YYTLZf8e$6q8IV9h6LwqSwu;`(R<}sRF+met)<~ zU3s6+aQdVZKLgopH8YW%Ghfx;{O^S2>bJ+1o!3f4^^vJu+Kq~Rv^96xGe8JcqYA_Z zJlTIKZ84SJUEX=dc%*A9<01TZk=KxI)NFnHMybf1da3kz5O=+oRg(vU5B z_^m8C>!|f0A;6oRWLmQ5hx%I|_c`Rmqj=c>m74lydKXodb}$v2e9Ihj;oyZdk#*e@ zS8zO+NZNm5t}Tmh^tm*2jG=7UlIQGI-?Y%8*w0B3-<6KvI{|$q&gMLMe?2qrQu@og z9|5D-dx7?sQooontLojuu$|ZHU~LDQ$51?c@^n!tZ*sw2d@EB@=)6vL)n?OP*0@y0 zi)5U%-~v%18Zl8PfUSR%@)?XYqBWtPEZ{$)(f1<+=WdUl=HSwiF_piAuRcb8qR+5x zu=PdncK4u;eh0TdZ^CT8-R2t+v)^?VSkk8&a=Y%Jbse0J9&N;%Y@>M1+v+BGU$&a< zYkYU2lu{hPxs2&i0PKWFBST^cFm)bpVYZTb=unnlZ9W8bJLb;rWo&cnd!_ABn`|E# zV_aSRnUHUPZokjqTGqfutU4;nvg|=x0<^sE{;tn8Ym*~%LJwkJ<{dwpuT;zdFyy@IOZLS_8}+& zByxiX!oSg*Ni!i3ldH9q`3!tHsgiJPBY98aQ52h26goovAar%Y)x@FOh631yTp`8B6>)JD#AM); zlY>Ov7-ck*MfL6#i&GSPB*~#}Ca&>FK_Z*lSHdGtX;J&rG3Hm3HhZTcO!oNQ)7Jx5 z&j!!VB@WLvXb-kBNEuRbOf}m-WL=^B)zBGT?gY0_*f>+gBTrJh9koiLuFc9LdEfCo zw;b#PHE>w-YL59<8!v4JWpd+YCK1#@3?Y1ZG3Sh>MEiklXk+y;e(cE~TIZ+v<507{ zZg{RrAe<$KJPTQ?2E$ms%|e;psx;u@Xsv<-U{i6)1!G-w#NSWOXd|3Vt#I)A*c@c# z-xztTmm>}g@jdye_u|&buG`ZJi&;EYFXU^g+@N{P+l#s{SPg5c*CuPJw-Yc-bZRFs z;Ou%l8IzMIbNrq*mf#kCzZiYF>0?Q6RZ{drsax6p>pu?fKgob~pJ?aHl29M)@b$Q1 zx6jz28HfAlbszfC)0w$XycZFBpTpYztoRg++v`~LK6YgXlecLI*muQ|fW;z4m+%(+ zF+f8(>qsO)A7muw0RH*7ZCcdG+iD0D9X@u)VSNN?u{kGqDe@WrJegRB+?oAP*w^`rS4LBrOIvu)g^@5o{K{X- z-PiBc)dk%ShZIA1tp@XcS|QayDg`A{J+R3M$2t;AC-i>6d=o53@3wQ-H_cqMRGPU$ zyMz3+<^)83iiUcYnMRJDnmrpA#_SYe-we6o&T&B-we=S$jvOHT$Hjtfaw(8@GYCN4 z=8EEE9u_X`{D8`ohROQfbU1)3$mfM0fvwITq(Nu>>b?Ie`P+P|o-!oy^7e%e%Ggmz zq96Lc?mhw$+X_X_NEL1QwDT#51JNT=C!vgExXN)Odp@z6p&Xq0zkF%=Psix6-Yvr6 zU@Shy<7b^T4fAewZY!Vc-tuQ#nLVwir<+5iymCM{0X6r5z!9zKh$n;j4ZsB-$|++z zSZUn%K-Hq17(Z1&{-{yi(M~Ui;NJFmzmk9h2?KqYWs92ncJI^2i5f&l>j)(Iv6+*- zQq9qO$pE5!fKqdOoe8OTaM|C%XJH?kWF7R(!mY>vpSBtwE<092WWI5Rosb=l0W>}m zGF?9rSV;9=tE_C1DLy7(IJkm7EIA8nef2#_Lg?2#eLTPQ9hRgIps<_AMEXydvDR-% zHkFx<@Av1Gud{6KZM=g&UlUxI?ib&|-(%_XM<^eD-pvHOh);gxsxqc7E@E%8F?3!>wCAhT2cuZO|#gM@UAPD(IS;)mki&%%Y)e)6<= z8=oubJ1PBhCB}aLdnJBF%M)v^N6^L+20TV1Wo7uGU0anACsx>AM@Oo(Y)ap&ZapxB zCeSWvmT06X^9}LU6OE^p^MCJMPO%y_$`*>n+t-+JNY(yppcl_V-I+BYvbK4aio= z?2;S$rkIQ{!%U?yrE(gfhu6f+@#jW=kp&ZEr(E|HK1W#EUbs0=W+9^a=M^^XQxlml z*S8q7Kdyg5=h!E1D#W$I7Si*?{G~Ur72V-hN-sesop<8fR=0`eQ(SxQ>%STs zD-ZAldt5~d%l8&BRR0)Qo+)~a#}$YKR?8oO{$oXR401aQs9gj(UgZ3kocbaE9U#Im zv9vF9BLS7e1@h*i`obu5kFKxN#@A+CCu2SF9=DYM_S8WpK@Q<>N9Al0@$85>qUPtb z>zMvT!QP{PLvEL$9fb|oCdTET2Llrv+2&G||HwhnKx7U2OrhJliXJ#L`WoPmvZVev zuE%JI=?s2`w#fTkt0YuEDsWEoml2RCGP=Rf8x*JA`LsTMG&oot$%U{^r~kdEF;7g3 z9_H^KK}1_OWFB_+Ry#61ATxJ0R988bDuS!M{G zfN6i?fAGG+`z^dyCapOl+5vGx-p|j<+yc`&d`F~+s`Ft`x^=8J07UAgM z_3eADZR?UoSUIBi>k&q91l#qS$c0{M{`Pid7W`0vK>Ti7rmsgt3(xy>dJh-zN^t&^ zn(d5wg1>BPS`eu{DJEo?JXy{(f7yFv#Nya)kbu!C+2lSlDwPKU3%ww2ZY)Cl=Pa1I zirNz{CdUq+i9%h=K%!gA&D3U_)%mK`#e-LIj?CD+R}&vUSt;*ez${_k!>!sA9q^%e zj#X@xCw+xfo48mEY`Dti{om&G>fd1x=Z+T!RpP_t%*XA2B=g1?bkJ>-5vo7tYi;WP z`@=gYTu6u{%0-}@p|uM;?$VvgB@cU^TaTsh%+8J>>URqWnBd2<$y7v2&>QT=``;bn zwL>^NUDs)>aQRnJGv%`Pa@}yq%_1X5lbRQSC0335z#w(MIZZTUAREXqB3w*?gYX$G zl`8C~2P4qgF5=TlxPCQ5ocO8L{epH;*+r=aL z`?|Tzf#vU|HE3CPDR>4uZ7!zrMUPs)(V^)p;CNsP-5pA|7bB)pSeZc}BlS})E_^s9 zpEP}!vP_8($pvB-&UJPL0bbx=kWrKyfeNEn{OdGyp)NLnJ?lu3f*U44A|>_GP%R`D zaEuP~OOI9hd^1z7Vk^fF1la3?FOLC=+WPPfp0S(9xyd3KZE-;pd|rsFThm0Z_lUEK zt?C$Wc#=mt3P<7sNXDIIs;s}WZlb16J`K*j28l{cKas)p$4ZQ>n)!U&n~`)_Z)x0p zRz}cu-WV#!bn|hc>BC{G@l_(%P8nl&-@`SRp0Hth48`&{;@`tCcf&dTA`?ap31V9C z)TEj>Ewj(4wg12&UgDIjfP_JUy|kj>=Edp8g0l?V1V3j5NdmVS7ZcyeH-Rsnd?~1z zD*@EIX4luuFU(yG55on?GwY_9>#0?X-KYLN-<@UJ*Y3oaiVwLci9Md@wwc>*&KSVts@Y9>aw@VatD_ z-}-Q!$euF}wOb+3t1#Ud+(SR%7qw@Thfd;(CkCIly&S9b&!^p(`&8qA1O~~OCBgFj z1Cc4!ovvZ?(>wdtY5yBfn*NOFEw&<=8~O-b(-_u0kLSVCGXO-TGuRq@5E2O_A%Ynf zUA$i#E%!IgZh92=zQnxPjMdR%Q-B%w$_HvcObx}V7GS#p*?lghm3KUc_T=$M(#*HAcW4z{6rk6lPJn4!5UeuA7@#Cc>i22=N*%94ZWQ+;>qCUi z^u_5bHG1O82%mER<0WVxHtwEwQ zTl3L@FdDe=7uYM#)|G9CV;86xJ(^-L%@3PQyq(URRl!P7+ldQlAD)kiDCT4I>p!&C zxe8l8ksB@rx2bEmb9jy)i37o*>w6`=R@lCY0)4LkeE`%2vuQ^?Q* zJQee$tOy0-PoJ0J0abfEI|&d}79#70j{eno1jf)3#7_nm!jeH0k1+6ky{8cQ9n8x% zK3P#;p0}s}wQZ{}xpFf@?KdFe`rw3Z4Q;z;V7li-ODf4Gn0u#<37aA&MGddF75}+G z0qqw>TN7)U;t)3oi+t@*Xa)~=0{WWle^`|)pAG2wU;#h6J$>zS zkZ8)s^@bfzmw;;dZ4Pld?mmk0{CUB7&4%3csFbY8@a^R!hO;OpSadX?DW!hHEn zenjRge*>885Ik^1gTJkp1VeBDR`9g6|3*(*X)^PHF0^MPQ)uq)MEuFimxxSk*LWsT2fJ)OiZa-%J7x^0Ry{FoWu z1MP*} zCm6uwH3Szw#}Hg3};HJ+4n2a{%YW!4nsk+x@~-!`-2vvW6AGOmky zOPZ!hFd%;GzoxtV%a{ZS6gEc$P6?R5ey1K=DfL78=EHj&ze?oIgc-wPo4+u5dxZ}-aRRcnPvc$=!v&8&-HoJcPji3ATPhs<+P_J+)`*M_ej@+WOPXT?(Ra3@ z(j|J~GcugxvASHoZrMJA@G{q-5qJ&_AGrF>#>5i@65_V4%-(N#4iH#P$z6M{iJ~h! zS7&T6QA3h;N$KK2bI*3t&X6Og3V%4QM#Kw1zIDzzQI;g((~FJM{xXvmkiUe3J%6Kn z3CXe8y{t6lnEq#OTa<87$ZOGFq5%rqMtSF=WD;;EmYY=?^>r1Bu|f19gW$L$4%QRm z$TzHVhrX58@73;7MB_M#Y7{IiE1$SRd6cgAIF==szZww#kD4h_xUSocSn+%A{pz>I zL@Kp?kDsRyJ z^31It&-06101z(ODd-E2kvMnQIFq`Lk&8r!?e~TY%_E!!F7;nN6mk-4*m3@M=UgGg zH&bC5T-!J$Rg^Yb>7-WaSxTEXb{`TQQ$R#PM8`;LViZR-`F7&+gY7z-3{I9Ls%8}2 zMR&D0=PZqD3Tl-lre1!VC?Q?BsVj4+a+BNLNd_|(efg`cdpzMKQ2M4#yE;|!$eqT^ zRI!GHcQ3iMB(;5Y_XGh>7dEWiEgQ}`?~n>)5j8c-y<*p}66-%kylcoczAqw^&`EJz z-uAqmco3QDrsgV&S#A3uIi-`4%hE8%Vr{s6RyL{NT330}rBR@ za4`Dp9ks##2U)NV5S>`H6PCRiVYQhhKyB`Mot85p%K-=>%W{Mgj8u{;eEht<_T{kE z(bZNVTyQCtK*Dr*5my$ifG@F$Lm^>O<6*K%S%RG4_y0=%ifXwYJzdaeZaQaKICC7? zwUX%Sid#tXeIdxrmZ(LHDxSNg1DSQlI-fA>9!<_>MAtS7wi~tm1h_w6bV3D^OPcHn zWIEhstaD-LMbDThRp(CwIrA5~n>J6E>}XO<54C-HIMT9 z-WvR8PP6jwpXfPNK8NqU>yB_z#<)ZAGBl{L2(O@r4$o(`8b}?k`DqDp9=;`zz;&_w zc89piV*mR@Z0`n1#0gQ^ODMLErx#J(j_bLICo+mh@BEaLMbf_bvbOr67W!)WOi!M> z(tNxr1{QKif3d>~!t!h}#4j8(9&t%}?uIH7&FNp}LvPcP{cJ?o9v8tYYPbOgu)PY< zY>=#1`e$_)=fobAGXX)5d+ zxOG|^K=Z!`pU0o|U|C$R1uc*XTnN}&eJ+FHo0u!9#8IskgoEh7|A+M|r2g?g@q9k{b~6H6b%N)uxQz z?1R3F#FQYR^79?yYE=`nBt&@M?l2wb7%})33{pIhtJ9jlFRpPc?II{H`ByOfh<37m zl4upwe!9iuTTtE-kue)WiGef`q8r9(G$tAY$hCm57f}ku=c64s zYrxp@b~;pFPtDv=!mbh{ByO!3RuMiHX6P3dJebyjXgskoYrQ^Yy1; zZ*wPn5U(c-)b!td1X2N0HntXElwUKzgx% ztXJF4J5GpQ#6PYF64yqU4Rts`wkWu4%^XvnZRJTD1qj}4Jr7IcA__f`oEyHlZ8RSm zN&v9-A$PsQS)@fY`s~MH?Mi=bcfEP>g*9FHp`XLU|Vz_D z{wA9rhFWV*TZ2x?_?r{P3eW8$7cQX_qI#8^LJCJ>;?CqmY6+g-IYB2RhEh)6@~vvl z$fkY#{yb~NhAt98UGJ_#{CBj#!dn>jT9{V0>k#|{P+Lr1_yUY<6cig`%Q<`QC9N1= zdPa~%>|WNQRZeqOvDRdeQ2)OFZ}Y*w4+S;#N%Yt#+T2h*^PlXk?**pF9s%4Dr7G>rinP>nD(>5?$(1KR58De23h2(D*fxhDla zwCdiZX>Kx0uWC%#xn0I*PIIM~5>qp#%T+_8q4%h0v-wJP3cK^{K63a>?Ivc@FlA|D z#Qwy5#Px0JPp6t)y_OsuC+xsUOQMNJ^qM`!Dt8~C7Z*g$Hh*jP&5SQ}@J9o=aYiOn z9+_L&Q_gnTG(YjK6!yM!8!86snY&m-1M%sxVGZx)zF5CgOR&FgsC&=!R;j_^m5=HZ zDZOT{vC7E;+6}#vXElOK4X6TrIf|58OKUt`fa+EBkb9g7=xa6M5^=+oxRJl@WeNOK`K>9%u9l z5HC3UwQCdNdf1qsL|Y@@ID_8v#)X+EQLe`B!Yxlt6aXdiX#>19rmpiukvPZ24}hR~ z00d=Y;L!t+Y0w+i_#Q{=c7D{$UE|#=an*a5>xm&$$XEtFZ~-)Y44@X8rHvAc3`)Hz^9v>A2b1x_?{W_y~nl z0#Ue#zs3nfeZ15EN2aN?^ioZz`qGwC&N@HFQ(FA~9R4t+>jUcz*j z4_w>xFWkOgZqNCXjOS$oGK3Ium}Hhs?!=fyoO#(ZjB;*c6FA`QhzLg4!GQqRqbBQ- zAv8zU<>rua(lU?C_&(zxCLG^|_{bL+23ZQf+;_B2tERQNUUN$Y-ifQX!l;*YQVH0< zDwz`7zGPNvJ?aC?YZSZnB(U(@+?aWKKsr<^57;?fJQk*4af$Z#FRlWQtL`aRm)qn$ z|KRhG_mGh>H>6x^z3u*^sQep&C-lUkjIZ)3Qj7oHReZusthgpmW-D1vFVA=<0Cuv0 zxyH?9_M_*TT6|CJFZ^5J7&ot7#L1|L+bSxPA4{zwZ3e4Lo_rIm$8NjhZQV7UNb8<8 zwzf_T4HQn>A$-u&cVb)_Ommm$*b^c=9^~YnG21<*>u%m9UD;44cr{K(PeUW5Sm}8l z--%)~q<^Nc-fT2LLzAxl!f*Gfn;EyV;s{x8OBkdl6q5e-HT~0opP+|(5}Jt(hjAl& zD#ZEua@RWt=aFJ7zjlv==ey6VvnL67GxJgGki6l{KIo(>kh+&)yrvTP0`hCjzLcFf zTwl8IkEv{3+uqkiDWl99sqeXnrG+0I#+_?XOq`w&m&zPrhKp~dbUKE7#xsccyI-V@%gj$A6x_A8?nQS+k`Go#+ZK*}N z?($uDhk94GC$~OJlO=NE^_5dGMagDN&w+K(OSQ(&eJ`Jt8Pmqq7mh?+?(iG4%PM!- zDTytw>d?^eMAD8?t}58Rk<~u?0kJ@5L6l`nkr$ z-QSuImM<{_tTLD38T7RdX6V|+4x7hn?5zDo_0t7<%}uLb&m=3c!T578GZmuQRupVW z*aE{y2&UJ?Xp3bnn{N91^C(`)TrH%~c?ujJSR?;8oQBY)ycg{bPkK(r6k-eZ?Q@ps zMm&lr{tUSrABGh84wtxi8aOKi48d?*8_%{6KDT?PIRqOg_H&Ob=dE(R_gN%hVKHxn zwglDbU9U}kh$<(ly+i;~2D)9ZJJ)6xdjgLg(*jRJ+o zadCl9ndI>$+%A`tyn`ddQZ%Qe`3u@(T2B?AVbDNjKL5Teon8se5UN*rSVUSO1MmCv zhP5?4Zn+v@|1n;dBl2hW&g+n!M+cYYFElrHE>!#I7#XkL5VT$Hmr(Moo|f>PxtZ=^ zv637W}7)zZ&9ZxwEDh^HyN7B}{>R_huyR zI?=v5E>5mL^@lyDqS*#fI(7m*zpAoW>iIY{yfbHA@s#a$CK(y35f8Pch)8_i{r*1j zBM4mBB-hl;CL_fJIh4uym3zF}O;BasEZlGY==W}O3eOe&yePKm;Pk2kVd`y~DA988 zD{We}Z0S?^+-gS-)cz#Jvm!#bx_>OiYBNsPAK%ogRWxJ(IDA$m6f>vsSKl7BHhbfn z_;c%?#KjpZ?rkK;=}J|(UVPpRF{&RumBKe6XtHA`cOBCRo)=^BG^8qZJ>qFf6oru( zK+ci1u$Vl6;`ESR($j0yh)GnTK0rLk$eIvy+A{fKRzKne%0Vmfd2$S=HT13b(9nnp z2KsjjMK9Nvl=BoRMQVQkfzy;p)@z=ymw@=e8ij3|i&b-zct(LXVYL z%|p|3wXLVS-&lWI>t%lOO|HW}ZeSPvPJe(X{Vc&~SJn1`TxPtzEaV6aGprL7HB=oo#0s$F^A%hg;v-_K=vNoF-Ln67D% ztdMg>F4{7;?EeDofg2%s6$+f_a@i~`$zLTjq~uvrZ1?5~H5wQ!=j~C3N|t*I^Dr^v z?Rz<`I{T=s`>-*D_xn(@aIXJT2^6jlr6C~TWgb^H>$fc_HS%inS58C&gMs~TFyXm0 z{m=9jZM5ViOMwKU2|re~x84S3kKgM7rXp)<4}`GFY!Wngy zl}344M%V=S?eo zwpb<&IY$TL`ixcYb^%>({vD)CUNua1FAUc6ZY8#Q`LMYmr5#&?D|+-N*!Qt!&v1C! zlB;uUyaK~(5NleFZ1!1l3ddE^YSJjY!VRk|;v zs~MScwa{v_hE`vikE61fTO%!AGnx3rYOAWBgmU)iL}7_L zz&X3?3|~5CEBp+*8>hQ;8KUYG>E=5Xsk`^4v8xFz9aT2!T~&a<8B%bdx7Um845M(1 zqGdxOPi!cv?A@*lTui7(lq|IZp0lE(Hx=`QdC+k-kSjLemjSMT`iews_m$N6-4Cqe z@2Wl*T4w@+m(RH3MDAQJYEta+8=f~ShWj(n-r&GmR_P}_O={cH_d&|Bd|2`A6`gxT zo*D%x1#!5@89Z7E`z9P1z8348rw6FBhk8GrqKsp~p2uDz6W2^S}#MElp>4>p<} zo*m@^YrHW14f?}Pgj36z9+}KuoS`*~-Fn!XsF5>m4q@6|&{_5p&A<>;%r|kkIH`@( zSa#8sF6myKVk2^hJ}XA^Ny6tjH(8q?nsaJAEJvzx0&#*LI#cr1GT%VOUsB3FEM9=b z9fS}2HRamGgm=c22wi=$;D{PiC5GB{wC=2~rjBuV2UD5Z8EVOPCR00a{jF}5d`aOt zCm!gqWZ*e|_`X--VauCN;|wqA19j_;4h;6^OyF7vXuIQdHU%qOF;vE#OJ*!8*YYNP za0cHcend>?3x92^k~M=|Z2T-c2fW4e1ff^b{AS76q*_=k@+8`@XS*Yy8wUTufr}=D zpzHq?a_6dv;jv zIo!82acf2cUygdwJN?K6xrEk0*4edX_b+2c*`(@jS2WFO*PnNaH`l zw)<;5>7VN=)`g6?$h~U(GH-fUEEyF{)Tkod0(+>XP<`k)tUqUROwlEH1G#;dDK{=| z5{36v-q7dE17m!~8gVb*HF8%zNlRxjnH6^dg3FcG)l}HJ&Dit!fQu!>-;nBN~&_2 z-SayMyXZ;EsLsoNt%epoL`cg}nutoI`PB>q6bm-vOXs_2`$g3B7Pc+cG~+*DAbPo7 zWim*FG1eo*Qv(w22Ya1p`VRc;rW)52q(69~y|v_oM)my6U3oR>V%n-u zc*5RLC@Y(gbyrmFuvR$v&Jg!5H2&lRp7}Md zcVPJ%$Vao3tH?0?zzT`Es< zcXU>@wrxq{8u4<;OcqmTJmt4Q;SA22 zBZ*5(W~)QxQ4z!s!zSXq|uC_(H;VABu8JgtFDYWr{b7Eo-MwsIm;}kB?p5)2(hZ7Dc`YO+~tW zdy;Gf*+@rA_DG{wAY-Z6C%PkVb)!{i;?MYYmpGqV4ZKR~%HY4x8_U^OuoJ@vHoO=5 z&wz7%fPb?7!jBt;bgI&AcV`vO?rb!IAQtUJyT;6F?RiXBb&X9}Ir1|a--K}g)-sEG zY}}#IiHnzepT5S?4I~bH4AC}{By$5Z$Lav;@+Q9uB2MbZ1saIMkP+T zJ7$K2iqTGWe)5qW*iydybw)e$kF#p|b+%z|-Z{@oKLo;aqMvIOkgSA# zMzQ*c!s?DLw1yic5Ee1JUKhYNF^yhrYARg7OHVg7%rj$+&GEHt5zF)PTw0;_zdXCN zZh14;+qt{bIyGi5BG<`>_(mi~^?+__ZX2ufJ3LLiezCJ;4uyO*k}4y6-;8~?tR~~D zUL4JCf}X^7W|;HftO8&0r!CLMXZ%vI!vTYB&w1CLZ=hGdj3)Yw@u3G~Ip|egO7U$6 zZg#hvy?KuauCzi8Z_>A-uL|+q(4de!Jw0FF*G>*8wy{kNpG$?J1J9?eMv7~ zcwmAj7x?LFG|sH{5Hv=Ae|$AN){%b3o<6a~U0qLepq73hRt2(D6@+x8LTuA@Gr=F~ z6|wi^V);t4P6bs=pD^mh74En~AW)%Pg`X4Edp!_76yJ;*h1VrnO5@@FXlV@gsi9QjkE>05wi^Eo=jH?IT@3^V2FlxPQWIHkY_6CH<<6Z?*$bcP ziuiB!v$J-~By0;dK2&8wW>mQo+;_B@?qg; zZgUSXlkUMyJHAa#sFo_-bAG(A4Anl;FB#m{`UTz7)QcCj5-y96MPF-?VN=*P+7Htc z+nZ82#0(u|($TSk1IRpjp>(9ZU+lp-OvyS1&NjN?*Dz~jA|!G~hG0}?Ue&gXqL%Q@ z);{Ibj|#;k!DLU-`gQ{CyGEy+lgEoE2*w4t1-ljbFPR&5sj)r%T7fN7Oy(Xr+;K?W zxn@t_?&(UWb^ox4Ut05r&dI~p8@2C#c^%gM8sdIwoPxJ^It>{HywM?z8L&@G{%HYQ z4nFM*1s3g-Jy*9v(-O|jQ>9Xxj;t0G%nVv4)H5c!yOI3?&#y| zKFWphdoVwDW3Jz0_zy=ze=hM{u1(i+Gz>mu24PMJKD5-RA0J-jI5W-|x0LsNxmIV4 zuQX$)KxCc|$~T7c+d0bL@3aEHC+nTg=8`dP2{-P%=Ef9%;^?Bzqt%ab{$$tsrSltO z7-c>*s*Tk2CRfwvTut|M^zmiB-+9+e=f?Nd9O5;QxY5A&luaGw{f!2PIDaI6lJg6W z^4?2lMt2@;nHvp~;}%LLr$d%GDXUiLRCc4mqHe5w-qm7B*A{2Em3{9A;?IYifJ7kw;By`(yxB$TyNhQ43$@AG^Ff<^6e{Mx7Vw7q@s= zX;bDFk1{+Tl($r^)%__iy1sn6lSpOk8#B8dMt3^d()?%CKjOUd3^Am4FXi;HjZGld zh{{+qwssWru{_0Ya8%vgwV*%M-|u33#f|R^xbb2ISFg)l?h{H-Y(Yn{;4vmGbBiH! z@K~yh#|HDw5huA>(%`u@<4srhm)xF_*G&iG9yiR*FOGJS&7Wm)whQx=v-kRROy<(N zPjO}TR{b(1T*9R@c-s9oH-0_mW&xGmmNJ9mjrU!)Ib}Z-r z;d-vOW<2b|`12Fabz%HH2(cc=bbc7q%3Obzw`5;~f8le}bjY^^QLM z>z@$o!nSo9d8bLN3-=f0V_n!%KGuaTHOkz4w2ZTh9_XZcnRFT{ZDL*6wsNcsTWZ9* zu%$+<3tMXVbCP3S*wUwrH%n#GrZUQpa@N#2xwzSHp5wpmMyuuzvx{-*;98h!iG6-= zXVv%jh#mBL-oD|_nDVi9dp94evfOKxPNQqlmSBYbDOuRt$TbV^!|1`^G1=d?1hf8F z7>_!p$=?4-@4%kTzNhpCeL+mbIhMYC*}?DhFa4B%M-F`nkNh;ejcf6TO&H#)z;*lu z1;S|XYvL=dH*RzS_I}1|9B!VBE-A5E$aUG8!f=gKx`Hy+IQWXZ_oq1cPJhz%S^AVF zh12i2-SJD}%t7IlMsEv^XO*uA zwsck&PM_P3)1NG1;Tx>&zA*(r8(x>4x5RPgG@Wu;DEAUhH*N7q&TQ2@}x!7so(Jx@$3B^EB~l< zmRALJI$G+quc;HK$#nuvQD=PDI#v6At{?6jKvScO;Vo-+`X6Or{esiM2jva=L_dOO z?@X8O<(my_-#Ndoa*xY}6Uk79`=wl<4NezB`G9L#>2pS}`?7F6ejQ8<+}rrocUfr5 zZQr>LU1gx~dsfPn{vvg-v|Ufii@r8}FSJFxqVzwO^dG1yeOs4m-kA=0nT~ebjVg&c zj5KxLC~G5*vk5UD=z#rvC;{H5aWr8bJCnZQJH`jdXUN zcMXSk&GSoFcg}xJQ@<=Ym6pqa|2U5sDM94(IEB^mYo+XKO3z4HI4|x;7{|08prw9O zjG!zJ-e1FX>V6Eh<+1Nf^P;g8`Juct-O0)Ueo;DIK<`?PL+LwkmPuUKIkK-hE;BF8 zM;K`GAU%kS_rFsnX#eGj@QPuFC%$z*CUbg_{|LuEOpMK2fGs5$>!2KNbHTJMpzj(5 z*b;)hLf^G~kLSvaxM)X|Wg>_~bptju$!~YQ5jKJ=yfO~ z-thz8WWA2DyXk+>r{3jxU&;eLu(&*2pPu#1u5E!j<#jn=cS)TvXIC2cj`d8>T_`Q2 zJu)jlhh_cHmdU;|eY@(7EJHE=qa9e5F@`ok`peOyWd??De5Nv|w_^5{+l+f0@iPU? z_Xmt6ixJI{2F4Q59N3&$`TJj2K)IeI0$7~~^thQG)R}!snKn2N2edh!>Z7!QM;^lc zmLA2RCnFsHa9f;{(T3$-Jp~h)R|H!7Jqo8h#=3bLjOdpFk^=iH7v4G^FBZG-R4_I^F5@_fgz@UWhk>5=8#p z+pm}w3uLi597=*XU3K)@hXXA(rU!M$Ttn$o*<6F^^Dz}X-`7Bg(}frI5t;+T+yM0i zhP?ZNVF?0pVUNb(4iJ{7^Fvz*;!wkp5B$NWiZH~{QRd;a%pJRHl6be z!7jt&-?G$?2=nK+VjIjJSXzrDLW4#AwY#<+` zp}It)Y#GS&X3`HK1EBxq=VI1&IX8vTa60A@l+mg_;aJDooibG&y0P{W&i@R_A8k|* z`^AZrF6!sgXZ`(ZY8dcb-`15lE>7TSrMTd)wdnyZ`evhHeL8Q;0e^o)57O;^O^a#u zSwDnBx$dS1^{w9K!u9BVjg7;7F7<^CCf}dcCItG`GF(0CyBiS?>5i0opv;#_-TI0l z9sHm@`erX;{wuO8Z6WDltq>_A?T;xVm1#SW`~`;Z_*%Q~oYpKRU&@Alxc%o5xdM8r zT>yE527R+Tv0nL)to)tpSkN^aVPDG6&ya6djOp-DMst3rAoSDoyS3w#3E$T+HxvD} z-_1qupgn9>XbZe!Z5Q@1tN|k&u+s^{U%TG>djgb~21Yu}pK8;q-uB1oT}iaXSlGAq zZH^0ns#c1NK1?i?@s#D`+SnUe)^%^sSIX26-U94VO=Ey(TXo#xKRg?iN57TC<`fnSQ&2J-fJgsUZnK8i6Ef2}O3x9uJIT~Z=n)Hh_w;FBe=uS1sX zOAq89F>>oO=S)>AS5Vjivb75Xx~(*oF3JMms*rS4%s2J<{8Y24v80ByqFK+e?L}m0&-h zbijWotEPuBA9?iEevC988${`WM)(1}8ow^UbDTY=8bFzeSsPqGi9_j|8)1xa{Ya=kHYS)>oS%3ymETmQab3T%Vh;%Y&H5HJlHoM z+WMsTiu8MoXDUZ3t1r`a@r>SVqaGORAzZKO*Lk@8;JXNZ_?Z4Gz41TzL3K5}L+?>s z!?oHv2*#BS=s`WI_xWY|HS_ua>RZe5fe%ig2cko5^1v$fWk&gGX@9S_EVW!)sJ1Kv zfi7s@AB)`hq(Ht5wDk+tsu!alpgv*u=xKi{^Zh?aF95w_yiBRDYt!I4wUfa zYG)td`o2uehyL4F|0K|U1M1|h6c;in?^6Y=n)zF$b0*Ml6!m@N-&bF*{)&sT;s^4o zzvsWff82kA|ElZ1s;l%gp8>DY@<6ZtNwp4#{-*wbjhw&Lx*lcIi~1JN13;HDb9T_V z%>2A;d(=8yv~RR;cXQn$9tZ!eP9IuH$3&T{(Tib60xeoaCq#X#(POV1MDq{OYOuBM zzWty#fzJJ*uSLE*z7hE|nQi?7qdh>CR-z00S$vn68Z#fvlXekX<@DqXq5E<_r4RVF z8Gg|l&I{QcjZGo&J`ONhhw=U*#lv5{i(*P98~EG8gyY*Xk_XPZ!$^o^U!v!0^qiBW z%h)9}NCM-%zl`a*ogY#-jjPyu2GcX#G0ti@_;(;L=o*TBNEq_yK0T(^acLdV-Hh=h+;5*V(dTTj3AC8Z;WsR?O!r$>(JMrFq z#0LgiB2Ap#f;Z57L&+cS)wLI=cL$}7dqtWtJ=Fbpdi35COE2s}$M>}}YBIg9# zB=o}jS13{uy(^E;?TEHTZdo3bkG^}H%XX`j_q(RN4`i`YTHmDgSHje1``cOAl=uui zP6xIHhQiv zo6m$KAL1-+rpJer4(fn))dgft``;1=*e3L#Jhzzg%tQdNBk9pTJvxiiiJ>3qT^{>O zIAG^eIP}HpF)sgEO;G*|q)ya4nS4Y&(MM5F>_1T&O(*Txz1-vW#<|ly%g4Tj>s>DM z-iHsU0w^=FLB_XJ=v~jGT3qS~-a*^HOpn%UaRRiwI;Itk54Ecow|(W~1KW71Dw*vO zdn-^EZ8su+m$S6_t|88o#}R%9oj@Vbqi3AktMYM&^YHSjJDlZ9@8cBL`F1J)Jz0Gi zTekqinMt4x=%n;|jsRerh-||9BuWSL(DZ<gC=(vtJpgcuHV1K)wR%7cC7kFw?ZQ1a0|F=+oa1>=1|wJKM4bnGvj z+pl+hplkalIL!@Yd>%?4REK;@F!rJ6I>TO7uB~|tpIOrAehlYy-!MFM zOjcf&QR~q=Wcdi{&#D{$iW(f)!6fj&ap1YV=|P>Y6qy0+48eXT7`|UhAMkfgDi`8f z?1!1m;PTk}WXkaL{gNKS_O5$z`%re7T(Ul+S5kb)r4dqQ@HQT#i|}~E|Bz9@bUfGZ zh>P#|3XGy;d7z%k1FO;pZNrPR4_xOxvO4g4&p4N1C89s_V?Bnvw@cBg^uNw^Q z2J9Na@cwmr@VBq}DfHd*CB1j)9rXZKJ!10sfna|U41e@KnEj>9 zz$%?XfPNPi(0K#Fc#h68YAFia8xJA9V?^FInqRct7Rov|x7(aj7Pax9dYu`WARe$8cbI9R#%coPJrF;a&Mt z=?F~Q(_-}v$8CwV3T;>JFN~u+C^^ce{Rs6{T^r}3s$SgHw#vH2T@)5^5l;1u`iA4O z&S>})<<&ZXmio>(>oMc#1L}vlFzN&PuS<_&C_Av)&8?X}$OH6Q+tBA;!Pw@?;cjbq zIHsew^)lWa9bq#AUAYcSvvufyVAjq+{|=~nze@x`9WnP-{%P#ooB2fhW%o954tJ-} zAK|oLVm=IBT;E`|3s)UKaoIQxKUeRLC%eO$2EEVinSU=9*?{&{IY1;b^G6QHU+G>- z6An5X;;}8C^VPUd8am@1d&ruJ2E+al#MQ9?@A@#t^&3x(rm`E~RlXJVQIzL%>Z539 z%uT?nz>0LuvU8`h;dD;ajB_l|a|>xxd-ed%<3PLE^AetxzCKpk3UO~Gf`axN(>JC6 z>84%!*LK6Y&m<}!+MSA>^?AIb?D+d<;tA9Mb(HhzP^i}z8dK31Wls?kz zZH=w@;&Xpm6@@3N;7@P;3H1AnXa^pFy-H<&eeG4;PT$B%Sqobcr#Jr~N)PP@-5u>_ z?ODCs>6kA*A?8rtrzGfyX?QC2fAGyg!VBP^v*`i$Khp1j!Ct1}G;Slpai2`-eNz^; zQ+6GrFeadUjSPo2`IO29`q(z9)W(Q9lpUMOhU>2}Y_5!-QtHVLhx)Ee6E?J4Z+1tm zM^E9<4vR{@@ZnKD?drqBe6RbzYY`6RpMieiZ(nW0i1P<}S}890zEWFh#AW@pjJ+8B zL;1PY?ZDN@1GS^~W`E9ygFI0G#9H)oq*q36pf8t^2MBkf$g7^@MyU`V#L~Ce7n=`JHOM9iGdt_jo+_`URC0$$;mf;ozN;%EoGxA76l}{TJaBt9Lli z@oj2w)TsWrmAnF9IA^9mURnV-_p8U{;`yTzg%XDThT!n;g}wU+ z)bAAg1(g}tk(7pFS5Y{G@68YRL<*$!jq)(fteyf zi|#b6_gWj|@?gprV-)N^kOPBn4Vd|CIpJ?!8)K~ZwLuOC8wuvUb`7hUg0|<Si(DDt`x`9@7FIT{R2Jt+tb<7GN#$)km) zJ*`V4F7#T2Z`SmvXxTWuKVGE1)vWstrnu-^W&J>OADy*#slUTGp*_-TWVoA6z6%IH z7nM)LVO&_0p5De3eD4px2+*(A`z{B{xKOqpF@BKEQpO(LZw$UFGakkG!Q*8!jRE0@ z)U*Fm@BT#W_f&}=68Z!DrJ(=7&j)Y7)J|djyHv+&v_H`0R36wVtk1~01z7lVRPN7# zHt#RLnPWx&zL(0N`t3T|Th}&UyPv}KhkpawqinuPm%jQGAdez{gdY%{(cd5wv^@xF zigjs}`L9vFRN3B8mhH_25=UFKqG}=zMgz#if`9fYlCi1#(`=wb{ZAbAT6T075-M4T>ch5a7`fvAn zu-}e7KP3EH*v8bH{w>^I&E{`|eP+{1H&k{@B-!aR+5XdyuJcD(%&-aW3K79<}tp=+F8hZ#=ec zwelhrc|aa!S_0Mw{oai{8un<`7!lJv}d!|>`y8jiCU;TalSr}E4z3%gT;#5w3V%rtQ|#It=)r%z90&!=Zn){`wEwl`j>UT?>06=z=k! zR`$tePY-gv%>Ffm>o0q;jy-*~pMv&9cIC46y8ejU3hhx#n{TuCk6M?n71}rYo8P|+ zn)H|aqpUOidtSWI8+cNEesy0JFq~P9ayBv?@;jRHg-mE=yJ*ml=XrTM1L#u5t{n6W z`l+p;mg^tEf5m(Sxm$+LLBHzhM{bCjO)%z{U42-}_~(HC`ePo|pZN|Z`vK@&>-r8h zegO>|t+yl3{+KUBzRfj%i}t9lJuvTWqt1)Jo8lMsWc-;xN(26Go?&g-82EimqzHw4 z!&#n)h4=Te_i3{C3n(72WwWm-okD+6LSUOwZ2WyzzWp!#k5xKgIF}lKksY2J=+SSB z(i^@lljWWg&gs^2&Om`tmff zU|Sh%ykLl{;mXFvnODg7J0|X51Y6T!_Y1aphH*c+gD~ws)6=`=tv;SJ5~61y^IeQ7 z*>ImxTF9IEg6oWXS%G0KRMXQr@av{Luzw;PY@`|vdpdxs;rmYXAkRM- z>`z2+U^_|wM7XVK-~@I%O$s&cMuOca7}{xedJx{qAe_?*4EM}y`EW-(Fzm5a4DkDj2!Tw>wttZ&s2HQ-qn*~EUQv|!jU@Etcpl@2Y(exeRM;Yv7!HyLS zWzjnoo3VT-|IzfI-RziE>9~XRipIcZN^cV?Bg)BRFP}$pCh;-GB>I!JDb6v;-`@mV z2jZQAU!-FYYfnAv5ND47#{6HuVf;gy+DA{zzHy%dA1TctzwoJVx_oLj(jiN^~e-rwDeKV4%_>tjohvC({QWMCV_Fv6!vSohDc@yXFs23O;YtgY$0%bhbzSh)W%Pj7J4v~L z;Xz&)lktZC(cZvVHZumA0>fCM;h=K@vwA50=k}x*sy*tz27y|?3jqj@u=>7NMmG*$ zSs3Q=4XBNg1^86w2Ln}~M_av5^#mO;C(w4$J)yH0409xegZ__jXycDF8g<>5vzTD7 znQ-e1hWVVv-Ak}j1%!1i{SCzIeXg^fa zv-MqAuz#6+HQd7nTUElrwxsQ$^?ezPEIhfq^xr29wvAxd8Em*<*BERe!J0L_=?m(n zdz0=m_0YOqYp|Uq?iB`GQ83%Sa|xaQ7bF#$sx8pcez=6YM#6!2-Vv;s`mdEa(@XiP z>AyC;86>?aLId!4t^4n+lE>>N59oHFx9uPK_CQ&R_C-YhB^^-szR~iami-s)|5K@l zUDtR_^!7@yvx%>ur!}8#itRr$QrVyzQWZ1)uczGzy3R?WKVVHq=SA}n4%%CL>aPXs zeocpUOPsrbxJVDO6?qKQ^iWS!usQjO=OmcVYa8GVLu2oDEuJU-gu~q@j9!eoGi`c)?~9!e>FN6SX4Kbo9#`4=CHK>t zDNU3W>7m3~9)k64J+%4LLqBK^J0#O;c^T8zGPJ)>XupoZ?h&k3>yOo}T}Iq;YsBES z+SxIgU!bF-ulCIb+Fx;5?$yio3i>Wa5A-IMvpmk}n$C9!#}|Zql=>3FtPDu7#|2x- zV6Y1z9PC7R!#mPL9#0u;1^OS@69yY97}C|a$PeL=zhcYM|40|OVl+f%}ymTK1?YBQPw)*#M7n=dSF^e=;HI6HUF+m_H5CQw}P z*{k#y=>c1i9$?oR>}FyrV8;`4Lh69Wwx?gJ+b~};z9@auFav*Wf_35-i8!Fi12l$% zQg~lmus<7YUBT`%n1;JoFwps6(UUP3M0&trn?|~J?E~@%80H@u4mu<-@P@X-L-bbE zR<_-&Y*Cqk{8g`v{2N1m^udYLhG-AAS98Av4nC;Q8Wi)`Hzvc)hyLzjy`W2jG z=rTmgSIyi{(?cIvM$)Usj{j3iL-h#Er_q+pm>$aYf|Rco>$*s9gwSs=q=$Zagv?ni z|5duqj`U^}`c-3lfQ?M$My!jIjZA1-R=%j;6568?@~;|xDe?oTG(E__MTJ()D6dey zTFTRqe_hu$`?@Cwy5o@~|1f7KGY*Y;yq1su@sJBcrpHX7cijVmzKCy_&x8JD_IV(m zQ6`^`j^@qhm#CD`0d_;wRc%uzX5ZMZaa@)4DU%PfyOZ+4U-*nb%g1}Aek5pzQ>dZQ z58>N@F$P$fb#;V;OlhUKC@X$Ivufm_otqCfKN0MS1N{cR?*sR(TKamR&#WTy@*euD z{cT;)lb*)y8RCAvB=vpd8)Zp}_fj<%T_CT%O>Kn!HW++aP~Ten?%Ymkf_`gBe?uPk z3AT>G?h&k&{ZSxa^8OjX)~0%*-uKd@GN%lNIQSVX^h14H%O9nBKbUo;eaD%;u)Sbo z4Yq?|R~xMB-a%eBpNEJDi3Ohd4?W->yn_$Hd!RAz>#N0n#mLjr7BpT|#&pmbYu>=Z zf2cZ}QLJG!*BXY}w5*M`uQowlBY;h?c54nSj~1s+sWNa^N?&E5`sJZ--XnV5r6f<) zH>KJ=$yhhF=gwS383Egq@`CJztT>Vc0x;bl4eV6G4kZJRhP#&H;#(zqoR^CFNBfHo zFu3@i$J>XgPot0b%^#8D&Q9?#Cx_3J>gpK7kzT!grjY(s^hCb(r8C-)L~%Bs51&ZZ zPeGHxx(*wgCU$N1|q`=@0q1feu z?JO9+;|KhF0HuL&zKAo%D^6e+(gKwmkrKrrsvA@@*SG#Z zwXhuww)E;_D`9(t?)|w$+8ph#d-MD1zk;}f&0n$E&&`^V+7<0mjsIgk_g+T%sykE&qZ5skfpbf)VEgt_{+*ULxUkb=!8pBeW7Q~FT4iqO`<8V zxebQ@@zB&|s=k5GkWJP2g6ZA>bFo4p*s9Gt#T2k94HRGP~0D zK>vA1WLW5MWG^9lK+=FNqlGS`3|4dxLM?PS_^s&pTkAa{kzU_*q*iK=TAz&>Ivnlq z6g{kxvtC1o3mvZ6^ht~^SRcmT7mPC~A9SZY1{@gHId$I*{>P(w;1qg~{X;1=djAmG z2K&1(51NM_ya7|2yq?LUZHRDaGi`6olQgbk%^vqpR0r_hJ*FP(3Kshfsyo7Ba_FJ&8$PPpc z&;vdckdKg)t+KBq(|<|PMfqXdgdaDszWSmMw)Ny%*SB8l(`d`NrN74dWlC=mJ&ehPAEW+@F{Kh;{2>{)w@O^zdvrJrMi`MWChbZOMkTz*-XqY0 z^^4eh#X3vuJp&z>Ct82+9ozE$O!5x)hS)ze@b*h#9Qz$Tkmm=O`O#M&#(mQJq zr3ZaLZEtpe0p^~d1?Hk^qw5d<{b&!CVa>ANBie)8Bl-vJktfLjxx2rSyY)V+y*qhT zY5zca`--eWg->zK3C-D?Wc*&*QfCxn@86WU$$T23vi@{#CjC z3-T}a7gX+x2mNn7@#BN2MeiJ(jc7?%ex!(hNMCh#S-(HE1Ud`AI+T#cL#(bgg^P`}BYwE0MH=O4a ze(vGxAHM#v-vPQ0&f<%87pB2$L=V;fitc@lb(h-QlNskk)$W|FSa+#>4hwx~@c13G zkH`VyS5OPTD$q}T90o&rcHRg&>N->S^_BCx0lyUa^(hba_1xg@_-~-57yQTb?n?Vx zcl>v?$iy9pKhdWVu8d!4cl9PFTpRtFP%21{_vlKe$~w58)QVK ze$63Y2B&<{b20~mJsUi(bMboCSMvz_Zr8o*`Frph)gA-YKG_}pg8%s3K8)Aa#$CjJ zd$yR3rxnNPC^N6I4AgmEv~RSp&P^e6@#uY4mGj0lX*x=0272%p>yz-?n@sW=XY>Qx zjNbA0S$gno68(>}{ef+s`^M+&@wW|g-~MCbZ6M!}9-iyy(KMH!H>AA`g~7M+^ys(6 z>CJw-&3s!_zHKG(PR_pZT|6hy``76C5Em2BY6H@pg$M}j1HwQ}U>nnezfXaxCZpwkoBG@bFvIGTbR~w>Q{W!8SG6ErP9Qu)7Pw zDPJvW!cCHJiyQ2pf-Rk4%wwQ0_+jAjE!Xb?;=O$Rv@f)FzZ~fG<9@gi@#>e>|N-H`s<$2*kaC z3aWW*DcGF`+d#1K2HQ%oI|PFa(|e_{My+*I`yyxy3_k0#(t~o|L}@6tC8Yz5$1V;x zAN>#PB@=EX3HQ87Zy5>qjKRJq*j)zORImvK+gz}l1Ver=3x;z$l`ba8VGHl31%;ctr&X1|?=$P^3R;c(&se52@DykD7b z$L7ABVZM!$Zz{uVyyJ4;&Mb(Bd#M0J574q`e)^5`W0{5aQCh2>RBu{C7Bz>C$nz*& z!+;J2enb1gp9ST-0FYqNKY^W}VLX2Qm*}i?8<_ef)8kAc3hKUb)+bqKS(oUM*U1LP zTxoFVWQP#%s!oP+3oVQ`-iF#3;sfKb>Ry}C|G;i0OvB~JX2ji6!ol{b;b0R*-0=q6 zTCnR4wvAxd8f>^=nlH+!dW`y<0sFdyE7DIawxooskAA{3;ccocD2F;jmZ0`{KgzuC z2Y{%JKXZ~?hYX&J{_4DR91#=Pa5Dy8BiJqm`=el1mppIujTY<> zgPkVW;ew$}cclmAyu`GNVwV~0hZ628gY6`kZF`LGNbg4`+;0Rs*kET0w!gv7?GldZ zdOu-GZ;ZK0Z~xx>a|)IA*eJ0{T<)GS$>A{=BdFxB0Gq1}N&f500s^bcTaQvrrP z1kC1v`3*44sWk2w!Soz;e8+tdXg`db2#5C(^dRqx4AzQspHHa#sL$v0pl+buEP}md zuz3XgUxTeK*hGV^E!g!28!6ZXgKa0+)ds^EdMJyv-w~8y%{uqNy_y+sn0n~BTb~+i zW~s+QU?`#U6d89uG2v#CaJ|tPb~)q=o1CUMT(D~lHltwg8?2eiH-nV#RZ~8-g}h|2 zr6k;Q23tk2M+^r0GU#%L!8R4l^52ev74hGNChh_f&i0R@wtQ9EvbT2A{&9=R1F{BX zw&g48AG%-M-g`B7LHU}c|3a1wmGVt8_0{%$&0u=oa8bC)CfqPd?+Jr_L$E0j^wPH$ zrhx-A{g=U35bOzqZ7SFVgKaC=ID>63m_5^J2f@aea0?0+Wl71><*P!Mrwy%!3HF%5 zRu}9cgKZ$#9R|}gwrn0nXKejI;$CjzD)uLXEhFJ+TW}^olW@f9mA7Y(*XZj zeqK$m2Tiyw1+!-uvH#Qf#+-hjLZ2?WcBA9KrMvQ}H%hx95YsGQU zo>w}D)T0vJaSe&vTmR7U@>l2t7{!-?XuTx!M zX9os5J!teMA<%Du%`F)80>$POOzj>BSD7yf=b?5-ltuH1vUJ*G`sW4gbUL46OULA-0Ku9w-z1jSv@qPN65m011}&H^TS-3g5R%T+m}xsc-Z7 zNOAwYiOLAtM)^|en~z!Z(+~Z!>l!7m4a`rBiC&0yhu(=k2VQOFH7?Wz{zWL~D)itD z*yAF1^bTWSPY5;?!E)h-8Ej%fIOq(>gH)VsJVSc3XQ`z0k-_E?4EHf8{SX(r1FVPT%)Ip^<)-sfwRNMc>OT`@O=%`HiL}$l(oWnzxjms%pzYv? zpnbL#>54jii|PU%WuMEgei&BY3LlP?%KEQECkB7ClHW;`nS6q%BS03`b8o}h!W;Wh zzf&1=o?t&Un1(ypU}s3UBMdfLu%bO@2byr2-aZC{T?l+?^F33rC?DC>4Xp+W{V<0` zoA)LkPuf3P-CwA$ z{sxu!1*+fdSr8>6OVm%P*7n6(ln>*=GSUaDSwmC380qOA&Z4!?B32Gm*1QGQ#on%u-Sw+-l23kgHxT}kbbg%aN2K+z3>-%0(UkScQN7t@B;QTfCqp*PIU#g5NpZ$I{tqoTp&=ApgS z*8)7C_MB$rfwhER;X8}6Vk{Uz56XU#^gCe9bk=h0d27s`w^pMw#s`f1=!4jsr(*{8 z!~rWhPsxV6TEgvU;*Jpv_Fql!3hEF@Z)XzrD&r|wCik0h{~hRpb+NwC<$P3^YKgc? z7o`Ex8EEwlJ}B-Vt;`4DQ|SH}zhd8H@8`m(ovO$$xYl;QTG{FAWrvLNrBun8!nzJq zi+yY8yK~F9T5JBRMfo6CFs|Zn>}wi$`q6p$clP^sulQbzQUG zQRq^1meqx%7pNW^Hm$H}L67L~yRDniW(2zE-P_GrzOZSr&t2H-`hE{HV(w|tx&H~iH3<+x=1BXkX%kfp8uQ`mNrd6XzB2p2;{{iRsXc=Rjc& zIW3*%pliI?HwbJNlF7iT@f#1n`=B3qsL00Ghgt&#WF`8ab)Uv1X& zt<7)O8AUbAghf|On}*bu=Q7mm+Vdzy#>ixTFhaXua0K|zg%Gf@sVXVJy^bScG| zYTQR!>rAycH?ekSP_nHZV+dqroIBT*1_R+-H;mWKd~P53?)UV72lo@*0T|A-0rpda zoh_K2{fKa92zG=CH(Icw`%Mls;WWLXJJoEyXG(fezLW+U{m?)9dcM?PIByAkEBKz* z>LG`M@4NafTupKmJkl!Xss%r@t`Fan;AiIDkmI3Wp)a(u{#S|qV*StZavbY_dX`?T z*Z*RDJl4n2-^#9!$NE}j>!-0kS-eMapsfEz`wr~(jrHB?_5j8n%IfI10L|?&PB-Ho zJLsp)yazDK$NscC(^@llsITXi!A|o%iTk>-)i=x8UML^VCPUq#e8qF;ADedjwa^OZ zx?z>E+3AP*^gunkt=VZG<>UTwn)I0>yHrnoD|nabH@DCaXMw5AnNzTO?XwQv?LXdy z&h;N^YmCXg*(`N$gS9QqCUM`P_}~|$r}v;uGT3SoF3ufHDd^YLj@e%}nSpX{RGh0; zY}1PNt!~dp(62G{i*w(qv$=)MvO4;O&64i)tkC8bHp{SC>O48-&MAe>vU$$03%g2n zc9mwQU)U_eW~pP(V3NDwd+f+Sd ztLyn&2atY%`K8_Gx}RY7&Rsp1-iEtc!tF@$F-ygKW{hCE=Ns4+M0n(}Go692;fm6W zd{Zi-OQm!M&8ayupC`~3wAZ#qU$Ezw=s72GZc10W#Q7GLpKpP_-`8_fdfIo4^osgN zPjaJ`(~JJg@(OJTc{R|^S3&zWvwW$f|Au~$Qmg1gAzwnis7}})`d=mTrIp9?TI(;- zf2034OaHaD0_@`iZ(mpjF6x9I=%TNi`E=|%P6=%Yy7aX7PO$RFd&}S;$=O6to`kTs^&=1P#2hrcS&ji1e zD&bu4g-|V_%PyPZnT%~?D=;wX)Q;2*U zvu+yeN1gYv1fR0cV#tF|c@_PmxPP?D8Ws9^^8=VB zOKS#6b2Kd~V_kU?t?RW>PRQp1+HZir`t9Xx&5yrrLhtytEIo*aHLN7@^xLz9;M>1U zyiFyZetX4y+bH*q?>XoEHpqSZw~6VD8%;=G!-N-~MdA%`e}!lKf81zOfD93~C#c z0qbk<7J)5y7J7hvL5R+iPZq3bt$cqI?pz61w5MT|33si81I;y$y97fUDTX?tESnqb zX2I4o*xiC*znsRsQ?ShpHbF47nTGqbV74s(6l`b~j@u1u)|QVa(Kl_k;Bh`9qq%sT z+wHuZ{6V{EpNe*ic2i!6F(swy#+0^9R&PuF>q{9+7R_X2%FgL-lYMWvyB;zfI)lpK zPXvRERSf69BOK1TQw-xSFr4Y3SWoF;EYolp;}CZ)gW=qHV36w?4&xp$^_#?)2tOrY zGt;BtJ`@c0Q^np94EMMzMn^qnaiIe!_E|1Hq`eb82=hDohH$|47VKh!?IGA@25YB6 zBJTJ9uQTrDg6(Oriv`=!U{?#av%#(qY!8ENK#C*sxSlGc<=a}Yn+&$OV0RcysN$V-g1z#vC~k$A~?=|o~E=+gLhoP^hJm^W&?qjKNQGT#o7Z^Xt^ z)6{rc2K{E!JUSQeG?V7xxo833rvjFsDFz z;|;d8V74CH2{u;3K?j>nFsp;jBiI`z+`NLZy_9wAZwmH;3AdzR|1j9M1^c_fmKN+; zgRLvrpAEL2V0RmAW5JYusE5)|+Y*?z^A20LA_Lk)JSV51CnvS3FDMpa0^p$9a@S{up-41F5d z0S5c6U<>o(jK20Y-Yh00`p6_DKch#V#3WR;cR+y3iiASx3XZ93b2O_ z_8kfLsKM3}>`sGiAsE{PxgJ=P1CLy1!VMSf1$>ZtEG1(q)_D}$UBaDWup@BL+m!2A#Se?X7cV^%5i#|E28uy+imZM2wa--DJ<1Y|h!4*}Ze;bMKv5w1C9kZXE)) ze0@_l4V+={{?=rW-o$mgbsVU04;@c3uL2pQY^Vb^Tu+DOB3~V=9p5NlWC$pu(XDq4EA&Hy@8i=HWULJ_V*vAaqzw8T(B8`xA}Zkh zuUDy0SwWtG_UA8pHRho^8pcajb6V>fuG00}x-)4_=1C`L1OnY+CpzY8ly8pG<^5o) zzHTmd{JrLQs{dpR$&tS_PPt|Da_0O7%)a$X6$j*N z6usY)g$lL27sKhHw0PG~xqs>$D8Lcd4-V@qX?inc@XwWFx-vlOh0m6N^D@(JicICF z$~{U9IV!?u5>E)vcKs0%!0Eh$^RBdUP{_t9$W}h$S&3(39h;~BE;%H*P@oKHJ{sk@ z;nisW`ps|YThfrr3}h{DTVJAKxGmCb)UItgx8h4s4~vrwyyq^7v?ZA1$Z#e%SWX=o zT%k=3y#@MtW8za;+NY=rI|%Icf(1$D6NEa+#HT@KGz*#S1V{5lt;ir`-49o@NY=K;CXv%bEI*^`O~izw+Qjp_CIv$Hqu8h#^sE z42NKw#mudzweyfY3?D1MUdg*`qE*|ow#0Z=UY3K{ZS!0SOl0$yfe>%M&-9ODDtyh8 zddx1`VK+c;gegWyBQNPMLYsbjQr|cc>Yhv`1C`N(%+H)%LMbP~etCl+U1T1^G4x1r zDOcF8`|^$l>j^w3Kqypy-TsT;qRPo<8yOnsLlWHHYn`*wXOy_@lDoLrJGjS-F!qze z_UlJYb*@t&nD+r-5TbrgU2`umD39yniI?VAp~sL*O7BXb!n3qJnB*wXR-@^X!kd7j zL=aLT91f6)+k8)>O>IMReZHsL-}Brv2@P|(6hEZ%?VO``T;8#rU@P~YgNR~WY_k;4 zs``dGPIj^bElMb51lD}fdZ-1}PaI=JEi5Qq85x0+k{$PI+xtbY&@4~Jxws4cionqq z9}H4Nx^aYf$14rgxzR>wQGhM8=8UZ~c&8{_OZ=SbHqOGV7{4X|Xl}cW0-8GNJT-E2 zc`~)qZutH4Aij*y+4Ia{(%k@pnNh%>?Lk@Bi7b*6{3d>D8UTt(tlEo>srli_JVpdA zPDh1Qvu%FN*Q`&847C8TqDorS`GzW@0t7Ah4K~?J8a?i0LSnUt~Z9uQ>r(?4ms~=R5_+=`VvjDjFmuEMW zjSe)X{kK?_aYH$q&J#*)Im4rsds$9`n}Nh921dNG7D0m($}nDz(5pWl+u;j%srA@G zn4F`jpU(Wy(P&}^x%C;~BIcaL*K`6Mc^zbN2C%K2hguB!1ne2>c)rXSDC1F=ErRVH zXA}q4UQ$BWo{(Q|rSz7q2N^3A>5b-|roW3kuzPiol@rVc!ph7T7+sc!Ac6q+{rraI z7^#!v{K-Kt(`p7kD~{6M(_+pRCi&rY9s8{OL2sopf-ZiJN?lC;3Kd=&Rq=a*Qr%2p zYjJvf;hqflrsYSajx#GWWdUwNe=%L=?TireR-5-Kh%rx+ZyIK864n6R9GjZ)mOLc! z9iQvrn@28AxkBs&mT(d%oHOC~Z*uVuFS_3S+R*-dKqq7t9e*slA{+027C9oc8lJqn zJTmXc^Wsd|pv3j$r2?wXfc%<6DQL>YO?r9Mo=O-&h(zimIBYPY@OzuI>h$=l#>Yg$ z<3z>S@?9WAgw`btUP)h$%Bcrrd`f-Yv`C2|g=3x}_KEpgKowQCU3r}T*g3e35QgQs z;tMd|Kb4I^fLALNvql)|GGIx9b|aTh&g6>{qv1E{3>fXKL%89tj6sKizE9s*|`Hv2%p&w z;%a}PVm`1iy%>D9=@^U|wI}%ZjcY)wJKzSE4Q{tUJumDRv2ks=d)NhHf_M6UxTlb* zt53}ITvcA;g+%%`+2XBJjysz@d7K^-h}d(h@`<9`Fnwq9_SV)CFYZyT-7u!erSG#R zWWC73Ek%D{g}11Ha=7+Ag1;^M5#lY$1lYcp9$0M*hYngP@4+5l_SGlQHU z>9;|>Kmz<&mP^XP8J&6;f88*SysG&+xk{7dDi$caOsba+ct0wHB2BNYIT?IiZ2r_{ zgfiwTQ@0QH9V&Q1yQ-=_m1RtS!nSb2<`md>9Jz4T$YRTQ56uuN@CY$TVr(LeR-E*k zl^_PefrEE2F>p_Q&?Jyey=k7ZA(;SYG0Jd3(X^aYziK$7@^f=`r4-O47FYZ{VcuX@ z%dN+7Mzja2<>-bYS4_<#Kl>YR$V_j%CD_4+X*>Cw`u)fg&bsZdR7P#@bo26ax71RA zAl=z7*j9)L)XcGR$dmIg<@=G+OM{cFOp0RvBsrhAGoR5pZ{>6j-_sfNjv7@--M-Yt zO^*86*f&1J@9}Ui#Lx<&m`*}tVy`m4B|FMYzPQS^!p$#yTY8ZabXov76P-3$E!RCz zO!sm_IaV}n{Bo@IzLeMtM#*;Osg+b8Jx3WVW@!CuvDs3`+*q^YyI14{u`-{i>JP|A zq04PfSsaV6WDt86QDS|;?Ico&IfLEq>IP=V=rnBaoTa)!#_1HGFT-NAXx}qZY{mwb z8?|o?KW{t7%b%EWwoi~ab|z4}A>Yi%IHOHPR41%|I>YWe7!bF<-AwU5bTXZeSj%{%YNi-2WvVzQ)+&kYye(@lzpQcj@=a z1ukc#inM~0(Wv|UI$Qo@-eA_r=kPppf~Sfq#MBCsXRaqcZ9jpa@{Mh3r0| z=!g|F9IbN4S#TV@D|l?@0G8eM%6;*8lRZFnz!sZX@feZ)-X-Sd&v2X?^_qt}!SZD| z=V3TEF%Hx>kIpk6rLNg~6hWED)B{X42Iv*)5Efv~hK?koRuy4+fDJs+ z`a-#6W_y+%8rzY>-$49xAmLv<;^gfBjo(Wq3 zHy*2XpJz(YE;$Hqwz@Vg$~d--lrfMcbs|*!=M*>%R#+z-*k!8DyKDQg%TFmaml@3t z$N8ty&XJ2>=ZQ|_nR&jtj*uzS#l;>NaqXAi<+NR7!$#%h&P4Zy4lCMbZ!QN|9?U%v zeN{gvN%t)CT%TXY za2GzI^(ip*6Xf;CX&-8t~MQ2==Y4cYg zHM0{Dd`}obNLa9eEQiF3Au#`*>+IXD13<3Z(XBPQcUykYUntpr%e;EKA9!2l+JBtX z%Cu(ZYS558rvAlAyde_6>=;}P9l$one!RwQ18pG)o4b!Nnxj+D*F(I#hQvg21}b_Wz;O{64X2HVr00$Nyu zm)BZbM#`y+DwY3DC2LQ1`pvt?-_M+_a3(eN-Uc7gbQ-Xcn|}b*Ip1y_4vPk9>N>2Q zQ;Y?bwUTlV8<61?r#}G3Uty4cVSi!%$L3i=_pY8US9SQ%G|tI!Lox+4{l(D@k`wy7 z4U$PvS_(HPeY@OneSGat5lr0?OaI?ZeVG}l0rt)B%5h~E4d8o6@RFbWclfIpO{+IA*aH)nnaJcN!#QJIE8H2?4qT4$*D7o( zY+Jr8M~^QmA5gz{eVUD64!H&}Om-6F=ME$*q`i5{lD~)5flKu@B*rR#6jZcNI z{lc%Vs+_=IV9rmGDUaEa+QmGHn{pklIrx7z-eJyTibJyk2&*f3WC@Zx(=~G)+U^8( z{)WdCpEooFfJ~1jTKwp^FYsfdssF>$&(N?5_5)GjyS`wbs>S({G*aqM?2` z{w|4s-ta;Hq_j{n-kbHZ%32|narLOJ5c!=n#3zmLK~$Dq(6n_Pso{Zr*$Fap5|)3| zOEFfABSKUKBIH&JCnvwjiH|EsJ}%%{+smk~nt=y$x@dB^@Wy&|It3;%EK^x{t&u}c z?OF-qBm@@}K~#oZ_5+#T!4sE;uV>Pi7(#YkAl~p-%xHDEHzDqPege3Y zG#(+_Gx178;8_13JQMgg;btTAPK7^jcO#kC?^twz#1gkI0@*gkm0SNPQKQbjjuIne zLl)JR-`W6;-$>uV*1#EQ(HfyzTnNg4KGa4E@y35X)MTJ5s}m>u%4z`X^^|l#m)_@F zWb4na%MPFi8NqG}e1cG*YzPCUvO4_$3bCS%LoVUKR&q=mJcaguWRVc@VhkB0kuiaQ zC>!E{JS^xt@I4X4*ANa@$m(svJ^53O5jJM@AhR9eo->53YBsGB{|BDz z`6|ux@3521B3Ozk>;ukm_B6AodfXhw8=Ie-t!_;=f7^2b;`qfhdPF3+{6%iWxwYIz zs&l$okZ^ySkhNJeQLNRy;F-jet|rY+kGZd$z*VvdsWn31|LbRCsib^%tjIU}Gps+I zizAr?9GH36y97SX)e_egE}5gXRLy zW5MAUZ;dEZKdGDxx~|AiDK9*nLs}joULHLmL}ZaQhK4EQ9nR~(sbbcCuLj(c$r_Wo z>YYp7s-7>uQfn%DEO#**&G~0=Gv6~&2qLk?LGypwVoyz}QHa=dOY+AiNzXY7Q zOTsz*$?EoeKhS_o|6}-BFd3GI467V!%8jTbX?-c|*m)KToECRW1cEY~V02CZI0_lo zEP)~d#W%b~fOH*%@=E7yGp3dbz3Zue2;@f(Ki$Pw!)<8)EcuN0)glL%h;0TNA>aMJ zm8y;f!X7_b_(UQm=6(gMS`BwYGt;_iz61icH-b>)V?|xzgdT4jUo;oJz49iJZ$s@mB?^{i$;jbcV zzwc$NegxTM0jsQ#P>*p$f5klYVG_Q;{yNBAU;OeVFg77*Xh6A)@c>B`5-akYgBMXm zGEYbr2;JpHsF2L7LdYo^a)FyHn(M*Ij!&zW2;A^OfRC=M8C0akIFm7hW^M@?wXP|x zvK(R7>_v{muxGse_;Sq9u~+d-_QBi`ce?;F=r?eOTz|+=rvLkXrmj5SObR{Pk51cq zQLLE%7dibGd~0ND#t)x{NDyRll_GTLaT-VC_bR0-jsByLC@=8L4%%pA{9_+!w0yJ* z^%zSE4VooXfDbW2Vyq1*p=kI9jAwKD38W)%Mq$v%z(9zcLq`QS!kn_91xU@Nxo_t; z3iS8sTqMEgbhQr>ZiVoaNA3B{zU`RB8=l0alxZy#)Q`DpEZFQ%sNkA7j^C#?x~py8 zRFSTqy7n|XOiA=Qjw%N&0q06e2w0U&qIN7(p0<6~Uqb zYH6D-;N5hx1mUGveVeEa&gRW6wyiGk<3vxQ%JfH;lp#r4{_3_G_%xVTj)ut((0ucc zITqG@!g=mi0RU3vX#n-_M$wSJmUJX~!?9&er|rdBRz{z_)%@+iC0-^u-&k0*DK zN*i>iBW&!@FX+`;YO>~o!{A%L179z%Cg}=*1As=Y3Acqb6*^zo6o^84)i5`V84`fh zr_#QQUjTy8}mwK<1h6fil*v=t5G8K%&%lk|>cOm)3yHfuGhn?*>dh?(oW7pO?HA zI+?|7)v9<8xU$OZM_X>zi*M!xWGsp87Gt&x{QSi{+y|AHZ94)}5@@i@bK$vUyVdkd z^$qX?J@wM`fMk-;>}MC6x_1?lz7Go@Nuj@6l!OJEPfxd(p(f>KdGm=hm0^|&Bolco zSgRNHJ$@E;Wm{YDEHcA(Y%oPbfFg1irD)!ifTENp!UN!euEL9*^qW~fCMTqL@&Hcs zitmaQ1%~6uGTdR4mu89+8?vi+R!OI4;Z>v&=-U`$c%TEM_J1^m;10Py$`Aa8?K|y1U zsxleRL$$@ve+k*6Su}Yu6yxBfH!IyUc`&R}xLN9Wm8Q8~GmU&n?1_{$EK`40)Ntpp zkS0*Vo3lPWCRKtBIwMC?A!!hrt-QW9^24Qsjeq)byK#>xVCtfw2(g;=EgRO&x%u7y zh>m|HRliPxV9p{|HMu^*Wu-9vz4CtLN#7TF2zka@D$t4Q-t^%gy-FDf0n2!gEV;YQ zG&>Qz&O)o(rzp;G1#gCO9;D)^5L%13n?;Xzi2Fj$GJ5=!ds^DxS^$Wk4L-4uQG74`>ZR^P+&R0n+!yM%!O^@ zv%e<90!%BbH%XG|d}QsLk9=fj+fMm!#rFEJ97+-U1Cnyy9Xu;4JBN#ZzBn;9-+z&z z8ux{haU`*Jb|#W-Uv%YYSE1l=%&G?IEY2crrQDIwkMTD+$AsBf@FvXcpX z>u&>fm~&X*fA*gnz)&4-05?dGlE}EK{&EuEWGh(YzbMLd^mJI95HBz+uE9GjzGK2u zwc(VERr3z5f?&pyhFTfG{JU562QG5I~Rz5nQ5gv;dbeAgonUOLlx_8?vFFxd1`|ZAhWE{+i7igH;3Qvg; za`+uPoQ2%@4s~=n_K1>}%D?5DN^ETf%sH%0z1S#2$V^;-Y>nJFr47&TDjzSNkjo3s zkKU5_$OGBwOCxiV35{iNYJykNIH`u33j8l-or}`D6xhmAZVHj3@U8~pnVskbpoIad zqXo?==TFI)PV?HyzUq&0^6Cv3bm_huX^Zg?o??pIz1W@!ZWhMfw=04^nP32lvQS{v zUkv}TfLuws(pyIyOT3Hnpp>x}UW(y0?w9l^gg4wn|K}(-B_Yos(RyHBd9VGWk0|$! z@AD3zfqK(Ju$>N__W3WCb9$>A0cUUbC4)qZpg9sz)z62K<;YpK>Ak{+Jh=On4WcNd z>c07;J^(YkVPqBOvEGuyN9d9@y*@q+-XXd5DQOu8M}TxHqRw(rsHp!XwP|=ow;xkz zdezB-2uQy+E>;D~s{y3do;EyK)A%Uy+vLLZMTot>iYUsnW;WyP=rBp}l>s?|b8uzesS3Asj+3r+{VT7zlj& z9$GQ(v>5C(U5SU(*Rgi?X=AE%R* z_?JRgsbq~2VW4iH2D#0?%#eOC9C7PaS8s{$-gBNc@>Jb{ zRfhu+xkWgavNL{6s!67k9YdQwM^!1(;!LFY{d7=YWos9>{89bOuf){E)QTg`J$;)s z*lH5$(?wE&wKr$)3SoMyp^UQh1nJs=35DLP*~qgk=rNpTHb*2V|F5UET@`u~lug&) zoQW{vcfJ6uL`*y4tFHs1I&%5!sDmmkR;-uqif`Zr3v%wwk%rd3m#_)Msc!NpidZ{j)ra8A_psl1gN;inJRN|2JANEkS+3!l~T-ktQUw3xz3 z{s-}y=CPK2A#!*a>c)aeel`lgS@6%*I%ZO0FflTVV-ihOR&6Rl)6nN%mh=Mc%x7Hn zE3<((=@#Vc;-Fs(W4`=8O+uW8;a4oXvq8Il;`KahJHr0&m*i7kFMZd4Oi{?&QyWff z+zAZYeX!u8*%W@aL+&ZUoqOS3NX17;FZqi6L&O_8mjWOko90e+IXoxj(;yrAH9YD_ zK;2b^4(m#6eXb^aqEUp@o@HCiS3=T*UUURwqU_T{F}%dPtr+-U{CA~|AMZ>51ZQ1; z|48-KKUw*ALp~|OH&N?%11(xV)R`YKkY4%Qr&adVD(O}GQrNsvhn6s+IAqZta!Sl* zGoFd)J^7l^6tA(srp0Nj#>toQNk^@znwxzOq?o`)(NF~BOKZ|mUvSiHTBfahf?U^H zDDjUleu+2M8uD!$*k<@&Xl}c;5E3rHjO^wJBEz-`Dm6M_`*!yGZwL^(@tA#>ZCW0oe^*%neALMed|3)!`Ulo8K&1B2+De!vA8oFqc) z$s5Aqf{adp(AWaXM^BJ6bsydi1|)|?`~RTdP1F@Qi!ywgtwW9Ly7?pb^UUWXHHCBFf!@HwV%{xD!I?fdD<+KyT5E#Nc^t4Ui zdr11?_B;PDTyf=jmEZ|E^sXOqx*SWnBCF%$9XYrG?-y8rdNp++BG;>&FsVUzp0)I$ zuhULEU0;=(e-)xyJ0m}P>>j{7OYL2;oSJjd91{Lde5qRR$M}rLn>_$ML+K8g(s}QX zcRcLvjDJ|fJUD>Nq?esM4cKEIw4`J|o+>ysTIA!vy-^oQDe~m|>cKK1k$mVA0w;_q z8OkWjU2N^0e|qsY2V#k49EnX1lb_TB+zk@kZ=B2ygoO6hi`Y;w|Nkb+<#t(HRW(1a z2@Au2H0bFm>esn@DZ59dwl#chyKfX){;Trj+25{bKk2$>?%2gh%jYZ7M2avl06q)- zexXZ8ciQ{j;n#*v77IWx>1ThJ>Hu@laIW36xK|-jcUR^USjJw3P(qPMYOw_`V}H`U zO>f5q@C7W^?t)PAVEh8qyvT(WR*RY4MAu@B9IM)==bj5r2n$l*6K6tHk>R-^=V3!c z-sBe2RWCP_o17d&0MlOR*W;=?-DlQCJ zuD~Ee)2$xF&=j{kEBw>#g^I^5M2d}{_avA+g5)iZpEaao)EdF@?`0<^s`xo+shjs- zx&Lei{rIN*P1%Kn;?dq4*++z822e&#DQMcm(WWhaErB3!>fO~2<)g5NULR%=T-|tz z#iiC2&gYmYEblh?lb%uZ%dQm){h0i4)|?F7K?R&^Vg$?1jt9?ieL~xXe-U^l5K$lrddj+Pe^kq~Tf>?0>LT;uU^ho`{V2dms+&~HO~ z^zlXS(f%PtRmJU;)rro?>zrGQz8zmJ(TfdDymHZ1)jOOX23Y^0_*G+E+Ar;t4_#Ri zRquHQ*ERG`W4a7%q^lOt6exj1J^5nipGPJ|q@d~39X`%?whyMGeWWlxch|^!?c!c( zuItvEYTq=Sy+~J?Y>4vbT6u6t@U0S+e&8asM`ZY21vNn?&->M$%GTla1%mGaqt-@W ztS9)Y5!&mUAltTA`oC6Uk^14Q*=>~)+k2AsrFGeL+%MQh8mY61Z;okyGDH@?dU&3H zEEK+Tp3mikKLH122449ny|GcfKl3bKErH4(By7b>$To27^Lx6;I&%T4>dm>tGJgeDC$r9yLd6cZUM83s^ay%JGy zKQ?&Qq51E4I7|CHe(v?FGso-w4FO}>SMupRL6MO@pgEC7sXwoNT%TVvA&OsadUwFM z3wA&4&V5Xk1mh;a&dxZWI=pVj+1&aP^RA#q_ECKqYVL7+<>mKE)5)DVG0$Cdf78|m zyiwxF(MS`<%%6M2>Ck76_ws_}o0`_Xd0W}F4{&KAS8C~0wMmt=;Tl_Mru=8VTP$#9 zY4<8N=X~4@yXF(?76H17nd_De2qUB@8DRh9BrdyU6l;A(s|Q zXmd+!E91!c7u)7!)W+vM?+%-r#+nFCAePQsml(`nfY1JlUsOf(|CUC{>7O2Q`n;W= z81ueW52khAq+2u@6}XRf0{YzZ=7vCGFF;|=p0`gA-vhvF(Dmg>%$BnghZ(`Kdy#Vm;<~hy!${Z@cEfIz3;wke=`NSBC{m1(Rr3=;Nh;kezhNfupe&onC9+q#a*DQXZ3mXI%Mcw3mT ziZmlxh)+|QuykCG4*^QYq#fTQY9rG z4FjYni{3BiYcBp^Msw^kld1vl05YHVsKa(AROi93<*UB1kMvLYYy}RLhv$G&vM!IF z$ssL#k=a{|OP#Ij!}QAyZwIAS8@U!;ANg8|oyy$2bm2~KCqn7dE+*#f#B0^k?e#X( zJ;-GtZw+%!PDiD#UvB+Q89!EGzc zAjiR%A&`Bd))WZ&XH#W_IniqKuX+u!O_%T4c%#;nKMYiOXrdP4&-r|xce(%W5&PPu z$KKB_>1`nTvh9Uo*3>D#MR@Su>yEe^nCBMZM4%`LVsPzpC^8Qeg5thu{2K9|5XB;M z)37q|EmdpoTuw|wO!w04t%7Jbds~(UiM2E4@k6%X%2MYY4c*1^PSIIIZ|Y-*&r|fy zWZfHX>|EA3_Cq9atH_8`;IQhL-J&lQbbabai4ci5v4sUwYJIOqgOBJ1o2-x(hwyvb zY`vk@>=U`TUWpaa(vHn(wNzrA4PSxY*4PWSWx7AJNtet0`iIsLGrC$*EB$|A?9@}q zGe+I6@EQ+F&nI|2OPHk`I9?=y2Ay>NP`EO)jt)}OT)Ntr0BdS9bLy9{Y+`=PWfPK1(25wKmv?KEw!eDVrBYNT1!Dc% z-Sb`K-k9cm?`MwJo_xVt1Hgq|_t99MmZ{&|bPcx*Ni9slqgwY{^zsJf%ujawbR~GC zHx*{{ZH5e8H!%Iy;SN=QHt)~p3w2=K6Ud*TlZktvhcsz0(tDJqJU*}9aUUBQc*3}a%K<@8PRGIE=%CiL7Uib5GHE#sG@os(*ZpSLQU8yYkb^=G*+h4tcYjDR z*!84DomY;jmO7^LEET2!f^8eu;g>!6zt{?!dfeS&UCdSX)@80{4;H;cm#z~a9HOB8 zDj>ManQL06(cpU$O>e(#pz4Ijv)Z)Y5;MFXy z#)7w;?-#0A@Jg}M06knX*z7CCYIBjFl&=(BMyd_ru=e1d^MfhX-~IEj*M@hi=BIJj z@spztwyAb!eeaZ>bVU^)C?B<>=5nHE zs}_JfX2<^IdJ5g&T6JMS5;jc&yKSV;<%NWJwHn1khDIHh<8cpU%vbU7e18 z%bL2yoSH9h_0GLwG}Yrk?uYV_yBF$Lf+KY84W*3e6hvdwuQ#KU_?~4VSLLc#_~%{X z786+!eBeVysYV6k1{;4t!8driih4p^wAX!EGl}h~(y!UWcTdH{dpFn5gfMMPEry{c zY-&)4uC(v5GX1-v9x;)_>f&tFacDpMc!c4~9j<)Np;yz{@mZIN@79?RWq<#~&ikD#HH_zR$9+ABE z9vSjkEX}C0*(`QDkgkVyTouI+`g~qgL!9=f?t32V-Y`0A&YBJ~BK^5hcC7f}+Q_zm zlGCB__`Zky8vK`3fnO;>K6E8am*%8z6#Xabc4&+Y<2l{ zFyp1IRywb@Q8m7jjiEKyA>yMu#Pcd()%Qn@n6-B3{)T*w#MkX|(e=2#t78QC5IJoq z-4DxgW9d~i6FcJ5xJu{s(Qft-)Un$AgBNXHklHfeLgr5r7O*OvYgu>PaVUSTzsKhb zX|>td{Ij`V_Z~4WQaKXfPLfIFbhAFzgSp#4uD7bH+F{eT3A$~(=`OothRguF*jiM) z6`!`Y&+wpOlFu6X8+BaXg+0ejui&T4UBO~Q$Y>F4)qTwXS8hLKpsirkem53c8IiW+ zUF$I?71$``mm9rY)(WmVcKjocXOHg)x+g}_h4sUm1t2ppU@mBUKygd2`C*HUQ*CUW z_AHTO>Lyv{?eb)YbM|=Kz=S2P;Y7jK>r9zmSsy|F!n4#qH%s$3ldp%eStLy`b6t+> zRux^2avqBvac7@5Tqh$2y6Yomx*VadQ!%)a6|ut%-wFgzV8+^U!!+yukA|9=KW5se z-C0%cVl47Le?Z_x1JTA`D9gp0gD&pJnp25zE#`onxX34i5ot}vjM@DfYhD{u0) zAEyi0Wo_}csB)YctKVNX!c8m!6b9#gQ|i#$P<>eK-)JA#(_{4I@%3}?4V~VcZ-G}r zz0wm+GTu%&KXjSZ;AbgQprS-FL{-!oK;->stm3vfZ>Sq!P*EZWrB9TpiMR{o2=h8s zln6!*WW^7xc8oAtnr%s)XfgIY^r*NCWDAimHAVNVdjeO)w%#yFL`O(y z6S*$@XM(GVS8R7O+8LM~U);jpJQF^IejB!S-aC(PUg-AP%6w_I)6_M875l1LB=&e^ zwR~NS>ZYU3kZzn}TF55;#_`a(gYCi83wID(cc!)~Y^M}ijgnwuYD(*I;GWw+dG2Y* zrzmY|{J?2eBNexqpE%6)2Z^GADx*otDE3q_2_n(B-28t9*OIC`$v=$n^& zxpLXGTm@?0Y4i9~0}(9Z+LJSGkQ;+k)$%YCdJpDIJJUHG1z+3!9Ok0Aj=cW*jc`HO zna+j5WEXiZu+nAd6Xtc}F;4kN=RXm$oO|^k$B}rKfrAvF!gYN~F)H`MS?5+yHPA~s zUi9dcA-)vsgoOzsbx8Ir9|q>!(ZnN$W_zazs%Wx}e`Sn;r$W*`m%O5>mj}!X-fGJ{ z=4#i%OfgxQx2qzwf4c{k&P2Khjq-B7^DaL&;y78?h!9#f{C3dHp(eu9Z20Z%-%Xpz z_d`R2VAT0i7pSgaFEMCF^P=R8HZ^i6PKfLS&lMe^9#LKa!*R)1&RIG$QF!uiL38F) zSHCa@^Ik!E|5uYL({=N%RM}19q_+~=f8W7JS=7me-gy2DNX2bO~*?tvy#VmDLAkF!JEmglUj!Ow_FuO&z?F`E?I9-|ls|M=)& zQ$@op5kaT~9Wpa=e`v1AEMcvEb~Yh$1$5Y6rR?FxS>y4Cb-iJ7ad?*WxNQ%A=oJ3N zxgilM(d}w)271$Jo(Az4CQs^PI~o zvlw5kHf}RM%XcI-LbHN9Z@Tem*)eDNc-~?=DhP3y3j24W+zM=GQWqyY@#joeF>3Eg} zYjHafLkKhrmzVj%v+PAGNPbVE=S!}TaBOmV&(rJ?L1+y;BXqA7DmA3n*z0Fne4LaC ziqjSp2XdS(!QL)nO}qkYW`WrAqx5zn$o?Hf3ESf(`i0I6e7Y>Tuv ztJSVvUr`oaI3^=p`eDnw*Ihy12=XhHQR?-sH)rlz?i%vV04(D1d734S>O;oEcO9>8 z%xfYrzvUk|830{r#90vlvUy5GX4ozj0Skd{5ZF%pXXpD)I>2jX#M1Aj`~2Hvl@Fb6 zp_(>keSs!A!VVOb#SN;#E>ae%M8=AUj|51gbrU0LF@_Q8i0eP0ao*RyI!VYD{RZd; z`zrisNX-}MeUM8TgO6a1faNzJZ!8vufr$|T9UHe+aglJLm9m`r69Uz{TrH#7;K$+! z80S?njMuAKM$pCSR-=Z&#oT-(_2nFQi%omwV;>`*)}4TYzjMS}HcNZ$nyo&cM*g!O zT~m%qt&V5nb!#IT2H=>DKB`yLgik&bikjk0a0?w^TF zM@#E_k|%GIWg}S2gvLPZaq>9>z@0nMwtn9r5fz5Yh`=U5?v~t5=6LhT)pH>S5Id^r zl@6(J%1CZFzhBzitKDpqtX}1pKDYK})NI1d{{}j+;X~VOIgY;Ur2bI`G`^X4y@eOE zK;H5ewFn|bD~K{<*+#x41xe`6@2>>H9`T=jz%4ilpPsA5fb~{oZv~8aSln+I*lR*^ zb+PfRq_b^(hS-}uUOa1TG7mc0eqY!AEoYrpc2MVz%nj!Gpa(PVeso?CGAx07pqV&f zM2c8T8_mJF$E>NIJw&nQAB=(gXHQFxafL~pOTJ>AtzJ_w^G@cAxEw!L;W)Pl>2)lv zX_w@I|AuFssk)~*X%Eg_5c2q?1PAkAzFQhVZ)^1D<5P`oY%5B_z4AT18e{S}ITztb&0z&t3jtzED+^1yz){b0cHZ10-yu zf?5M4)^~(m%d!!IxHbo5Y>(7bQrOFLstF10%td`4})Dq!FC+0exhtDB;-S?hbxwe)& zml2AqEL`lVXEZ@gHeL}yWb=turUg`Q^ze(jc?Ovr%AHU!;u7|DRxD}d3BBsFTZmM8h2-7t zw*icJpM%sRkm2L5Ig`9LpmWN!CJC8$owMf|S(li_!%3keGc=OPIg$gClu zR+pW$X?SIlLirVx@x1dS)`Zbj-LDj?^?X3$ldg8L)jrXDA|zo}ub*jsbKNRv=cCLv z+ZJ21Y~T9tmz4P?vGG@vx{31N2=eq~AKoW5A^U7K!?sfe&@ErG()Y(57wY&RxuUwB z`a+BKU2JKUZ4=46KFUe}jXYP}zSAoK$-~kQvn}-*c$?7flBhwkGXFgZ)``B;zIEKw za5brym5m5Yq*(Qt%IZqnSf4&4EUkO)!?D`MipMLjNYI?rWyK8Ij|Wf$et@LiE(A!ap}qGdaMvXA7-`9#V6vY zwogmDM@~0wyG5RID~gasy^d(=`s0e_Np1Px^5iH`LsB~GAi+}vj0S# zTygCw%Bi42wAt`Q!np zKG6!Mft~nYGvr6+uL1v){-Dzg(#?)=CMoTTJ(xpyEotS+$k%;uvK8kbrL-fqWF$)Z z>gBJsdKs^|*13a^gWY`ajVa z_C{9)l!5{a2Vt2&b3MIPwL`|J#!t|wxysCb9y*Xp&@hnwx?DB|w^{up@Tb(Fa#Z8! zRm$MIsmUdya1}Ala9hd=!)QM9zL*{LS5$8~FpVd%KjMGH)4S5(>#K`bPcz-Qym~?h zBW$t`Ki%1$Q`jCB@4BaX*>qs#P3uhovHmw$ScR#~tKN}e^jzruPQmC*T8mV07F=@9 zh6yfVH4c{B)e!`*Z5+h@H|Kpc1p$zYQzHbzz-)-vFqPfeUpYnF_^H*!E9x6JuCIM> zdM0Z*v8mAamLRo~8tk)*wdU&fRF!r}ZvAvfBx9u8Z!)W1GOd^iyPcnyoOW+{?lt=4 zjo`xHX(H9Iur5^^vIrtIlV4`P^po!?-MVcX;r=&)Pf01_8niUqC27bS-@Y%g-+n%l z*|=(%D#;s$%J@*R-_gcaNXk_g-E!a5Pno#2y9yM>{0ny4cr&h^Xio5Kn6o;f9yUl0 zV0L&?aH~jQz0aP5MBU!tOPnbMHY!vYc^P1TD@hGVX-%V!oW5R`)7YgG>S#)7LZXP& zu5{>P=sm6|n>#};SEO!KEq?H)rW2B+5kPqczF4zpeO6m1HSxF$$6^q;Rk|j+_qj56R|Z;Tlte-uhm(I|||s3gZu1 z+Y{xCYaTu4`l%Z5C4B$-_=21JYuw8>8WSNW)X^Hg&&LsJZ~lUrd%4yg6OXm4ffH1_ zY#|iIn|R@BQIA(NTcCGOM;kJaKb>8@Jrt)Z1&Pa9(z3_0%M{)F9`D*T;{WA!Jiu@} zSs!9qg(8(k!qRcMYHpbFBaxJ-H@G*?pH?RuSy@2!(={9@rK(JKt>6*&t6s{5j@b`$JCyVp70RtBi2`% z^7Qi)&^pN;x5`Y9nysX@msG$6fbv{Y(f0#U8A`3(Qel{{)wctS1>@VC@0M?rLpbfLGh7P8x68jhh|)NUnOaD}&ITTr z_}Q4DTS}Xw!XhT;coG*$PCDq0XgSH4+#iwDkcmX|BH&yHK=(NUw)~urF%|itQX&ld zRA}t%?1Fd$Pm6a_JrB{MC@(q^qWoulCN9Q)(h*_uK{Zl7BQfwXUKHE627QX5bS)WJ zOpeCn*9bzS5o#;#Cs=p))xQFm#S3o=K^>10;`axg&m~5#*H?cYg_+zK0T?mIc$x3g zckgVtbaI4IQ;I#cbSHnQI4z@r0Z9YY4YfDg>6H$U-YZ5dfRm-bR;|5`b+Gh?Gi(GJ z$4_>^r#j(n&Y$AOwYGlu+5{9Jk=hjCB1TUi=e|1h_?x8nOG^P$0#xC8Dv-Xff`0)3 G0RR7gX$@BZ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info new file mode 100644 index 0000000..def6241 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:00:45 +start_analysis_synthesis:s:00:00:14-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:18-start_full_compilation +start_assembler:s:00:00:07-start_full_compilation +start_timing_analyzer:s:00:00:04-start_full_compilation +start_eda_netlist_writer:s:00:00:02-start_full_compilation diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.vpr.ammdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..9e79825f514a1e8256d71d505ac533bd2f00cc36 GIT binary patch literal 308 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A#>{!=8|v>Z z`Z+!5tHNWD8~*yTSP~%6;r+SG`10qVV39|UjX!&x@d0_~|9=4H@o*~u literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo_partition_pins.json b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo_partition_pins.json new file mode 100644 index 0000000..0e70d74 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/RegisterDemo_partition_pins.json @@ -0,0 +1,81 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "LEDR[7]", + "strict" : false + }, + { + "name" : "LEDR[6]", + "strict" : false + }, + { + "name" : "LEDR[5]", + "strict" : false + }, + { + "name" : "LEDR[4]", + "strict" : false + }, + { + "name" : "LEDR[3]", + "strict" : false + }, + { + "name" : "LEDR[2]", + "strict" : false + }, + { + "name" : "LEDR[1]", + "strict" : false + }, + { + "name" : "LEDR[0]", + "strict" : false + }, + { + "name" : "SW[7]", + "strict" : false + }, + { + "name" : "KEY[0]", + "strict" : false + }, + { + "name" : "SW[8]", + "strict" : false + }, + { + "name" : "SW[6]", + "strict" : false + }, + { + "name" : "SW[5]", + "strict" : false + }, + { + "name" : "SW[4]", + "strict" : false + }, + { + "name" : "SW[3]", + "strict" : false + }, + { + "name" : "SW[2]", + "strict" : false + }, + { + "name" : "SW[1]", + "strict" : false + }, + { + "name" : "SW[0]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/db/prev_cmp_RegisterDemo.qmsg b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/prev_cmp_RegisterDemo.qmsg new file mode 100644 index 0000000..10e047c --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/db/prev_cmp_RegisterDemo.qmsg @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679476600097 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Create Symbol File Quartus Prime " "Running Quartus Prime Create Symbol File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679476600098 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 22 09:16:39 2023 " "Processing started: Wed Mar 22 09:16:39 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679476600098 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1679476600098 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RegisterDemo -c RegisterDemo --generate_symbol=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd " "Command: quartus_map --read_settings_files=on --write_settings_files=off RegisterDemo -c RegisterDemo --generate_symbol=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/RegisterDemo/Register8.vhd" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1679476600098 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Create Symbol File 0 s 0 s Quartus Prime " "Quartus Prime Create Symbol File was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "695 " "Peak virtual memory: 695 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679476601028 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 22 09:16:41 2023 " "Processing ended: Wed Mar 22 09:16:41 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679476601028 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679476601028 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679476601028 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1679476601028 ""} diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/README b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.db_info b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.db_info new file mode 100644 index 0000000..f364095 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Wed Mar 22 09:13:23 2023 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.ammdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..b91225067b57d7ecbef5b612876e138af3f86c91 GIT binary patch literal 305 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A z-w?wB|KeEQ@&EXbbQbzg599ilYZI^NayG8onsn8CD*JB$Uzb4f?f7%*&!mUsm_yq= zR+6pgI{kCJjkm>nViPabhphA_-~TIG)%|#&utK7%viBD`4c8$#|8#YQb6v3t6Htb*IF4r1#~{!71h}+;nns#;G5h;;Y6Sl7nGeF%md@~|@Hk4bvyrDv>SWzL zQBhGW_zSHO^}7mem6A6zwHAd&U3YFmjf^bT|Ap?}i8BtdavBeAuMknubNSm&w=Al% zP0M$!9ycjd;IM{p%?zkYNdSt+uqyVqNEi_z>Wu^KkK?EUe(cj9kZ8$Q3vG?RvLxw2 zk8sIZ8-=peP6qC&;RzN7aH6$<`+-%GD>p^r&cT;+SEN@Sch?Q9dnR&A&b#(VOn@Mt zU3OB>fhaa7DMB(9qnM&O)8{P}Y|+;5dy69X-X1+;yE4v`m*B4KN~gTll(D#Co*uqH zfFL&Js@@%}e0d;(uh>f~oEiQcX{hw(7w67|u$E}#27<5JOB>5<`Dy)m4?o|-&wufM zmB1D#1oEhB36G(7`}#Q>_>JpgJy!$q?MJ3k9llJ*txc|dTTOVMMbztw$;n2N48hHV zbqG(m)sV2T5aM3JaC8V9qWi2~0L?X4Ereo6F40TeGN?~~RXoA|Ge+sevkF(q^+Dy9 z%0S(SoOYOmEv2v*S@_MzBySFnt}2@p99znjbWi?ROT4LH$<~YU5E2%wQp`VWv@C<# zg@tI}Hqv;B8o&fdx@oykG*O=nA(G*rm%&*HTDDkDb%*?w<`kFUu=ieQ>e}+JC{2*! z>E{@|VwD;Pk5zqO%*&bWc#jLFm_rBrx$H`T&;g58!n*p-Dk<1+Xk~a&%J3c0Hpm^E zS5>nfHtwfK0dE{-uT+qaJdJ_4h_dx+G6?o|bY?J4i}6Snb%nf=;bzt1mYHGE4dyZ@ znM*jG;I&=6!nAWTU|>~CJ4VTtI>Y+Nnh@4)nqyOWd*8ROn_?=kU7we%;M83EEdE8L z_GR=dBlN;otpad+AC=j3H%FH|3SB38=B}iz1q=3C2?2qr(%G0pX6N$uF!vSmJ<05x zfP-?M^$@22pp2EVjkpIqzdZbGKtKg>d)Fs%-cM}jb7lVcj9K|qHKJ8&Hvjwbbu4am zU|u?pdGJQAe0N$QQ^`shMyrXy500_7ly>G%=8t8l&8Yo}H| z9$Zh|_qPwyMrQ3yXtCG~^13!WD3LjNm@!v!xe;_6<$-dks}F0A%z^g3?<~0K+FGiuQGGG+Z*KcaNfOUnsp$0&Glhh}a&_PQ|zGA`6a=fAYu)sk- z!HQL!L5Z4vCr%i&4s~((5ijLp1H-|w1#_P~4VoV#B2bX6l$Jn9&_hU2>pQ~UM6dYT z>?ZEoT5*PK^&^+)mc_!|$l1(9L`hl^c~UsbFncd2`w4G*BWJ@e?00|ic z(+-lBS1&B-=21@~o!*#hI(kdfgRirA^%W-X^B^>T>NXyDajiMneL8oJfMK^}B#hl3pGRx$^iwHl&Ms!d}vv>%hXd%#;%if!Fq zd?8g|3w{t_;ATo;eiM668gxl=M+FdDMu{S4`#=C4G|>lNrx9`is}j!kC7>4Xeogp(&!n(ujcmk(q&ikm@=j_(N`RWOaK<^8`2- zoq|wJ|7vn%T{>If>~Khb2q~9Qy;m6L@kFkpmB(UMSzKu1dQ%hvIpJGmE2?0<6o7wK zRt#X5<^$o>K74>Y-V?9-Se!V78}2fos)g#Ert<HU2vmpYE;J zwb`BUGQDx8X$+BUo}Y&321A90q|vp&elR@*%$f*J zbgmh=1GI5+L3gyhtz;|rG9R>!oUSxQu!>tin^iIiY5iNfGj+qa;H8j+zE?G@x87## z`%~ZTa4ip+V;U;;S!0-7?Ve4)?lD^v=PIHl0^LA#b6co<+~aodzC6`a=C`@d35D8s zNq5O^q}Jr58nrjV5F8NSh>0L<2}9ggACq3z?eOS4uwK^}!LINqtI1PGC2Tx)K01T& z^V=wd8)asgp+ED~KXy48;5uptY^d%}Xjwr5)DU|2*N9+hKGAw|FJ8NiYtg{0w`{zC zbJRXV3t~k2$K1eA#v14kPl=GRY(%bh+ZURF**w#Iwr|ADm$k#$T;OXB-~J~DkD>jf z)U^XGh*&sPAxp4iu;9KaGh&@;NN>ZBeT<8;Nej0+;Pe$Y2pU;Pr^3dowgNqcq}k^Dqr413F>(&Vp+XNZ77QmYxnX=MRaVoPO@u`)In@| z?{;S@ZOw6D^B3n+D=P?X-a!KSl%`QpAMI9S6egq0Ncv6}Oo`v>HwH~H^fJ!)hzGE3 zlM&phOd&c}*|%wWx-0q4VmXS}U6jHK6n}Z?R#(ra#Kp`1Ki+i4O4I>EW|5 z;mO`2hTsP~x6Z!g)H$=y{n5y-!_@vOu1Wdw+p_h!w$)WXx;@4mhQdw8wf;=oZo3go zKD|kJ`vx0g?*&oHSIK=&z_x9R=2YU0$g)*pOPB4E=cQHa{MU+|N~CcL@YwlB-=_=P zy_m~7GP9A)=99lEdSs=?5VGd`pGZXRm>JyQvF?0iz9xx>~>WG-z~C8iLd@&&VLM1&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.hdb b/1ano/2semestre/lsd/pratica04/RegisterDemo/incremental_db/compiled_partitions/RegisterDemo.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e43a9b2cd8c1976a515dc29d8468782857e8061b GIT binary patch literal 25571 zcmagE1yo!?7bX}WKyV1|1cC;4*Wm6DEWzE~-CcvbyF)kb65L%HcY<59o&P^GJG(n` z_H=)Bzq;>M-CNTAs$M;;4<9~MBSAsZ4ndV_{)}d|8G>pV1I^ExTvJ>ua?W9x!iBtuGczM?VP@c-5~a)t5Xq}X3*HS>+67x zr0u+9GA?JNOIFS_I)4|=T5#y2O#_anh(eY?0b}o6b;!kBMMcFGg)jV4@yXGc-spLs zX_c5a?rE9lAg3;TYoXtuYm!Ytm3i*=59_6BalXiA8d5XW_4~HxkQ%KhN72Ldy7xzn zZkM}AM?;kPim;wiX2J3+7s;Z4i<^Os4c1AEWneU_#rMm-a11)A0=+qG;)I>*N&C?LRiN;$Gc4^BBvPW+VH3cL7nsttm)1jINx$@ z*Jjj4Iz#EeG^}3F#}6m?&{vuv_^9JuQ+nBJ^GG-zeqP|cP7vui>FcpE@T2KmXeWr| zncRCIkbCuJ_WfymzZQdL>@VvG(Dpgli(Aurn8UGywQe!xiOro{3$A@D7>!Z%G$74X zm)g2d0aqPvJc{-44Xg(DMsrWx<(bWKBz8f%vsA?Tz(wl#K5+grSo?O>qOyzql;XJK zOSF$WV2{BPu_gPLxJX&~;<_i|^s)f?L}M%uch|><F(ETjdnVym!hRNHmsD#mMNhEz%RWuGrJuRYwDZWtYI2cA~iGD{b_YQuk!}T&ki; zCb(@@EI;g{5)spFXq}u<3Vj`klQwIc;t28;6}ke0mu`_3W1@&Ex4ooW>gy}uxQm!UVO!Gga=75e)zp*c~>`!e<#J9 zbi{uj&>K=d{9F6|;xY3`2&0Q}(AGMxTch1^AaL$UiFwKMR3adTuOfeTD&YutHyXd>@lLS=RTfLf$T8=uPBuAeQl zr$jRCA-zc;^ygsAhyU4&m|~6ib<*evtUH7xI?Ua%>T?KQ*MC^>sU6s9gWq%iAoPvL za-CX}?cke(Rz$y53tB3#B=R1r^^D51k~Pn7r^v&sP1G$=)Aw{O;^jOB%=);pFj-yT zc8gTzvhEWJ4Z1d5+rt_^;m)awH`eK;Ka>2}FKu1jRlF?%jmWnY)|^V8E}S>Vjg*Tm zrRFlE>Ts*5?mJcxiRrbjrGXL8slav?_q(~1#&@4DnIE=!+XNZ}P3ImPS5iQ>x9Ykz zk+)xx54*Z*Q$@PB$$c;}!V+F44bOIZ;^Al&w_api z9m9p)zJ0EPLqzYg5&3lWK;EJLmLFr+(8F-b=fa0dR*W}2?vCBlYj+X9xmeZ&m&B(hy<608VoTA+J<14I_SYeQ$aA?ugOTZ?=Z^&R=W1Y#SRS1P!ZQ8Svos^ zjp}&JsdtxM+dhJ}9&FdI$-(c}!G}~d*mU8MW%tZlaotHcz9Vkia9e{>#f00Kx=K*v zaN|Zid*NwRl?0~Hk#;uG&mXr8y#$i`7143m$ryb#VZ!`AlIV)nOO0a;H?;BNh*Be2 zttX8EcEef$%ar5a2#42&ViseY!qaK|OlmR{Bp*??{@ld<)&vns*lR z3+A&VZF2Ey47MP3J6^XZ*5}7w|0vj6_HEy-^;hp?tU7a2|F2Y0oB{?|{Vs+xL$gtw z$@)!Qw*}?1QHv@1O`Huh4~WkshJO!^5<6h8R-V8iTvHLrd*IT*iDI02E=<}J!U)8Z z%y7gLLI^U$ATkUwlvp1H^}u(4KZWEE&fJO|r$A3@Pw2JyK+|K4RR@W5(}k$1$SA+H z)n2nHK$aSTu+ichVSuSIg{w`|HC51CpDaT9#pa(twfg!NV>>C0P6Q;MqXiSuzKVtr zXEb)_7Bb{4|2R{5sE3o!X7+s3dyacveyM+$t16XPHvsRaAJTyJ0p1i-~Mi zD<$XhXF{6@`IZ4won0cG-SycByaYPO6J$~99`^9;52~2_-2p#w9HPtn8e2FRM7^Oy zT?p@Jl~I@ADV`BbId?N}KP$|gQRfaz{h-<~_=6PG9@C)ch<+1eW^5`l8E71TWRf_h zwUX9ZFS&du?-f>#{r!HIubHBN!(Qpe6)RXSqknj=30~1vFo){I&?6%{go`BnEp;ZN zQ~9}^fYbKVqx9pcWp#*8TMoetBdcvAR|Y#$DSi`TDLy-5sl{q=D`hEu^p`AC=0N+5 z-p5`GxI%b{f*7K3tlM;M9BtbH;SWT_nW57N=7D0{P4h;P!y&5u4O^WHzXzJ_*gqp8mk zA>hP_3d$a}PXsPk!DcyDJuu!UqduOutvg95?yU!HxzZEHiFj020Giy6kWn}f0lCGm zZh|RhBG4p6bSpQ4`U*Lpb{S*&;nd`9mbKMYVOZwppMpy^GneO!Oqb>Ztrz|$geOyc zmuoTzJc_xR&Fgtj2Xr-?WdFE`ul*y^e?}UlAQ|8QGCy#DU!h6r59oW;z!`>Er;CoL zonFecPH~33ow{+~mT3 zlbV4NDh^-?j&bGx1YCo-;eE!Ryz*=R4~IO{V}oji=8WglF=^0FT-fRbnf`}Raj*p3 zwtXBF1@+g#b*1LBBaz0_Z4i`27Foy%rl=N$&N6AxB=$NqkXxW8zJHRZ|ACuXRlVkS zje04^lQtdQA#KwY1#s~BRO7jw0YnBRShFI0RABoA3jrJi@DO~006}5yqqr^%UKaOG zGkzA=4txI`6}gO@;5(&1#-p+Fm`4U=j^-Vxa|a}Up%bCl)CD$!_q;p=c-sdTJ}WD=3Q5C}oi5n1Bf zC<|VBot>w{Pu6PXuR&5uUxSn&(1PG61m+OfL*Nd9ztY#taOU*3kqkLghDc*f463vQ zb$L#VUye}P3;)T+{x>_LwP@@(sFh&t_`Os9`~2EoxMyZ~+0tJ4Wg|YO0xqv3*C)PAs$t-;O^yk1H|ztEw^Y z?qc})gk$sdGohVQ5r22HxDJRRMwYvTwKE0R1PlcKUyk#-&F;ZgPLk6c6> zi^;wA(u$^MwtG_CmEz^L@G)w}E_H@G&3A^4b+UyX$uE}2&AU8MQ_|WqshinyHe{oU zxL0tSq%)V-;3o(Cq?{=&ILVA?6Z@)Bt&GBnZQ}k-U+ir`U6)Z)=q?jChaSmwgJR!9 zUp?#{&6NhwT_!h|6vvf)yyf-*nL5bI7w}bzgS!V!1ts<-NNr-rH?bGaY$>RjAWIoV z1!c17J?N+yOP(t2r>Jg_JE8*$G~ED4cp3T8_|J71orYKVF|cjcG0Yy`)BO8 zgR9tDT88%S*mX3UYNo^U8Jj}xsP`o4ybskJfNX(GFiXQme0fp4UM@jFY;~*}^ zh{x>-Ix`<>wbST1w?>ztfOuy2kJDRG{#Mxz{{_18mrNAVf4;3xP6uWjkwn;n2;ay^YBk{nR6cULB!-{V2&#x&NSw-b%f z*CAMjU><^L2>w7Yl30jfNb;JP#2m6+OWb!)rSRoI;19-MSniZQ3UOqFj+@B6 zGF4CQH{k;3JFy5lLK3;@5_7LYgF7NU&gZw88IwW-8Dc%o*OF7qI$V82#;Mlbt~=DG z7t+r|p}$dUGZ0+&*_ccH<-3T7_-Q}N0UF~0Rk zk1b+EHr5No+@*;Cec`0+SPbuP;AB~q{?HY^r|}ba?a9@{A}ENPwm^j-Eu3ddqDiHJof)39AUD4h zB8o@BNU#IWI;J}7L?!)g7&-}pVHmW$Ia|awQGD{a`0uhEw9VhYVO~Ngsg$>=2|flw z+KB{dC(-W1jJ?0o!GtIjAqruL;v?*DxGz{gEe(%w<0)B?cF1q?W(egvZew|(I{QCT>(6bM zkmS6`b$e0G_`Og#7N?yJpK^>QXPKg(LU07ZJ_NfEY(cON!3qS6StSt`IWN~r6XQ`= zVdQcR1(5Y4HDp}#Ak#YmvY-r(j4O@6_N}9I`tDZQn-F4*-+3(209Sn?lm@;-tSQ-B zMUp+THI_GVhy`BCzN}~yGgh)-jl&2Pmn0HjRcgsu4ud^NH{hDu9IiZY4w)6U6#pxt z!rUH0jvLQ}y&MMPOf>Cl1JS*Ho=Y;g%cG$-{&Xt6Lphg@fUc7qdA!&8SKe>@Ix_4k zDFoa@544`k*$ya}&!{9~=N4@xIg?DxcyQBRB#J~CiajTac+RWk7}+=+{OU=Jl~QoT z8jzJqB{{yc24rPY0aHD#9%og=g{yOB{Q7tGcbaSykw7J z-a0~TCfEKh2OO1uub_$wx8U-~P+c&_U^xdI@;tfcS+a)XWr_bBz}s`dV1_k_Oo#QW zCK%im#3M80$wFs_&BTJyY?bW}lY1=xiOCF`RO+WLk3(DX^Y3eI#VGmUw!tQj4QSg5&fnp_sVwC`Cd%vJA!);-28-p7FA&eNp`<_EaOK&sgbGQk>G;i4Uf zPl%uN)?sEtwE9&HFpJ84SwK{G- zBjr!o{oo;(!+VV}M1$IV%uR@6iaVC1|0+Z+lCu>nI+$NXI7B*1aIAdJ&^?paqnw6{06@DT5dWKJTY z>lT}Dn-qKh+kCYorTD#4z9S+8tP7C| z3HuaA{-fgGai%DI)mYbiVcb$J1v1NUujQT?!r%e0FQ`$26M z9=*7Ckz4!v@aGkVNK~VeGna0?yhx<{pDU}r`IZfc6qBxN-h3cwv>mWoYx?7VS1mi7 ziW{a`tL?hh5#IlmK3rfI{}DFz81gL416~s5Hd^ay`s>nC{OH00M@#X6X~rO&Zj{Fz zr#)y031l{X)q#g<(8iA-NHLy<6SkCRkQP^^=P_=M@vZ|Ph~-;~S!Zo=+}O~vXNz|* zjcYFSzdFG|m$0e{io>HABp_?Ih8AprV=VjfXc$9)dqoz6!@o_e1d<@`}%Yc-{{)}YgQV~j(; zNiR_6i+Iz5aD-KJ^F^hre7?R9@uT2!$=|Nci{w2)r>DI+WpR~y${FDZdcWYGgP0C`!njRA ze~llFcU02{!`}QyU3muCW;x^wkRz2YE_T&5~7Oq5cqg;~T z#f3iE%I+>Fiwi8pm1!<#HQ|_m7W-1$&KlSH(ZtPtwfU2IVc^&Yf_;7 zyhxWeqMb4-_J*&}RS+YA!MCfg_tFSPS;!j_63HCkWC@E|BN-6UU@Yyi<@XHYE zAzox5yvIJ&6#)*|vFJx`88TdL6BO+^e!hn%U4l$ynN%x3%O2BP%*|=4*J>Rx<#r-i z;6RK>nKC0VSOIf6tQ5l!X%%zZiOG8t&cHU%XsopNnfEcKwX8=br^}PT`x0i7=+PTP z(47i~_anLBHy7OL%K$WAN&JrP=(OI;+EBn{KwQrY?k*QQoEuK~-$nddR|i9A zBoCxT+#g^7+2*zqUc1TustbkDO2XRGJTj9(00vnd4+o*Go-a{GGwzu;z4Zs&5W(iU z^HX~-#rYP;U%zd~icKnS1k88H+zvQ?YEGAoU3U9csjcSC!fCR>=_7z z&|jAknes%D4@Li%)4H;~02z}1eFBvOKfn`Q#*w4RjYKWu{`B8N1GE%}qC|K)kG%g= zBD@FXAP8kd-bQvW^|-B?rTD&kyWd9K4MHjXCMhA2!vX$kc8%K6+cXG_!Z&$RK@rlR z&s?kPC~s-^9h?R5eAA$>)LG?UUtVT*Q`oR~y0K^2q{R4f)e`rUqaU=eo!zOtz)nw0 zVxh}oW?^(58M?DSelX9q5XZj2QF>5Ba4H$9TCPO=VLN8BA%kzo)}ZxQ^)lhB><{Gy zWG;42daJVP+_k!@miBy$i}fLF3R%_u@}YKlmu9EaYjE83?iyi*L?c)KoopuI0Wz1U zd^5!ah0{rbiTrO~AW`ItGFH&nmkI&Mkq?RU%L27YE zDrZrT9f}iSXjo?25~rxRY#5=$iDs3SAir5U&G?diVZuXCdD$Savu*HO0C-ohGIWcq ztIEN{$EL#eIC8aJHY_a`d5MuUM~APpy{n}@-(i_z)^E!ee9UK0eRV+KU6P{ia2Sbm zc^MU@vl4*;?DKMG)<{DWk}Y$;(BkygoX~5ng=4v97O5I|>^#D=byv+&N)Ni^euee6 z@6WaxvJFbny>fMU-R_48^j|2Ls#C&}T$c_OkhecrpXPNuokjV!6wRO)gSvnBhU1${ zP2Ib64U4p6qtZp`jLE$fxiG}krp^zG&PXYTYA5os^=}%?i)=exe@>;&Z6&MvBmD>8 zM|ne!5naroyoKQWTz~uD{(^m>!szN$>ljj=kC_ zW*$!3*jks>mRniotWB@auk*LIwAZxjW1-aqz1$W~Rpz~sVJUFZjU1ei29lzZc<`Uq z(RPY2yd&p3P4p-?_N!t+JMbKuY+RqJGd~Z zT#AlWb3;{6vxOlLA2*ziu+LwPKAmQ)i;*2ccF2HHjH#RGs{KN|h{ZIW2}PMq{rgJa z>m=;FoD_=gB3_R`puCoQkD+D!aN`I!%lf?&^9Ah(CzjIrdcplOxpj|C*IZXetwy69%7xn$};!dA7(2GDf@rcPk=J)1Y5KN~?&Li<| zYdFJ|rteRR67Y4KdfU5>RDcahXbkF0cl419N3-1g14sG&zU?hWp3!`YKG*3@Wtr_b zOt3PNXO!cgCI47WyxEP71)t-9Sl?!g*A(tuuH>5xt=KC=n5u46LI+^?)DRW_5I-ur zeB*F&nH`==`gk|K1ryu2)kK-V8xFGzG3Deo=DxvTsO3J^r?Jd$2F6s3{CLQg!!-m9LpiBR-XMAEtsUeyhKES|*;t-QKoEvzjXs zH1cVtb{ZK^lh*@~W%L6U1Cyl$J-uI-9P}cnY z@v~p35tF+r6b=c5->IzlpuJhpCNC`By^iiRHaV;|P+|JBuY15J7BtDS-w>K3i8DMU z3bOQRNlnN4G6mH_)dZpgOXlsiEMuxU3_J-3`)LUJ*3hOswPClVzGTd(is_pw-??x- zqxr}Fz3xp=dqS}M4+p0`tqO`Zy%mRYIPnrZdZDT zJ*@0kP)fzI`u>5qle4Z2O%cdq_Si)w{VE4slRj%4P~do`GZso*7IHIuhh8o@pSYlT zq@2!$4lOyU^zy9WgY08Yz>}|mCn4D|#0%xCt0xyNT{$PtX899;J(p|qp}mbMw=$>H zg&5{CFQ>3Ww_lHxQ0+LPbVVmc{Zntq!i3Is1wPG*s+vV){1W(n`vWLzBp$V@qjo17 z5F0nK9YZlV>0J_kI=T-(yCFVowbqYgTK_c2iUe3Kuh9HJOgK;WtA*zI+r&zwZZlIP<#nyef!$Z1=%JBD8#U3@h}s&c_-jMFCFDs6FwwrL{E4B(hMFUw3BCSmqU znj9(F$WBOVeG);8pZs9rX0}%}vrG;uO>G>^wDyUH#=|?l2f#g^FmMa*6w3dPW5UIn zr{7IPR_IBycZ;*+S-7JkJ!L&{W{r)u`3OZFurY(OCM%)<_-E-Bd#Cf8qzx8A8ETj0 zKxepowWhpC*b%UK}Q9dFFB!^!N%MBXvOQKG-Q423VWidv#U=s|o7 z_1Qyv_+TUhQ>+f|pdwLBH54O6)39v0_PR6QN$SN#3^e z*ySaatPS?A%4@=xYg1VV{GHjII7EvnhlNyWSkl8gK9d>=!c3a-8)TVWegYXo!|OyD zs~?#)&R?|R`}>Tb$7-@2rBjgV*Y%Xu6RG2J5t}84R!O*rxxm?${u-&T z8!FGoA{I*`t%`66_b>)xfY^4Al~_n9r=jaX8BZso7I$nS84s>{wWDHBMZNq?n5QUE zg{m#X@CDdjMb7d{Kg>8}zG`n!gx#3I7TW*;bpRb15G5)J8SPpQV-ftmf9%Qtwawa0 zh_lF#n1tOV*YiWz^k?QSpV^wX4zhj%u|ewd)hVO~wt~5TDsN#K(4Q&l>eE9$_BU@S zSA1*5%_)~(0&x3Do`3SxhStYoYTV8bgII+R;EG+|yn#ccNX54u{zAGhyYbcoX7I^+ zz}qF@S#C$1&=A zY>)402V<}=LE;G|VMUJLXvW2ALwJ@7r>l4iGoVcPx2N`>BeTop>bIWPn}3TRB)fCc z*!nOUgqNP~O{c&(@Xw@;AHrEiox^ZpXUi*=8s}QTnEdeqon${jY37#X{uy7h-@X?GA5@*`%@3v`l-?)8c(p)^@b`+n$9^`#tSiG;z~muf&HK8fC`8WCmRDy zAG9%oT?Sd@5Pzs!8X&Hc2L-s|Q^8h`UG2oT-4qE=T&9K$=uyK~(ovZSGJ9Pvx%c(XpM<*W|=l&uoFna9gx1iM;2x;)bHm_t< zPn8akVQYQr(65me;iW9?ucY{9kMc)Dz4tr;yA94%LY~dR&K#-1sK@rq$n4J5sB(Z<9HYt2kK8&d zJl8UhAzlc1bfJk_dI{F+uaQTvyFysYvB#D);8}2Ydk)r{YBk-z9@G=mTWhR#7bD+z zr#jB9VotH*22i!d0@Xzv1!OGT|W%#3Sp5L6iJL~25~;LDez9(QZgu#cTka?XQ<$m z$hH?c^DTIo&2J<0-0MW?tocqz|f+mMw zXKRwT&Qx2@4`vTgi(hea8*G@;#%x?zuiugsSK5~C4SPrgk| zlnMJ%(>|1)YXXVW6R zqUAH=b~SW?6yxk4EgLUaZ6Up-$pchl$8M^+Vb`xlt2%LGh1F)SSLWL3+crU#Kp6h52V6Q zZLb

    n#%{q=GkrL9FMsUgzzGAZ3c`k+b=IS+cPz{Ll?@+fTYWseSa(s6*Fs-X26* z>T)+vjM2Kq`3&8sH%N7)avG%)XrfLwIO~>Dp9vCcJhUbTW43kn=1y zUQrn{dqVmvck}EOpfHi!8v}W2uY5l7%6U0)y`-~ww@AFH<4vxFr#%UXJ$xgjQz9Vl zXic5TsMsi_;VatcJ^1l`G|9!?DKFsL;$ry&A<<47x}D4CH)IvKDbHFesu;=lQAYIQcaPj${|a_>f<| z@ii9^Ce|TdZh(L}6<&$GJ+N4CaEc68ySyvpPZ?7=_ypMf-1Nca$rzYy{x?HW`ASl?dW2-Q~PW9Ma zuv3g-c{g-B^HDQ~-sNZO2En@1?s+@#(KU|zfAS!v8F&h|MgM!s-1~=LpFHuobKH{k zw2UQ?RgR@(iho@g1P)1J5i`n^s&Eel3_|DJ&qak+{ku^v45sWPk(%?tsP&dH{Zuf?XMU>L+s zm=p)`CO>hkK7F!TtQ^N9+9qN5DI{Y*;GB;NM=WC)Z^t7OE8E^vxTE=sdSE60}>s2m=4W zhaJ|pPU@D1jhy=0V-fQ+h6BWDjo;e^U6UDUx73tIpE)(;06bv{!Cnb{dl#p*g>gk_ zq_-FSX>e7&^|boiW^A6qoXm~v%z`m@a8eJr_}prbgoPHK++ zhTG*DGX$IqegzW0%_2Kt8>X)fnP)Dnh5226$J#Bhh540Enqh9h${GGF{B?WQ`{5V0 zRCk=F!=N>SonbL)dq}!t9)*HRW1y!oGXnmC#Y6%XHkcxWkah_3{2h^Bl0C$;3uGFr^$x8Uc$pQmOWx!?k-~-HArfC&bC{(u0yJW0V;AEj;)g+91*|MShggxB~}+*2X|39nXK9t z%!2Ca;qA5?XN}=oH*L~4{XroLI#ZbGtlG9gQ2(gdoAHd?88w7n$Xe{-tlCS$t6^;n zdO~l}&NgU0M@4Y=`-XHh!wu}+Xgt+;gyi#-Z&GUyLLc!~gsc2K{Wh*(lwtVrm$qb^ zcj-`*g$iDn&M`;nVLR--_CX*4*+9uI>Q&OF4X}&30MA4UV1~{_!X`fjhBxgNiplbt z14p~KLF*u78Djt0?3>Hc@n)$~$2Pc@>Y_c!3CE8a?(lRB% z9h#DJMct<^O(D8aKa!yMfgyl&Dy|**G=vKX&8#9+Ce1IRAh2x#3R(v2(g3w&4^^D% z)jOz&J_z{?!F9c zq*ug01GzYOu>Q5`vt*=0+^fJad~9e$Ojn8~iKqI|y{P~oTu^wF zyF}Yb#J`p$ksz$($VGsD)ABi--+UT9gb*49+ZAfzzJu_7p-SAo1xqXt z`cIQKLAX~$5y(M3$w2$_nxTizeVy39Ld?JHPhH1lN5$YuRxJE{v=G&6ZPu^OsZ4=U zbM#uU}EMJd?y3-I%shBQMuc zH-Nid+}%x!t)G$AZ$M!%#DCy?`V?1hsv9MPu5?r5g-#b4)Ef$ zt*1R$dsrX9M$|YX8}f$;8A7{#eUJ`}R-mUJVX`%+XKSMD!XQ}7^Ka;Xb@8;3;QPpA z;qDuH;$K9=b2rxh!ajxQcOOxIw_ zhKHZm_}l<{zP-xbw^#QtH2U0n!Ubl}oQ3aTnj%^q=c~{o(iT!x%)n7MQkHZ)q4okr zqUg@(kTVw6dIB9K`z|7GDN%#Ho;Y&l@r(`p@k$4>%?NDb=-iKZctII0PCMREnsWj} z{8;hOl|o%yBSm8G!etNEVeJyTr%;f=eq|-)vK1wg9bV zs(?l=zRPyL)vF9mqs$iX3r3`!C-~lzLEn#2qLrmB>CB_6xF(SaeZGb_wF|izH{x~zWwb=Qmie*!p8Z+cWivRVVZGw; zVxnxPhH0!uX0vyUaCWe9#tIW-l_II~l`mhW9-89jZDT2C!>RcUZ)isci3?C(z_6Gh z+?9rSyXw7l^1IO*sIZD&4^oFT>q`o9wT z)pTS)#+{X>i{68TsdlP04PRM6;x1(rX9TJu>qY01Lmx_bEq)tb5jM zj{a*Q=`huhzpvli2IEaA4^9h^BFdC=EdYm{5rGq+D3^jx0L-;MG#t0TQ$^5U#euS4 zP975eL!OQhV8mATnH9~7-s9J2=>q%%x=x&#JhP4EJ-x>Fi?;LD6?WvipQAl@W_;&r zAS1Q$U7410sl|qLSSyvz8ku&_hBpvvg`)H)nT2beEA{|oks~itME5@U683PG9qsVW zwxa>wo$I?jxg#s!ICa#7#Y{Zsik}PRGsx8jwfKp)DVkTjh^?PXvK=c1v#DA9FS{6> zBfz^wN;+msbgR~B7p#0k#+ocE=NdSglpp^vALc}MGcBAC=oL9d-&~pDr|Nyo`#p3c z=bED?QS)pI23my#qg$DsUNZX%d`#@4VhTqlsvaO*$kbXSNX~*6mOF?41~idJBN9%Z zjwcqBE!(CGWs0jr8DhtGB$vtSiIR0W9zEq2RG?}YlG{0&*i&51nKziS!y2|DxT9PU z$&zzw+d?3eW-ds(T14fObmPGWt0Zgwj+UpQ6`v%$qO)@ddv1~*yEP>wxVJ8H`}-?c z20+%0x1=gYSoe^jq-B3Q*1=gqFZFoRSBR^mN6U;VmIGIvrwK0O+v($#&<5W}`ku;qk) zp5@-vIdlN{D;8sFMV5Fqun29`m*vhezMc*ry^`49$#UnG+0r5e?e|7_vf$>GO5k9K0xM^UremAVNX!Or zeutk@g>M8Fl!c#wD*Th{-0MW?>s;$3Ku7@jzI&{kA@hK}C1(CJU}oN_W?J&=4g};K zm|psb>9$*zH>m9>2Uz66#q-Jp4q{=@Bo3ozDsv3J*AE%_C-vtI(&yep*jjVP%7JLk zpPvPZyaB?X^i&WM3rnbsp(YzFN#1GAHXPj+NM3MwFYx2KuSFmrN5Y7IMCz8c^PdD1 z#Em^NcSzsALIp56VEVE(ojUtAU|!0;A@3M;SrYawBqodB(-`@d)$CiY_?=v@owFm( zWhsmz=_Lpe1^J$ozl84{t@A=9Ig+j|#VuGltMK|%bU7@E(|Y;H3d4SdI>If59s349 zoJ%<|{Tn{oM2IA=-|f`%yuR0=>-q?B)8+Mjfu-xY0s9eFu}$^S^EzPCBSNQrtTlAwtpin3tJ?u6e(xf;J{M!weQjy&Afq@& zASzF#V#V!`Bjvpn>wQ`9ePSE9FWWsUmv`=atl8Ve(E(@B{=FoIEcHjUtUvR3SvTPe ze;XtCkeCvSDT{L;^+ILLlS*pL;HPX?9gB1Hq&t9N*2p3f;0W(5Bcftv-JdHe2sB$5 zVQ%|!@bQO6ck0jqxzLo5jo}+|F1N8c6RU7&tT1xZCnYoxs zjpGWz36yw|4-y9V_sxopY-;`d`|Tvd!}cOG>ocw75z8%olR5HO>$7FWd+?z5P@%@- z{!R&@FxrPv+-|pQg?|!x{u*q4t!31giWSMvJ(t#@&-`-5L0dW^|Nq-G&)p@yfFQ4^ z;~w~PKn4JFiAY_P@?c%`GamMEhrZ?Yh1>r8|IW()yFs8?uom}t+ykyjl95=|hcUm^ zx`y3ZKr`T;dM2UAl<@&{VBJrQQqX41{8HT_8khMW`F~NesvQyZ#uE^#4@;U~A0lV0md-2D3~Ih!WJZnyw9#!HU-2B&X^d8T5GDR_SK2~(?@&zO|+BKt|neN z0HNdhs_|0MeiH*?+~I|SZk6^iF=db@%e6n%X2z`+QtCA_IsAw>;`Q@p_Z2TpT1M(Q zYoOjwpy9|#0rDbPu6&v2v)tD$ThL~{>LU_GL}0@5Asq1fQ;XxbtH;}>!Va(r!~~5a#G#w}c|l3)0Bqa-8tdjjQMxvWGOd$pQR6^) zc-C~WZ8{<-ta_do{ckkZ!2Q#9!=R~Kwa=WW?y#n1ID)L>@=_fIMF%We$j2PgZ-)cG zZsR}YCVZ{)J>vyQjZ@T!<3qg)_sj%VH3VB>=K(xp#Fjp??$T-(Y&o}SfEM~B*B3^% zkq6&HuGh5RyHUWXBHI{Etym=MLO_yWX{QuDFGd$I{XE|ErmNiHU!2bXQ+0$)-CjwA zGQ_`y^O_SvM$=@k(|62dcR+|FBEV>)xc!`a{YpXeC+be&|xp68zA6X^0w zzCZK$a9wl?SNRh~UfbQ#pMM)Qgqifml{M(3B>U~_6Mi6--Q1Fd^4?*Mciu8a{D^QA zTZk;LEG$V(pfT~=Olfr=sY=wF5;Q6|gRRZ= z`Hiep8_A-h{Ud{0mnw@4tYUH^;mkGpwaYZSM;BEcmeA+a!vx%(SUOT5FqVrerp5Ql z+ZK9FahmT{D7d$uw$7WcUC6&8YwS0B<@+A2qaH%7Vd~`$HIgy;&e!S1wpq^CSC#vm z44UIM^*>>7uYfL#;>xjc7K*Ii_R;$hS^&3X@SULP3+} zJS~PF8SJHMNS$j+)xu%N8B7~V8EE6RUh7wp6f<$o85CMSOJWAMm^{cFfvEr|2rU#o zYGX$;-Dr9E;$VhU{u5&7y=Ak_`=4?9QsBZWtwvAD8a&Rc6|Ry zLbc4=I0w5)0cA#rdfc<6HXOrDwmyIuh)DR@?;tD|$A%@@=dS+aa=dIVYg$~ z{1pv@m$%tK3E;>s89SwfGirmJdYrm*`o->hsnJu-ZULxOh5vSa#_T;#<@<6XmJO6c z_}N}Av;~HSt<@n4{fg-R^aj16uH_oHMcT6Cm<~L5_&uEaNBjwk8s-=9j>VLgDHRE< z?+u4;8eeoPZ%svcAzFM$zpaT|qbj4h>cMDmK0&5ti1? z-&CF_FkPEPxr)Mt9FF`)2Fh}KoFu;7&^0c>%K7som#1O^U?<(aG4tQ& zs-(d;!-SttRf$~YL@Xyz(*ceCVsd;wj&IyZZUvu^FDJ!ROj8+qI0e^Dv%itO3sd7x0Z3Sy z0#rNhNA?SIBP|v@=|%C}_u-6Jh6W~F%lx*kK0Ih1J(c&j825REK_3 znKdE=GJ*hLS`0NJ67cpK>e`%RQHM zEttmN@ZEUz+`=PEnoIBJ3qv2b=2&1~_`OKOLQ>JI{8IR7oP{&f>Sa%z9 z5+?v|Y`@?hXq-KimLg%0U$Ajv<5)!DfK$?Wo8wq)5SoDqJ6_X{3uNIVGt+!d@GJP| zOR3)4WteOcUNttkyG-jTs`A?s`+3i~+%4ibgQ!r_!;#e(fz(#MU#An=PP4;sq)2A= z?=Cx;4M00E7;@HniYL7>`mn_i*R;7Ep5=McBl6lXm?kxsavMqM-#?B={#L!rSjYHRdf9oW@MurS0SsXBwG zqkmB5XfC7-C8=72*U>kUep~4mWqc%U9|_a@Tn;MU=Tt?%BTV-iBD|O;>#=6*%ELP! z@rgRcetIGJ51fFhzevTZ!F*$ad%Zh5(eIn06&PN#97@4B^#gk9Bhwi z>!7j#0sg?qQ!(2z1=@m$7-D1K{jv8yl&IR1qR zX-3B@;%M5UtQ2+5UZSy&`6&LU@Q`1bFb*-AbZY_~a}1CKF`hNmhN2%;H+h|)14b-E z1R0ss=ZDkRA|V>r`EQH^~za;qoS{bnG>SmT9tv|tZ=j*XwnHj4E06_S-t z{DmQput<{>@sSinG1rdM_jei#M~Bq9!P|`*PmR;pY8Apj!Bg6mPIR5mPo206;yq#P zXbYx9(2A7`>plIB-#lmiUd4L;rH({UtdtLKKwajpQO$l;?mZC!b9$^vN4_a|Em7h0 zcl=#A0}zH7f_?VYk&CsQp+fa5`tqACFW8v7j^-{%docTFw7&r@U*R(#EF>rpb78ne z{J{3VAa%^%AT@v0% z7jN-EcR<x3{#Igg9l4UYKv^bBV%t@VS3G3;(+)BCl zk0Og3W$v(D3LU2t}>JhlNqOu&4 zY_jwgmEVR7Jjc)dj^_Km6@sP9-%zFtZzI?ApD-84Jny`$SOk=o9k0^9{(?YgyQJ$R zWN%Kq?dFN;76yyh)j5gE=gTA#un&aOyalD-HU|AJOwRtftz=NreE9mU&h>NpS=zp1 z_%B_RQ;?qPCxs}8a3BIB5irNLeLy9?4YX0nKIX1c%%&t`XjDxFdhbp}WcLG$f|5a! z*18+bcIcHYD#d$358hj+TGfS{x941u@#_4J6lbpSk+dhr8w~l+Lg}A!6Y?ujZEIkn z(SrbURAIr4>?p6KprXjD{k%)Kf25ZJcVJ@5D@%8b+KUfq7SoSt2d5ydVI=PG{~1y5 zz)L_IIMVKZzhT~IkJ3rb-hmtHkSYO*2p|Si@I?K`V6&QPXIH1T3jrQT@*>41fS6z* z^Fhp6X{eHpktmloE4`s-IN9VkI?9^cI|O?6*^d7K3o2|~gKTN~%e}k9|66Xd8W*tD z{x4D|YixNBgid}(z_8?hwi7)!Omn-)FM+1E>xwU2ax5<8j~lv`&aR(lL!Ga0mxm7% zt}p#8JJ-O~&PbOdu-Z)Z|0<7F@uMZYy2H>bl`5=vR}eH_`(SpzxCdG@P1e2N%Vjch zpZ+1YMA(;R(oV^gPp2lcB|qrAE!z3)y`7l&3Sgt|F^8ywjL+TQqY31a(UYlRTqd$# zEQHV|j2bLF3EW_fy3(N1wNaZ9R8u*xJ4xH}=)if-O@TyHF)PmHY2ctqZ5Vn@EN*Qvo@Tiq*vl&@bK z{XBN47u85di)TJqi7f~CR_Jb=t@SQY`q49BS8fI^9ttr;;0kesu}CPKa=((M64!JX zWSjSXwLlF^W?E2`m>HkPYnt|K?u-4kq4>yYS{bFePbwEy*8r+60_{xM- z;?Q#PxP}mwy$i^YJ^xhmeZQ*pvs2d-X=;CRQ7((%~b@#&fj6*|>av;JmEnA{{-4I1#BlNe@Mz zJT*e568t48gIFmCI#kdPBvJBq@&Q3KO*u4I_YMPq@>Q}?|JyNEE=LO0DB%It!W-KG zHi-)+Lx*k-3a1e&cILyy-m|n=5vw_iIf))ZW&c6XK0Lq;%#8cIc{clI_3MG{d(#B# zxxNl(m|~b%3@K~yd=TP_L^sM(Xa!HzPKm*Cb0y7DJ(~=GPqD`13|r>6rfl%)H1!}F z&3EDY@kPw*2wujG$*BT&Qt#d@u>2jkFs}rAT!&K(3&WT`2QgU)p(LZF5`n{*LFF1W z43q-mtWbxyTK`1Wi`FL+|tG_qUcWx2Kkv zHM##RYbphtdQ0}m7b4*(%3wTBXOfK>*7~yLrl~{Y)gMt;*!wV6x-6R$i6ReKi7=Mq z^?f`hW|B==`hdnDXk_cw)1y`D%`+vmmcK5WdOF2L(UXB8euqVw7fPi?z^tjtpBT}q zS2r_2;91#R@cdLwJ^o8vcqp&Jq9%=v%I0Y$n=CPP98z~f$W64^}saidbaOn6xk^p*RWN> zSY~fL|Do{#+=PXw=3->Xspmi#?cbwK$MaD~`<=S@{k(h+K%#r^&@20_&M!k!0bkYQ z!t2ASoVCjaRT$9^I&8>|yJQfu139yA**0FrJHzk}gL9p-gyQx^zHrKVB;p6el&G&k z!qgN`0&j56#9MBIyL}*R$bqpLdN$;7W4WG!LM(=B?%Jh(bga8HjG({|zvg)(fjep} z#(ShGfDuoO>D@J6^KJ!cIx8VN&nZ=5=58b(Wai5y$&whSzy|BpxRay3n3lbBU`y9O zQx25RF~VUX)9Da{mc?GTTbj~dO?Q{oM(Hly{P^(QfAl(4VY(phu;q^jJouF9EO%f& z)t!%F1W=eZ0}YhOW|**XfRm?5tw0@9kPYK$Ma`ER#o!J1WkUe7E!v+RSqg>Y4d>;q z1h8yduyd9`SfB;vEI;E_QkV|UTXH`pD1qMB5;R8+GvYt&4?mav_R5t4>R`a5kFoDQ z2Gc*P;}ZZu!sg46n*CGf0&8QGbLSPDhdEt-f-47*sULWJ@V=9O29)~VYY{ya#8_|2 zYI;9@31$j6XiY9fe)JZvo5p&OXzoIZaeSQ4=XHoGm7W$LP4RMiI-WiSwL|DYRqG!W zy0Nn#{N*XJQPewjs`7qBAs|#LcpYGOex7eNq3R0&&AmVekJX>y#-XsL>NB9OvU;1_ zk9vCwuLqjk)-_0Wu$JgA&_xUSE@Aaot*9>z2yrowu#!aU6qmW2)g`ZS`8MB04@j(3;Lk-!8G*)Xcqeg{0xFuA8y6QX zWn@K+Ypnx~6*ATM?N%%3w)UjFS1ZO%=XV4s`AATIM8lI-91vH2X40d=BbvxU{ets#1*1k9MeWdojFUMHRDfWuel;Gbm!M-;!cRJJvzBFR5u%persbdlv$9D(0GZ=C(h z$Si*{EJglyeln-mTQCqf3Lnf(UWlCR3A;jlQZnU6=I3Gg&bq1hU=0m&Y z=_BL20Rbn4-Z+>mg!T}b28ipyMMSLx;RaT~$)JQClF;_{pS*zrwYWw3==(H1e4Buu%DR#dzmSycz90*KVs44ewTB`!kN0sxUexu7Mh2bc!a zD&)n}fL%gdxu_Swa$s?buTgXf3)Y3}g}PdBOx}0Mw1yJ)seoX6Rh<7PetChJ(lr)nF%tjHnR>gd(0(E|ZHIE86`4 zxlsR=G4^Z5XbE9nQ@MUKGC!JNua*1A`*DsH+%ZfazA6yeb^&Wg8nlINXxuUJcPHIX zZVs#<4hw3v63R3W=+Z~>I;bb~F}CcuBQcnTfhi|VX{)fhCc!Y-4bugzLCxJS425)2RJU+f4_dNQjecTCC7{x zJ}y%I7>@pr-0TGMy)U}>%#EuI_M?a)%4ZP=c8GN)?J*tr+bc9ZuwNg@#W(-T*&GNb z_&iq~_Eaf-5oOD{mOVaSE7&lBew0@_FJ~e8%J)C)^{0RtMnT{FzzJUUhJYe0SdU=X z&_1u+#gX8}HHmuDU}7D|2Q%>XFo(`7Z6IqC8)EYV}$xUQTiK)!~^{IAsr*1rW`0Afk*tE z%8$q~pJzt-4^bioWv}ZU$Oh%3uJPp_9b?LM@7U*PS3&m}IYr`F8>o=R2K1`_TzSVu za6NfGxMS!k-TAJ``Y1kq>NjAd50M5y=mzp;Lgl- zWcuAuDTFbTEGhnq{Tsjs86nt`3?pJL><6`B}f0Sq$oX37*>%F}{!)Dg|nyE5> zny0I?V(Zpgq#U*j0fC*ClOg40&K;0LNra1rFp+sqaQN|=U7SYol$B;2fl_*3zx?(` zeTU3hiZ;uNcj%hbxYW3hdOV<8rOP3`9JDawy!HdbNRe}@LV?g=e6rP&#C9XAxf*Je zkYwy^pjE6hYSqcgt2oEgj?kT0z(Q=urv6Fe@nh`bY3dSbAp@yzP|A9(|Aoy4M9#%+ zhw>Aq$aDlXDI51!;53N*H2}wGgPE?cw1m2(vE8gW&Fj>WU-}pXu0q*Ee!1ZVqJZ;A8d z>Sucxt8|U zOE3YFd0rI{KWoIpxiqbRS)8D=;S4*!qw{;%{aWt@SnSR)`r6Dx4c47}5?5p2q2IlV zG6Kdsy;Yos5~VSS3)BpKia^&Z-al~57LMPS%KzeH4U#bO-w5EIsFXt~JJ@#d4*XLj zQrnWdAd74h)`O0gBCuVa>0bmcDtF7FB=d6bI8L}ACby(5gvo``C=BN1>;3jReJd*F ziE9_MN0mwvhtxx?@XgV`3WpQNO3F2CvoaD;j1Ud%=LdE)NTjb@HmpGxb4tiV=E)AryL9M)4P>p1BPP)OY zpQ*scKYfZcDW(2$@D*!0?X{wZ>Emb}OzQ_*MF*c&L9L9$v2 zpKm5SG)+jf^KRDrPwx#<0N5Yw#PyD>^`ax>lHJstNTmZT{Z)2M{u%L#x)6vWFwHmU z7Co@f#ZrO+%wko?zH`T+18Qo;fc$K$>%YKA!x{3jNqy~39`S);n9+!)3tICs_(Np{ zFl$7aPF=8r_xZ}n_Pm~dMP2|2OtmhW%!v>e+S6X9mA?>g3`E-vk2(!h1Gi*i z2Rh2$c7G9XOmryHyupTTEZ`4B4;6HuL1TbRjnOG!Zk*i3G*-t?WhMX0B{#|4QY&BQ zOs5@&|Ef4zb>cXqYblH|gI_Q*w>M;P&y=@Xf=UMP6%q(6fr3UGd%?sF&nJ-i?roz>>+71aI(F7r8`a2;N-8BX{4kk;3h@ls=I9!R%1 zXXFqA9(fU$jSW_YwMx*EECWn=6Y!TcF`|x>bsT|_*(j7*sF_oSSaW%-WjE6vx2D%7 zwRr)dCa@Hm0cIc4IznU>yoQTFqaYD_!%*)1xbS@| z>~;H-Kq-l;O$`JzK^hllHZRI(zBgbs6KA$-u|I#=!jiR)aXm{=E(Ol zo%IcM1dHCJ={x{ugQ1c3Rc>K`jYxn`%Q%9V0-5wj!;Kk>PJ?oLi zfMeMdoTt{x7+5t|+S>a{eo(g#*{_Jj6^gBq54? z0jA%N{{k3z`FuHyHd5k>02r7G5CH?4-d}Y@0V+$(OU{HYA=Er>x-MjmIDq3Q93!<) zzf~Ajy^#pr1zFi>03)=yerq(}LrCYg4q_r8Y$xAV$Rr(6Mg)K;kLfl>6ILI#r+`&d z=p<}o7Ow;rhzd9HPX-rJ=qv)pwD;*78*fq;kD=mMVTKUPi;xddB3Ibooej*nP|V?y z=$PcH;&xM9;akxW3Ha1AZ+hPFUO~R)*#8P7I&||Jlp(89@tEQvA;f{Sm2Z-ab7+EYSLKa#d zA8UIMBJc_pQbV!Fv&=`|_>_EomCf$8%V3jwbD)50|Ws zmvx>f(FwxW2PW@wW)n?j(YjsuqS0lCMlVZxMNb{#1FD~-Q)6Q-S(TRP3Z=KQz*?t8 zEF2IYp`C?b^6)DN3B&|c(<{yNYUwXJ)2BWpRuCH9oKm#1aW@f7d2O3kAM8Oy86I{v zpv#-GL~4W4MTk?SnM{Ix-$p_h=mpJr+nEW=Z0Te%K7gajGh2Mn9?rQW-P#Rg@Oh}z z5_g2P8aE#V|NCosMAlfy(kUv(E6d`+HiY%Y`@m}UzJ0_^&{@#xU+n~-owld{dRzE& zk@|yLD2Z5|f2bk~Y$%cu`u$K6u&62FjX?-NT`X4-%AT}X&On*P6vtfv1tYSsTm*?4kO7YjBoI`z*_6Ep>zv-aC@ zYjq3k`=Fc41VQbJ(=k3KDhO%+lEH%1$ZPKe&gnIL{ubBy7f69_g?VJKzHT3gRD@&S zZwrk8uE|Qc;>lv9`AGEF33U)6g6;R}WTUT=Mr54a!NsO?_b;JHT~%QeaY@d!rDfa@ z>B#Fq1noozxDnw+o*9_60^uj28mgWc$J=>bD5h*M=WU)4#fvh|f&ekjV+%2o5 z9djjo`>x`2o!4w$rNd>b*M&o%k1ih;1)pi7u%g#%dZS!@08R9(_eU*f*|4eJPZhrx zKd)KyqU#|a!lZL}$x5Op!L2ZHo&N*bKqkLIV;(gcNwx=~g}85l=1_3}LNK}a4>4xL zID7ALq+W>o5UDE+B7TgdCv6irH7D-FFp!#L&+K}UEW~|40JiyrDpE%y4-7wJ`sKm~ ziTcq;?Yf%Mdl0FI7jT<6F^|yw?;NSW@qL=OZ-!3X9dyEU%7`a5o9Wvz)1UI~@A=B_ z3+RO6SUjO_s1~px2^)t*C=^%OcQJY zel*iAxZje4oy9>!p)F*P;kOHd1D9w;dirZr>N37hp%X=L3Cx5ENFVt{Z({+f+6j>WN`%%qn1w%EId z0QW|sWiFooYl3On%-{3#kc^&8jY4c|fytE4D8%<+6yk(LId!|gB4?Tb0wJFMJ+J%q z9~f(Rv8km<41$J6HB+Rs7-`rR+N?{%%fi^_LNFy39_}%pPgK}pyT)7#Dx46^8AOn8 zYcKv5A&CMZLNI3#!Kkgx>wEN>t5OWXoI&i?ECn*l33#|F#SqLHMC1>tnSj|{drdF| za|RJ(s&$y)7AMim3+4d*dOWxSg1fzFslYfe_GgJ5wzo);+NRIlA0=LyPt!&W;+Vpjj}&7muK;XYgI4pkJRQl?JX-*U^8Dj(u-%c z=Sx3KDo{#AKMIt4HtVMXWqP@h*-YO~Z@ssdZbZ@cGM7{J__XC)cb8bNVI?UXrWRYyIrY*lN$ zrV?bFXsqva#&+PpdsIIb53qb_l_I}5Um@x$L!E=V)^I<~6g4_UCbTo9`zUekWa;Ng?($D7@c_uR}ZN0`bslkcKFn6C=(c6l30|Qpiv;XDMVMDM$+SCWl|6 zwv*m*Xh2+DHi<3asR5#aYk95l4)!>os}kod(cNW-SX%dcH45qC(PdN zU}G#oFq>u!4rkSef;*$n3Bhcd30gN$127YfA46)dhu>xiD5MlhRZj2~29G!TZ{ zP>wisZJL)d0v<~OL*M!jRfvVilRO2GTrT@YJcBg0-JS>9kk=9!*5?9)}V@X!IE*` zVvw??IYN+^+yxDF#!jFOk}Y*75LKiLTAw7eGjwTtXsSpTEF+vjO>j8Xjsp@EokOq# z@~u5IRiv+YSgbhBm7PFKNu=k?BP!A*w~}e6c*-s&lpR6M z;$kk_RCabTw_Qi#d=Z4w%T6A&V$R}Mh+);;aeXQmGsvhm2N?+(zk5_G7Hw92Q0*7J z+pZ0>qSJrN;9mQeKB1F-F`V#`?*?L>QxV(ek%A1J#w+PXF6eIDvPhA zRFTbJWqEbrnP!1nW0mW(VarDdBg2-jFlwgq4kno@wIuc6QMDk=uoV?Ni{>lrMtsT! zuUN?VT0TvYiCr3-?%>q4y#*kWn{!gD+W9m|o&z3;=SV*@_h_1F$y`=PZCuuL$kxh;?->wtj9 z3{-P#@7q(x+l?enviiy1H}k)6s);1Q@WbXDv-gb*13UF8xUf+TcAb%G$lf;+HO$%2 z`v#X%vts3aBNcJLl6V;o>Qm>Fth{f_b8yXF<9)-U&k0`45Ft>Kl6%V-#c7IXWt+$< zBVi+w=#c1De)H|w@4<^AKON12JzP$8e|+c%*inp{B^^EAAdJ`~4HwQ6JdEvims+Nu z=iz{Cy&j!BTlG^UIFcfXdxYl=w`jAF*2^e}AWCp_xMEe&NRsccpbOydgTK|#}=rJNT ze6yrbthBy#=OwEl+o5Lxr~v>c9q`#3ExLTMj9e|#7cwCDIkFZs~MLE0PoL~e9+Hnx#9Piv;f_&3k zBe$rv({nNVW{TNA{D1t3XMQ{~jf%}b-tw?UPXmlkAoUyGWo1}HZ^ULRRPkAm#?-O@ zNX=$qezM=aLp4QV8){#+6|m(_mac^18X z3-NVa5}k=0tVY&nj^=9CWFFWhqu~Mt^7;~uhJmAS!h$-30*tap+a3Dm+xEl&?R+gEt+iL&} z+j@A$I0Z0+GDsa8r(mEibTWdoxwUTDtRs|W*eilP*CU&Cc)tmg4rCG}CUD2h4PvZJntB`99}F1Gkf1ZnDjE!6Q&7z5>+6 zXIC5Tsh`dC{f)k--J;n{-;SC7fUo?o{?9iB;eY~$ywYIsA>_qDx2-tIm4t#B2*}sC z2s$w!lJ7mRB42P9tVS@jFhIWgE{Fpw?;R-jQ0-vy(7uA_hu$FHUR>)Z`G-fi-G!Z<{x7 zz~r%fnF3QOSLVP}a<7r8ZP{}Xr&e)YuNAAY5Kmf8U}Avrh5AdZJu9|_srM&lLsyChNiHowqR2+4JfCBwXfR|2 z@c<5uQE;tbRx~@5)>IHFMy>0`IWivba|GoI2ih}cK?u|_8Lj%rmT5t zB)24WToNlDpUEvHO_z)*5(7iIrKIVSF-4*`l^ccMe2*~s4w+5dqYm8#?UffIPhS(1 z2<#T3BqnWCS83F~&?sn>6q6}*JMitg9feZeJ_d8wZ*M8)(#1h}U?2Jw*4wC+HngbZ z0;tC2N%LxsNZMK_bZ~`mK{2!rVYnSC9HmRp1B3-6nZY@=&NX^-vjxR~P1GNg1%*I$ zeA$lUqdiIpS|48#g&NuX8HG(v~F1Q1? z56ll%#B@7t-mtF_S=9wl$t^+G%E*LaN z15|L-zAhLvM*~c7)V@XV6cHS?uM65_Q9-+k0t_5y3$;D4QJ8|N__LsBUtbcXB*vwb zTuF_rlB9d;lEJaGJ@nzG8EP9OOBhC?F{CX5Lsmm=3(3;5&)X#0*N?6bJkN89Za<05 ze9ckqTeNKiX%Uq5Q-3Gau4=j*li9yKZ*bK6%D99ZDYzAYD4|X;?;(N`gw>~E|1HFo zrJpJak{~*VZ4Jb;3HuP8gOV;qK@#TV{hP3-LClhYsme=^A!cPrdi-F-O=nTq-H!gX zr}wt>@3iHI^zYBT;j{nd;X_JZ5IkwXJGuVVEhNB}3p$JlW|unoZV%Wz1s8b5B}~<= zhxN`uOP(lze~*cDof> zGm&8cm$D{)LXi5m9NNM82Q*E$g4Dk**e$m#yI@5OwAKVstVr+I1-HNxs30P__E(Gk zbxFhq98}Gok!7b%5wWCrzb=WPt_%-&@TH7y%2!gnUzY@R9j_4J{@`lmY3}^QT>rWx zQh3Z?A|txikRv1~X;oE~k1tbC*wy39W#9;~<@v71L!}j8z*Q>b;qUe;Fhz0W`wIKV z?IWWEeQD?QEZZh;+gC_*rRA@7$A=+B9f&)m-ey;>{+E|IJ=+)EYRzB>Z%c|h&ZOzJqAP-{hW0^K}fx+;3QQ4H9J+uirV zsqWtQaPO^~=3xSbkO;vlN<&NvV&zdv=rSFP6p$#aXF;|x)LK5m$P2Z)-a@T z{=3<_xMmP2$CvFm{(3!IuhRbO#cW+%GYHiExt;dk&equ%U9V>Aa{cS>`ei%qkMl)@ z($0UqnXO9;>sl0Hy5PYNmQM%u0-SA2u53z-ZvvF%;6Tfxa#8T@+ zO3pvTVw+q)COh%<2PMmnFsNBcS1EqX^typeFd@ak(?6WD zdX{5t`Imq2liu=8(Jrg$Z1*8sxefU|*d;Plmxo!zQM5UZZfB&#%%Mi<#gnnYp7H~XF%}}QymMpbpupF`y z3(3X7sEU&$-*sOTW2nI}h6@MBBr?ABdP;2>*3fCe7RJVy*37pDR71+PkG7o?wPpD3 zI8PiM@FsO`(wg}O?dG^KA_)}0;M(&Y+JZYx+zVEBYaRFuvwePbm%gI^Cq8G`ZBOl9 zfzjSY{9hGyyB%4ItIc(?ZnKlD&-8M8&BfL4-3@Zc;oZt7iXL-u9};eZWKq+-49lTR z!*&kR0$tw;T+b8bUmD*~_D@Yk-+LFxD->fP)-)OW&bmTjgXuY4FpT$6??g0woWGzF zVpD$}w=QfXhAVJ>9@pNBP}5>5h6Z3U`|4L-38_mp_fpOM=%DX;>nHxqzx7)9BAOU; zU&PX60HblD4AU?>Zxyw#%olM%%a{mthuN?Yq_K0sn3IDzT@7dm(id^TC}pg$4=gPL zf|aMzXzE-L0A&Fh+@qcQLA?oE7BKnK{T9bN(s}hk8(5bF@#Jr zN*F*hAf=!$;!9#;x3 zfnr-d3_Bc?BKiItWfzp(u%G7VlQSgIhk4b+XcQL@2nqGbxkAq*cMXV6Mkpp5+f4CMG2jugf1qN%#E8_3lqzxjc!q$Hxhv-rx2P`$UEpN511J0U zU;NaMy$U`Jo#*OsvNm=ad|OCVBk{jv)rzm850kcnnh)^a0U$1dAhc4(h7hC!(pCgE z3^p6cCe+sHfaDcv;9L+4wj0T3@8AU~04r9M{F@ybjXYp1gv|ui)|RP@ydoWtwj!7} zgl89yicGf4g497FNHfh8D#*F|tCn1)9U3}}6a5I<aEpv=-D%5*Y(}a#&KXzn-KP z)J$>@<`PLA>|wa;!B3j&7D%J?<)*rY&1!m=_J!fQPtF@PgMAE1(1qyjfIJ)j@1`=b zA6H5m z-n(g~{jHzzBfshM1IeP9GLQT%Z-!P{30PT$09pc;C6@x2fOJ8_bfDt`oSc28Ij6Z( zhJW9+5p^AIEyIrmk5(aCf*(bQzUMaQxFf&61m>W-7#d!`7}g%d_5uHA*ckf?;cu=& zVAwCGG66gQvaP;cA%@qN1i%MGAoLFAKBP95tW^k6eUw}PQ{A0q?Qm-q10VwW5|L=t zcJx*;SOLpY);wv<)qp<&KD$}e`oRUfRqN51F(-7z`l%GfVtX;U?+XlK?nh6M&JWWY zpL`FSS?GixTKRxrU?4;%=c)sK*3Vi^n_hnGnGP#IMOzK z6i++-H|@m%{NwnG0|EDeM_(MkOZ>&*$0z!>@T0vrSfGD#_@^T;4!-VR9R8`T*YGXz z;t=F=!x!g*XbIo;f@pceAzEB9To5gf0$0-`T7Kz;PyN;3`-u$|t-o3COWOD)MYQye zO;Y4YcYrux8lr{#F0{2Ud;N+@jX#$VEe;3u`&wyPGPl71f0BJkm@SYB&?thpy+3sJ zCE*4Ds!IC>+t>>xGy5Ew&>+Ya;dOWBtMes6R4$V6jC+Gzd`V=il>7l#YxSnM?&3?5 z`aqyO?8Z6MLrm^hOZYr`;#C=fH{D-L6&m-)MyS*VzM|M6_tyMhUjQJG_Ho##FQ(29 zzYRL{>pVSQo&S!>#NQ}!3<3ggFFn*V5ChTQB1{YOMf%&Dy%Qq~5x-D6U31KpNhaVI zvWm#(AJFCAi<07--R+ri@k4UHUJty|^kB~nyUaD0Ll{zevS(YwMv_8Beh^MljSZ!^ z;zzfZC;D(WSL3f0q0sTwRd5~b(kTrqY>H%_shxYSq zfPVnNI#2hcx5vjpo-?>gUZ`v@0sdw$0UWLVCBT;>F9H5q{l4p80*Lxcz>kBMK>a;C zxhHq=5*+jKgbFVe?F46(fl!h}4-hIC@sVXnI{=7O%9igGmhJEnyZ%KUl2V#}o}zr0j@5vA}26Dy4nZA=3hI z2HwRUqeX?>R$P(&j6M|@9Mz|a6{%@l@MudswTcTOH%r$V&Dns5^;kD5Zx`I{$r^$P zU;_ZUx=C?pdR|Qsz%+PC5Nza;A;_4*1(7ynLXLgm=x!6o%LPw5H0m^4@=7;4US#n| z^qV{2g=`L0WTwV3+!W0Jg^ND+iIS;)+oyF=zs1{#U$CK!w4R#`u6C1#!G%i>Cdjk{ zlx#6r+QV3O$Oel{jJdB1>Ez=r=aTUa3kO%bK+W00UK}O`I;G?D5sCfbfG={J64Jyc zpz6>zX@7}0V>$hK+`>M@CUD_uLpK-_DXzIcgb%URzTHki?9hPu<9%*zw;14C2Lw@P zef|Ia2S58mkq6P9NY`1`A&FWMyPn~bA_;D)D7r2gGPAsUjmHw49r)ILs- zEO~eM0`uECLGhMM5Z$Q6r~pTUuA;u-Q~YofjPCF?=g!b0k3);Y>4$FJ;V9Sjg49`l zF=&Lxpghr8TZ!y)|0*9y7u;g>o){gvl2J>#BafdL1v3|)dODG3oj&&?XI0iW^1M)|+;71aiDZ;r=kM0pd-n&}yz zp{B)2oLqBdb?~^N+8~aKn!Y#lg>;&gHIoXOrKWQqh?&+*I%pP6=ST?GH*DH_gLFpr zO0e0#H^_pd_Xb;&^DFXPW5xX5aP!1_1CjjRa5KF(IDzusaQm7L^LR(bKG}P7EZfp| zab0@EyYy0@9^k7U&da4o{L&+S4MLxuXR}blM8Q~a&Rs6qmig?GZ864yn$3Dva$t;H zmjCi!`sq(O%`3QJEfd)mBo4oz-9P!;0PncFXCTY!LMT84rc zz1<1sY|CNAVPA|#P&?}rJrcRZu?5)=$({G*$JIzY?UMMRnd*@Yj#2a^NqTiIc|gHT zJViuW*1_+RC;h7Cvh#!bKwh-%*jL3ZQSaZeNKa6wiv3#A%1L)rI6GACPU(TXiQl%) zaEy4+{NI?%6n~@SF!7W7=`J&{&Z8U<+++Aon&yhcj}-o*I5Hvo@@yw}zV$bM{O3-X z{EJaSRA?9hh`@~s&3TZuQ^~fT+wKStr66VoF$~IrRFN*YTkqJ5OM)^Gm~?_vkuHcH zZAHT%!UDf(U6Cr%1&vdh2xE=^@}cPuyC6Z|l0L>jU+|sO6{#Yd;C#i|d&q)<^NadE zuSgZ?g8RdQ+L?p@cA`tOpr}ZfL;%0hCFhtX+$||8(k0Qx&7_u)R9lO^qW zLw}L^6j`2@_(vY*JO&oY+o%1J+b)Iw6HKh4KjU;XT@~t7ny1fKr}jig=yFYXb74Sv zVdkC1t#UfjT6_l$$kT4or4Q7&H-{fN@Y1ZQ$ z*(b#~1qoOR=0lgr2UD)JW`n5?T7ZfbbL6u@1;nD1#aatcAy^_G1ZQaG;<3RxT$h|| zSd*DMR*`ngnvG^>Fx6B)GqDMt1#)|gaDT#$S!8xC)`$xgrr&%c71eiRu|cO8M^_$` ziNEpK2@h|&Eaz7)I_#puUU=X0Ukj|_m=1g8AAjq+e=L|_^tE^Jj41)o`)|m7N`^Vi z1}Lvyb;KgGjHv{g4GBduuEQ1}IhRmB1d9&CtS-r2z)BA?%SDHQE>4sky2GV6D0^&K zhk?YF?c;EU)@z#<9f!_3tThoK(_ziJAy^zS%#%<@44nFX_PgjXKq?OE1R||8NLCX( zV@JRoH8i)&S%Sf~*WF@gT@q|@+PwiS-_id~*Ndv7Qb}J_jQ-U-Wyk9I*C(EJU-U(| zstlzSpPFj44I@7PdFudIk!`p{G>o?9ne5$m(aUeevEY+p1b{%Y|Y<`6U zu;4(H>ZPaGJ$VYq#Pnt>+x3!(>C++Et{;t`vRx0~gJ;{h6xpta1Aqym$4blL03ff_ zUv;tTC>V20Hw(rbmQ#P9T3X(M30Ec82E+@eqT2Q5RiK4o;49?FF}#Wmh<^H`=i8IK zieW)tQsG0dV$rA6PI?t;%%c{g+g;X3?Ro@XV!GX;^WV;v>mtY+*@xa$Xw8_zR!qVK zb+6QhsmH$`2cz#bkluk*Bk9vRNV62qRius1%ELT$QKfGmMNy?RSLpDe$YXWwljz7R zc_{b*XAMd3do3jE6?r6-n7lzynj6x~Eflit+ikx&At&@~m&5<(&-|`0Uz`fpHo#0H z$^gD+i;>WsCd`WC02&2V>mbGK^?%kIOa=k)Wj+$S`4yLCdmw-NA)I+v$RBiZ>WpEOrV(+D;ct>_!KHl4WKY+D;e5 zw`jdXVsg7$gXV}qM65{L>4NB;g584cWH+?h&Jd*SY=U4(QbCN{RQn!+^qO37x5Cgd z9(p$iM|F2-raE2FxQ)oYIh=bYAyFsEC1GZ}B}F^BBz{0vp9C2vu&;dG5)w5@xGBWVzUFR5Optm=L zbfXJ&JXv@fH>L{gjlFNf=wHBOw+JRfVl=(&h)nS7CfXCmc-tFfLhS`Ok!E95Q-*C# zh=im%K5hB2%F-9^{*%x9NT^$FOmuZ?ZHm)}c5gsEC85gLoNKCEU-9U4Z{7eGjQ;JB z>E2YgE@;$X(mHN98~X}chw9b^qkns3y0^rz-We?o(a=tu?yc%JR;0RZD=yZmmvPkq z1=A#ips3p>xnhGimqbNf)oqf@ZP`dGIT+|5DZ1QVD(cqPM1%$^G@_+enD2z67fGsH zm)u}>qt?`x%Jx+x^X;)xBGz1T@?y7UzCVZM%4SQU?mxKpe1mqoxpL658$*+he(fif zebcSZALbN#W%}`vG?giMFI0I02G`C1so1$msxAi>+Md%B)qw2!MA*(x1>cPA&$BuX z8R$?*iYm(KDtSax_D7=%?(EVNXmCWiAY+zs|w%BHe`aR2-k_uL8SL zhNyIWK0OhfamF($uMIW@&5TDqpTE52X@73V{v8TSnLi?3CEdX1m$>W^zAME(ZTT_9 z{!f3_yMFm^Mh;2`3iQKcNDD1Z?07k)(w*n6i0ZJBC&2%ZEpvRzjA#xQ+|Nn<06`!D zsNwR8qBu;D%!n`*@KJaB!J$QO_=;&9jkS%~bOcxICb$P5HM~izI5Lh#6uSxTmJ6^_ z(3Kq-MQF@idNp9aVo1cWIGZ)zla;_%5WXA3`wD`z=q|Ve z6OP0zNG1u!4Sk7iMXG@&xJN7?D9o1v0n=D@#f1-; zi$l|*H$i{|^&BnD7w0(HR3_WCP_NyIi9t)OrtwIC(HX)icJj7l^xVdK4@V5+d{&wd z1bLjiXmwV?i|!36s^Z1#7noV1?CoJ^eX|8dz!k6mj+-smIhi{b$tZYE=cIUfDTt65 zJ)#DI0GuB+*mHZabur)c2;;}=GWvAdExOpcyXidqe}3h6e&{<-r6n6%B+ZB-zdWO) zw$8S!q)V#vHFzaqaB_k!=e>?*8*|z#u|;ACXt!d{dTrM$)Kw(o=X5V|jyWv}lM3r9 z*+#Yj)^p5hNt?Qg93t=|{Z;3*B+`9qxG{)ahQF0`ABjr+_d#t($!pj-#H%TiH7&We z_8v^);K7TeM6Z@T-DCcUrX|;4*6by7S`vht*2T#IdEJ$(d9gK7Ni%jnP;XYro0)mB z+O)%N-{W%)gZ2B2qqo2Kh&F4KZ2KRbGIc*Cqa!Voy-}82Vs--hR9fG%hzJk4wUj%Q z$0@u9A!-b>sa&>^yMtA}-|_0wq8Z0$?(rO`oH!|QIf8s^I1!YL(i@NP7r(9}-w+M6 zy)#qePpIh#y3JnBbA5yf%hPE2yMJQ&-oCM+@{hdubARGN#36c~eaXmb)eK0KPb90{ z{PqWv?+Bf4(anfxBw)dyYa8tonl$TXQ;@6#DcMUtbh=X@v?a0(A%FE!UUOuAQ7Yf+PTmj*Yx=$y>4vqVF+}FolUdBhAoA zB;hekbQd%x>9OqT_0msWX7VtxHyO}g9fP`po{wq%jw*(bJUr&fRLJv{Oa-??w`%e* zsp@l4%{|Sl%$&)`?`<2m)A5BGCmkPUtJ2-SlovjoKeuClsVl{%7{Y8Sk`{oefE`2@ zLlXW>oX%$}pm^HQlnJ{0*)|p5^I!h97rr2hPzvC6Q(N6i)-8*$yEMu1xpv zzBnXUQ&w$7A5=jgbdPVQDssUXQ~@9~SImfMY9be8%e|n!4j5hAcf#@ka>2ubU~a1G zH9h5ej(k#F&<1}&5Q<9ueftXeH$Egya5YDSK@2w9|9cF!n2IJTc?pqZSuY>^DU_fp zzH6wtat^E0PrBcjU#xo4+weo{T>)m57v$$|QQ4d#6Z=tmNN$LrMhHdbP;r;$`|}!C z1bz}K?)4=QxzvB|ul{3-=FFJkQvbP~`p*l0_6PpYPmY|JQlqu{kJ2MWOtS--j9N6~ zQ1kka32uQZfH4)I2BvRTUP`I|xFAMLh`Ww{9O0+Qyp%Miwmv8`7aG%G{;NSh;?Ojv zO%P}j0h1uvWVBr&NMq`PhXq^$sVJcjNx>u`NMq`PTjbUhRI%P2CD{b`0>N@XUtpM~ zRlW2&@$-l=^(EmDfY%fA1$TP@y}RE>;;tqMUN4s%T#o2UmxNadBsiP{B<{pO$4@!g zK&$aIWJiL*jhgAOtxqNfD^qOiZOQ1R)WTICfh041L3ErKN%fUDiNT|EB_NISV-0(j zPuPGPBblcyKbDsISAO-6|K)FsSVOT2t{xqI^*RFHHV5Wf`0^un%_LI5xpdIBpW{(v zoCL215JT3AXlvkd7EY*aYe1PvlO!`YBj4qEko7*RRz7HmmsCnR zVF;k;6vvnCI6kTbg_sfPkh7`;5{Sfd`tyXs6Z~?5uu1k(A7`Xq(zh4P8fT_1bT)~9 z#2nT3XiBZVF#&foRGCG0W}5EI+be{;8jT zQ^Txj069zm8o2y`5|0AMEYh@?#S>fzE9jG>Yhi_I~XbxMo zpdNNG8Ra@$?#b!lau6wuoprd}eGX-0dCh*@8O9N^Z2-+OOE5WiPoEemmqfc5%T|1# zeda?v17g2i66s`1K(Ej{*RPo#=^5@QJFrF}Uzt+q$!shf;&oGM!gh_m`;1}}ED-UaWtY>JMU;~I`$^~?iC8ccQ=ZW8Q zExzUk-f5rr7azhUaY5`0m&dvrg*5H4#&|*-px7R3j3iu0T3X%1@>mb%CyqVV9Fq7X zui!&|I^lQ`o}HPAT`^CKbJ?R4Cn|Y#ef161yW)bPi>tbT+zy|O1u;Aa(d32dTY+mr zpzhD@*nhQP1ugBrpAq&I)kg@F{bf7tFV)7_e}#%%X_8w>9X&2swqt()OUmi2XL!KV za@!d)O8ImoC{N4be0O<=)ofL&k1L7m$`1K}Yn*R}_pWxcHNKDQhd{Z0*^cvHFK6pa zroKAN*5&#kQ1|C{+8^he2X?O)vvsNduDj~5Y{&j9K2Na;uN+5fF8r#dF!1dSv1023|~g0$c< zh@aqqor>KJd>G(g>ZF9AytNJiYWT1PyxVR?d7n)%@L}+lwL~8)QfK%%20mmRVo3GgLVQ}}30o241yY!`R_T+F?6?3Bb`LStzKc*8KhDZOD-xrmXN&GK^*1E zyk7dY@nNv}ElJts3^fOs91aCQg~QUbUS9BF$-@DZIB9{`8y|LoR@@)7!nDyPO_@5v z9$%$Q^5d&BGsz1dS?B)u$izBM-zKE}m%j8{{@b4jNW0Q0xyxeYN?^1=`-zaw0g0_i z5c|r~lr9KjYc{kjp4-kbEK@v@5Ts3XL3GHFu>$;O01c`q5`r{|E(jFkR%(aagAO{) za6~2*Wf7U_{!tCg@~$ocP@%0%_k|5c+7ThsMP3{$i-GToBEtY}q0_ zWjM&2x~vJ#SF8Y1Py_9JF{0*N(&kJcy|}{wQ`r9^$&kEBE;*@-Aj94K_8wVtw$Wq6iZs(r5KRgORai+EbY4-+v`YfV z!&W_l1?GdfDgt3`k}Ke8C<&HF8E;u26|8_ZNldlE(J8qY^a*C@2LdL}Hiydtjw{Pu z+akD)8Tx_x=K}a3N^a0L-rXJv{`}}5`e5RLSA<6OUb5UE=bVS6F=_bGZ`Ylmp})qJ zP`AWM*RReb*H91Oo|_2Gwcv|1EJ9=rBrgz^ery5)wlYY+Gd+jhwkOt)=^NhwxH6$FIXD156JkwEDhSeFP44ZNX;*%dM@_# zb*U0YYJZjdw- zz2CWAm#Xrmsw_=*%x^ouSu7T9)q{(Dd-0{0UwG|>m%Cr0#EYg}F81wpv2XwNXD$C| z9!Q`$F{Ce<5%m)19_dSIaod5Tg|;IXLuub!FjbW?B)Subb8=anbyY<|tX`~ak=#m?qev8h@jegxb-u&Z#ml)JM_M&wwh| z32Ey*<=Mtkj}erX+$d)6prVHs%n^dpoEzQg@EsHhw{rlWYTtFvjqaB_g<1h+X>*(- zL78)-dl+o(4#xl>4f;Z`|+sKXw1 z8U+6(kMgZbQoTVcsuqbSb6=_uAb3k|o0q;?4|~B$<%#HDh8qz}Njxsl7S2W=t%H zKTtc$CkOEKgT!mNf&zR2I1bIyP=0+7uLem zjwJ0#Ilh;tGPOf>9mS0I3k8fqg~|@O|42KK(*e(AA?!JC(3DjAw}j&*^*?o-FJL7> zzf~NcvyV!GLP<^H{AD{Kq4+wdP5F7efr@?-CKsLGB4tni#jvML>9fXEsA}iLExH)? zyD{v)Z~F)S+5i2dVSoKtOVY%_B{+H+;M+S551<(KHiK$}W26}NV;m#Zu=@zA5sHy& z*pD%c08Zhj3nE*WY&^2fogJi@0sK1k6JD=zc`^iZT7T;uN*j>YeXk(`t%NfpN;%lA^y<4cOcG8mSg~aum zNdZIaY=0TfCDSj)+mH0PMoip1fhT~MMcf^qD3Je)Z^k}R65!|~@%CkEz%5P^Jdci(5~A|UafQ1(*^U5kMr-x z*~rfmnN#F}Z!(A7UaV(NSHHOV=|A;ckk%bt2B{BnVEu~J)lIOG`Utf_y%^EeF1drM77hRaZKPQuUybN$m)vU; zgb)RZ<6I;QHWs~?&$gMpiT(2FOr+#jKO?+>^I`kmF2e_ow~Z6XAI_A z4oH`vJ3kM&yB)m|8o}b%TXfP=G@ttF`Zww6{}&!AyvB^DW%=p2 z5s=AOp>^4Me@?v$N*NWY*q>J99IcIc>d?z+e^`;y83F+$j+55sc4&Q$j3`zMBPdF} z@WjXnAt+AEN%FZ_4VcUujbyjS{I0CVwB`F+5k34Ld+yKv`p*jwU&mv))v#e%q$4AV z3WvjT>+6e%aR4DmO{{myhD*0AU;;4R&qfY1Ny``^kQk` zav?~iDrtu0{b2CW#q5nCn9w3!Bg%T-n)85>v3) z{{YG5T=?tb=qEL&DyK7jY*j$DkP(VcKIH@kKX+ftrXKtCcB;GX0mU6=r&=Dy&^9ny zrJRpK(phw)p4Wg-g{Jf8J9VcAam<9st6Ea4I7 zIQ0?;#&SwN+tedAVJs3)OL~>1iqXDBwnV1~Ios=mWCjx;{u&Vm=g0-mvlRAWnlG)wV^Fp+ zYZQOunI*mvccuMbWO+M8nj*}Osqik50vmFc&Q(PjI-fp#85^d>;-vHW-iMOLkTtmA zX@9u*XH8)f$XwbVF8(<#xghk?=?L<}ZN{@T<6?ppqV9L#$_>oK&p+IJ(Z_zmiE&8D zOe~DKFSN$EnE>~4uLj4>#5v=TdV7+!Py^1wN=O1c>%gpp_ONx5QU?+80N;K4&|A*k}mWx z23wb$Bv2I+g@;83#dHpt_sAru?Ov^=Yb+!u*={jpv|(WlpIES%r@(hJ;MI`kNYVas zfm@|~USHMjf>9gv|Dtsywh*dIep8&?$dsF|Hs1eDyYSi)eSo~ zd9=i_K@haOzGA@ec56YnWkfVBuL}aUtUbYp#da__ftJ?=kIqe?<#oZ9UL6~oK+D?% z8!8#-lO9_~%j<#xN=EG7gAc{>x+J=ah-hJl^AgjgXw2;^)KA6ox+H-tQq0=``%-yZ zPFh}#E$3=5F=}^$67dLmLe^AjclMND0^(=4>v-BNx|rp=G0T7ZJO9_8{fgfZ#Q}kM4AD4? zy+UG6p>fv8y&5D&ip_xx(TPtEi2*PjX<;>l$1$Cv0W4_-dc)6CFYGNRAS^CM4|fV zo@?$VSW~S*PFlWK)dc-5|D#{`556Wqe~KP-HDg%9=YpwsyWc9R&Au|wp9>~TIokB# z-DWs2y@nU^mr_3-0DCg8rb7RzSCPD^krg!A9u|GGPTK+E+M7su>qN zG^XASaohDAsb*Yoiz6$RZ%x=p734$I1aU+a1VFFpQgy@HC4d%kv5$RbK!ZFMX6aQ z-7DTf=w89DoUeQBp}s5KJ8k(f-TO;_?LYgjj}P6e{`MgA0z`%IyFpLx6bojKx#?cp zg2M)KIcmzi558h&Eq3k>#Pzny2+ZyH+#wRW^J5R;&uT}D!PO~oD6czStV{ar~^~*fGV$g znQ@Le8NF$}7Ej^1%JnfH3TsKnIgy)*z>9K4Wer#^2CX6}A-De?K;0o@3O zP{9s?z3|8tLyE~)Pj)&rhn`DB+9IE-^kKVpNn$qIw zwMJT8yj4$&cbgO9`b(Dlh38+^DOk^c;L#;ZezRF%3_E1NB_Agnd)XAiiCLH2K?Ypv zzB2Z*?JG=NZB+B?#?tk!4}Qi!^IC*ZI`zv#D4PN1j4zmvxZK$U4ExGLC>I2vnb2zp zf?7(Wju50la>2uDMbpI_ zzCHW>Lj48g<;(+e$&>y`^bK;pH4 zKI~$G8n7`~f?h@M%V4rljtD>zqHx@?WLFN@Y}Pz*h*pQHyBtF6{ff+@N zq4fj5m^PFiz$9emYEYR2wirqeE`&Z3$^lyprQgkw!mBHmfGwudqnBwQ$x>B2%QlvN zi^@sACR-j{GUn35@C+pL-2vsK&81%~ca`Vt=4v(c?fDT{)2nTfXLCY5ZcK~8F67AP zk;q8LTdE20oz>x5GSyHhW>O56UTMT&>07c4l+8Pi!6qzEed@2_3C%vHdSBRm&!2m9 zAVgG$yXrNK4w{xDE^YR%j=HqPx$+_6W3FBCeUEu|+4tQZbL_J3`?P*t^4Pi@kbKiT zH-7N{!dGfC{#BFjWK=By18ED0z@Q7e|wK9h&1heJ<{RWW==mW+OEUo^9VAdR=ixzas=n#cq)*K9)wFepP zS;wMgx=VU!kk9o2dySfjaN#*3RiGt5;Y%|z=fzJI@5Z|e0xx+(7G@N zSXDY+u2iFobbI zbS*K2K~n=%Fbz*$QSP=kFtsMDwIgt2Me1r_ao1X+0PXKr)GUQB*?OW@;9%>HExOv* z1m%aeg2DQAB*DnkwpYiAX>%a1~zyA|kpRvZb zeH96iW2R4$;+-Rh3rmm_vbl;B<))LlV6(o=1^0Q@oNG+EU^KdQhc2l`%oP#CKbE;I znZ}060=adcxuu!57$3~8j>%eVh15DLUaOcG;&V4FL7% z3DTz{hIzW(e)ObSZhY)&XHOno`M4B*%wtxj93i{~N*}AARqWa9fJ}k7cE}$ddK{lv zF1v3txSdOV3>P}vsNA>oWY6Hq>O2du%vdv&Ekfj5dbDSlREK#=a4bOuW7NJ$GCkX~ zJ;udp`2zEh1{k^YaL<5U;T7ST-2xfYy;sr`?N_u}07N5_0Mqras-#JqlY|b z^lJ)Rm`hsf1yq{*t&EDwzmm);aLEIRg7iGs=pO6N6X2}&#>_x7%Yqf_ENCq#lGEOU ztNI>b)82!t_8#DG{d<5fy_$fB--^DFd&>6!EBJfBkKKEKgZg{Gk5By`yvrQehExf} z%7AiQfbE$UPJ*RqsAn>~Am~64Q+Vi3jNJj{D)?Lz0uTJIWloVYw8jBtJ3PnLiX5%6 zKj>fan5Pql09?zoKeyBV!ik>t2j^=Ud$f{xGwsjq*k35vV{^5gq2)R)hs!CH>nn*N zW4SfX7g@fBKwNERYplQHq%Hh158eWSa{jU%2V5^_YYdBs{SUKs;o*Zo-JjcO|MhI0 z`E}Qe*}7c+y1RbaPWx|Wt9<|0tJ%6BDA(fJrwitG?2jKTZ|Z2jmM76)%QxTpmUU8; z4<2F*{PwLbgH8O_Z4*nabB^U97F$E}G*UrAgmTnT4^|5E?|J;m^7B`(Jbv}@OIMHNNG$zu>8ls$Q?w26@ePh~-L3-S_gx9@e}Eb2 zuVKaUUJH)fj_>LyZtmxo)D2RPuHIz53GC<9EyT$fdDiK`!aq!qyy( z3HS}<0w&)TMi5i(%D7xR;jy2VyI7kAZ;;-iX?KOv)@7l)^2*-ucZJj`}3+XN3Ug@o5LD2)2TLYAqQ*bCa2bQ7=<^&+vh_po$R7cXwkXNh;KyhlY;&OpK z@`@*2mF8Tl?>z=&({D~!AEvm>x|X{lLr0{!Ay4mw_{-VX5TOO#GpW2Aj z&>YrZ!W|Eq9KYdV5zB>V(E?7qr(2W^s+-em&UAQ-l10^zcpc&v9dl`}_gkjiqH{=h zf9Om9*bCnm*ln#(d)CdX)rewg@)Vy?tJPW@b3yd+6OYHXnWan%&b=#i)?E;ser!in zd_s?Spcn3fsci-)AqL96f?1|*bioZ;0mX=J;6(Rm0@_9wL}ea{69i(Y4qO4QEF^mbZTq-L>u$yb$=M zTWAQt#iE3UTK|jC4Csjkyp-4hK5B{ObRbdLAqQ`$d6yZJNR?q`W{PE{D4t^RzDVAo z7)fDpjwR%b%^b_J%8v7kSo*|_O);Ixl1k+4mefqAzZf)~_%)m9#BT}y`v@+l8c$m< zbk?Y5X0T8+^MvK84*fklu{=fd@^t8b!(aR_{`j{BY+PyEU1=GKJ1YdlFmtTsVxieW z`^p`9pR(1)TB5d~$-)qn41)IKG1d~0DOId-F;da@6*1%3$hTa(g`oItwn9nRivVNLaN_8vxD``>kg$w%1j}BZ0LH)24KvD4S6v=#hz}8B5 zJJiT_J)A8jsUAN%5NrZkr@c~pmd-QZpaw<9r3v#`Il6>g{(AxzCl3dxVFVu+l4ltK zhC*r(DoJ_?rF6q{yq@9J-7;#t6-sh|rDEgsFuE{;tachtwo3V;dpUO8wr+AUa35VbSX+V4Df*1-)hlI^!7$pL0o6DgzcP@wmu%;rn zOQk-~f~mawTtj4&fuCiu^~p0@$&Gha|mv|;1I;K+@}d@2#%m% zLU4qkQX!1s5(q6RoA@uO@Oy;In7qjlyh!E{e6yMpAI9=1FzFL4quKt*sp|kVcq61w+@FlXVMRK}ZpjMvfsN@C^7-e5zh>DAhVmT>@YMN=4v|&Fk4x{k#gh>Lce@^;6qjl`Fdf{c3m;?MzR= z2h-C&!%gSU&f@jT2o2(C*A%D)5*yr0NloqGI}RSs^t{jPEyqotJ1{n_nI8BV2!@r@ z0NjBxsXf1I8mrpT4|7sL@!$0r1($%<$$MkRQV9wqIC< zAkI?&d@i}!vMEoFz8<9Igr?0UcZ)fHC3%Id<1ek56cRvzm^31JtocDSSAK~U?c={Z z1{!I;ZM(1{N?J3iq#3fuxz!UO&< zem~*~w`B)Wm2v(8=}YI2^WEkBfrl*IK5Kd9(*E3z{WtU3I^!wVyV<7HfFMv#Shmyt zf`3fsf0%6w9(5z0KpbDTV}F5L#-=`AUPF4Qzm{*l^(~v^EIfFKEm{rdnZPD~>$Zud zHpm$Sd=ZOnfPaoZ96ea67&QoC1G+f|fN;TS$hjgnd3DALE%)3`!*LFA7FmwvsIULv z$Nu2&IfXCVZP(564w^URK{b#U#@PR!^YG^|Nk*SeF|8BKjGvv$T1Nox5Ao%1p<^r$0fFZIVO=%O{plUB+@pdjyuPjJY z>Vg>5s3>uaPdD(lePwVo7li5nPXb*gpaED&$tyC3bHN?5PKfqka{;eVD;_ISN4nqv z{c!@BKw(CbX?aDOQWvyz4OZN*3^ErhiYawTQ1?NA$8J#eBuRznlI)EYWXBG~*^D3K z;Ab0MHJv#$^j0?gW+WmEODCUH?p(5(Y;vE*G|SPi>g+{#8_n|Kr)x;J%NVj-97FaH zj>|Gf8pXLEM;S91@CW%~d7oYS1=BSrZqdc^-c3R0@4Wvr|K2~2`3ahF92Rtd!c$Bo z`EF}|UtDC(B1+W-mWAaPopz8jy}4o#m`aevDO+45J5&A?EJ|Q((-nP?O9-YE_j8y@ zM`Qw$qMNnMgU?CPlsyGG60n6TxR8QQ7L@43E+#OQ7=~PH6*>ddP6UDYTnE?yS2)PB z)`E^RjURB9eLl7nbih@tDD(q7Q>7q|>sFMspC*#~QlLT}T#7IGdMc(;hX)ctL~B7h5@czC#D}>Uj#~?;KO9hupl90)O>Z&TU-Ny^w{r57BquIYZs1r2^am8F5H1m`Xmz zDNnrYQW3J9V*i@O*2F_g1z;1-aAZ2CIwbZIpRjiHT}qreVR>3euVJ59zBi`KW`4}? zedo9T%?QCX9`+E-qGRYz;bdnWQHNzk60W1m^AV~0CF|_`t!xbhOgWnwX-|}@G{OkM zlFi(LrbHqu#5c4Uc}3APo>E++3s#~V%vq+|&Vr(5Ofcy3Hkjhm3Cgo!f=GL^r9jf2 z1ID_X&D`xlJR&*D6*&946-zeru-zfxWLX4uvTx~CLtqCt>LW;^r?)>#H3WV=s{^OU zA^nA_VExTcs!i24ks;OXE?AR4dJPX1Jl(!My1b^ly-=qI7zdwIIRDq_!)~)vkFF;D zUqH?;ba}T=m#2jy>G4gkGU&>MOs|!Z>gEJhC!w9Gi4&@Bph+)D)k{+K@%vwpnqni( zph1*gf~F8khW?G&wq(Ka&q5Kv@picshJh`uUo(f^5rzT2#XFvVO|1jk zuLNh0O@+k&&4>8E9NOW6fvm!{0|;jPgZ{Wp_EE&N7YC&|4#_!5Qn^DQM7^5ZKt{Uc z*p$@HnMg~Ig?HCWkA-#W;{aG>A94s8E1mXVF5%r9IJ~8M~(wS;xu{S zYfd)IYQZdtP(Z*>Rp~XmoRPQ{_v@%%8p-@$n9ul>zvt)pCO+wP)JkukP;G$%K|x^M zNRTC=EJ=Arq!udVspljCNb6oXNrX}>oiI2_&cR3lT@MbH*q^CTxPFP#qysPu96u#i z`39#=`FUDm^`0xe6S57GShbhoOsm1f>i_#WAN>a;YOP3=e2&G* z2Z~f$j4-3p29L*zISjLLRfvS3boy8%hhgYy(sb!&fd{7#MFc)=mkl~7#8eEf&|owO znFJ{9KM-=XS_fR|q|FlEBos7Ci-Ll|Grn1iIm#Da!Z4T&CaDqLAONjF@?`?A%cNcg5Y#U8VJf%uC!Am_RCbZjXMm)h zrw=>w5$eMNvehP|ggLDW5S>K@GYfUOEPTh>dX)C3#!L#7{!7}FVo2yy89IJ%g{n&= zbBSa=I?}73{N`W(zMl>94=qP{BxA)11P9js$AW2Jy%W1`#XE!@t!g=-?iCNo1OXi7 zn33^fWU8{DR*N`7%Yi%b6mlIj7fcbJV7|804FQdj*eFV{xnPQd&Gm%ExnO#Pu=qSP z*gW(pCNLa$`IN0=?2gDmZP`SuMz`qb%40I|Hy%4Ne|X^F|6a(2Vc*X&*An0+b0ZeZ^8^*Z6-U#f}IQHZzB{4uTIdr90)zeVRTe|+`-@^L@)B!Hqy66#^BbLV3G z6pa%ZgNepuM&8*;JI3JUcB_IQf>d~82-4j7ipZ`h1qL8GQqs%whiUFyFfj&iEY%e& z(%dyc99nu4Hz38*+FuCL+%-W2o67Gy2W>@nF*J8B2r#FbWHe*~xLB{6VXO;cO-&z)9i}#L-XM?=ZDpA~3$NX3Oh20NyxZtXuIuoP|}tgt50D#wI{fdZhs< zk{~w#MP+kBicbJV_Vl0Y0!&z5GKkZb@68u8h(G+fFaF6Nj+%)s)T`b`+`?fY)K*zh zGScvu+Y}{e%c1{zwU$*c7+AD7n`A7E3qlJh&7iLcT3xngQw1FciY47AFdPE*D1ti@ z8`TxF>g5c12;v+`medJm)w@Ngq3OOY1~_+uS@oit16mWw3zA}{9=fRB?R=}f>!5Yt zRU39v`AKNu!wjkLTD&m)z^_9mUa#_+%oEf(+L{qx$R|DUxdgs`3jS}mXtznJ|TgHYz~_1K4g$Mz3BAC-`6^q5msVelfj!mrn3J3!Gz znGjOc3uZlr@(0rvNXafhYSpcnUC7W8ThsSq}uW9z*lY!kkiih)49;VX;(JcEZf6#yhFUnwn`JRKZN8$!NQU3eg3udDk}X zucRC2anWMr1J4Bm)IaWyGXpa!SV}XU1Wye%0=Y}cwrt@gL&x2uulI&J?oyq&zv{%a zTh#lz7o64Y;H-Z1e|-6+PdS;cL6uriQJ6z+#83XB)^tsp+l1E9&gx`ado&AEZ0(MA zjwW>H!0I@3v9(JyhN&Qimv@KGwidPb>+?Fr)*j32WLtYQuTx@%1KIQNE zIldYDM2QrRSOzAOUtLVpK#I@siSTf<0)e8rnKe@0cIVgDagRA<1_{N?i`^h zIH^okJI+-d>Bg^NV3udJ`+qMP?YG@3Fbjc^=vP%A(i01&#R!aKko@`D)?UoJN@7o2 zzIW=G#Qx&1__>dnKs3{a_wCRl$Lxk35h^dg&!qQnL^J9}h2V{}?R27AF84KFIl@0 zV|d?wFpRLkU}Jb67#Ns(Dpn!io*3Q-?paN_#eFP9Gut-25AM5$AW4!DgI{|L?^~hk zqd$7NLv45;7)|RPI%yT?eyYQJuS7HA37eyx$s_DXyu8KC{XQ_C@&s~CJHuIwcZi@#tpRhbL zX@72~{RJu^>$jnamd2a-|KxF8s>m>vN66HtT=LO0JZ`Gp|TJ zcP=Fh-t<_JkBAF`Et}NdNP8~kR#yl?8UhzYHiL86KT3n_f4-#Sc{oXmC7?kq~`I zRha(^B{}xV6laeVaX;HJIQApY4$CzWKzLqJwW>cd&^qbKo?%Xl4!}hg$_m!D2-i%H z_H4ggYoGoG+D&a}l1$I`3=RL4HS0CX)gjMj96UBr=vYo@61eOcI^p636F=mg2%d01j##U$(=A zSc%J#_Q%+b(gFm5x<9vLfAMf)lM`BUhvo{GQ#=}(@RCl4BU&=;J|@Vlv3^`X1j_Mc zI}X^-WWhw3b!iEe86^3DOyi{eWrj;!KS#8b>&K9ndi;9*YmCUr_aAdeF!Cjzzr@<{ z`(uZEeEeW}Q|B_Z%%}cZzWLU-$b1-o(H~+9?qdtwv5DWhZDJ`5kBK<`Ar`~*nDmFE z2P>eAHk;%PGa}`+|LJG`*76kMV}Dq)T@;|<`5KB>-ehnL&EGjUU(YhgXsSf8s)873 z+X?c4aKTotIv}d2{m582-gy^{ausIx>ChGX3Ww%1;es2a8x(c{lD+;MX%1a*zXt}6 zMh?vK((sc(bF_voh|p9CUI8;RD-(yNF?2zIiz!IF9?2K;&vVkq(5k30=)kz@+KknL zjyN>=t7fk{S4S5fqs7tlxPul_^Qdy=2MH+rfXy5rIe`}aqz*K%5$a$7)}f@-P0Yq~2X=1Be{8UJk1))}6MQzB2Egd9fvL;J z6YxvUVHZXy&&CX)bex8DdlH>21k`ndXYeaT6Eod5hNbA$$$c zNrc0H$M$i;@+{A}UN~WXDcUD+f9p4W;8(VRo6taLkigyVI0%Ue-1#7tNxfw86Q%)3 zCm=kC1(`@|DwBH21>w!HDUAtSpG<6D!B?kVazP||nG<3Hm*WcSiquOk2&PWzB_?ne z0u0Y7p_JpoxWgp~FtH-S4q*?=f+@uX-HHsZB(C7o_er~y;X>#ujG0`~>t1m&T>^K_ zoG>KuLk?2CDZ};L2BV^Ia9lU)jfzT7Ytp6j3vV-}wyQmu&GRpGQY)%rJD#;_IjfP> zZRD$<3M0|=B3{Z&lq5}$nUw4xN z4Al2FH&CGVw4cy$HwKVg;>OMgu!j1Fq@67f1X4&(5|14IhPif?gf zDo|e$wYTf3!>B-A5Dbwz_2#f%nPV3#Rw%@3OX^3YW7lpsk|Y&qTk|M)n8d`BxWmfb zSs)7M(ZNS1-ImuvAuPMaf)BciaC?emzCQ;z==j;;%ENxCQ=6_R-ypEgu+1LVj^Tmz zmY-Dg;p|54NOL$xrs-sNRfV(X+q~(a*f?rfjm=m#Dg4>^q|#4KvfQitr1rb%w#cd+ zPty>JQ0DKVkWms*Qg}*vA=!>Z4C@#WRS|~rM#~@o& zHN=Q*4$e<%U-jV}z$W4dp~Nnuq;l|8!a1Ee1yj2LH*Xs4jlb_y{fB(KRc z0>q+J+yDV7o{?;aXCx)HwAoeM1YcrL?t_Qeg4@_Uqiz#EBVvK`JtJHGT+e7~f^pjN zy;Ubd^cOzi&;RM~4%C41zTKQzQ_TXR*^{FP=Q`vana083K#9MUBM|sC^m<8_ugy;n z!b}OjOzXakgsc;~R^nrm z1Qi)kr<`PP7;uzoN!^c*i9rGi+=H!Dha>nebhfTlopsNn2u;1l^i}x-0dV#qkLjUy z%>RYn9Q)Mi(mvWmpVcL~c#FwJ60sp>K3_fB1ADG|yabN-7&xlBIdO}66LSe1Zzpj4 zvakEyU-(_@UvE_Tom>dV%8j$09{W;P_0$=p=hoH8UPVN z$qE_TK764I>BmN?4s8Guz5sX8OXfNt5c^8U$E16I@Dey4&_&{v(gDuC1df1a!Y`3h z>2gq0ErH`^iVg>Qht#N8Cbdp;@d@SMDUsJ({o4IU_;yutJ@?5imhTx+Zu<3u8=-=R-VxzasF& zg4~RE6XoB&MlDHTmt=BGTYZ)e|MWFax>9sC)L$8<@YTZ^hOH{^-8T+bdE#mOC%~~OyuTE(;)1)T1*tv6avHEla$i~&{84?#4u@3J?KZJ58Wzs? z(QNq>4#4YB;{8i6eB@K!5*Qhs9_1md&s^JL8Y-%yh)n0ec0dK?p>+K1o8Sg$atSyO z=#1(FX&YT|HwR~ts1>wDvND)g`st+*9CVAHmQlAYSVmZ31MB*Ix+ctDSKj( zq-|`Hpz_9bi=@ye7D>JzO>&J0S|pJJ))3adf~^Gb$cco3IE#F6LObCA5 z=YRO8-VuNqMFD%H;h11}y@dM00&anD7QGVSVQNzswB~8{8=HAOuC5Hc1IZpm!l>V4WmMZQ3No+KVK|9MxY^B8?`g-M3g1 z&GlLqOl#)5v)j`u$OVBeyk9flp4}Rqydnv}Ol^#UhNiV^M_;kKOU{$*x%oU#YG~E9 zQToyC6Y0q2ak?(;^r$XkkVnecAKdl5O^4Y}!T;?}rQ4*`(Mr#t)k)|+lGi9vR)&Oh zr6Fpk(pxKmQQaZCsai@(Zt}U~_4-QFx%42?i3nySAL7?S5TT`= z%>y7I)5|T8nD#B$a`9!_oKT3N=j(65xoZ8A$h^NqrYWiux2QLbmqh06Br^Z}S3Ug5 zGJ-V?D&4)X5EJ9{795jHU<*4NXhS@>RcbO9v_1t0u1QT(1#2R6sSXP~m%)lPk-1_@ zjfcjX-HI7tkk&D;zidIZ^}p#lS%#Xo4*g;J@q+os(pH1hbkX!@2f?1p#C2 z-jen+7;^lO1p$+ic_Y{k25Wwrpz2Y4F&TDha`dEuZ{`=c4ma-dZ%>&Uf|J@B+}`@X zy5?=*Tzy6Vw=?10CZ%A}`#PgXVV8R2+X!AMi7&VuC2=O(k?E3rciNP^pj-5hwq}N( z{OjNFue~|CRP~Jeh&^RPlYgR8o}9+H*kQ3kB6JdYA!W#wt{BlXgd z|Eeg@r=Y*$%P8-sYnrrSYz$4rU+?yZc(Lv<&IVmbzz*^}yr zS*B%yb_Xv*jukqPloB0yL42XT`kyhh=(iH79MJCRJ(nmEI(pdGK7IaqDVV$$Ump+qk zQR$U@0R)P7A=~M-@0yIDv(8c?+LRPJ6#0j^b=w$@`_tk)Ox47`!9; z?f#5j#sNJLhMX6le_2A=S6_bq>XpZ@K7Q%yk^CLz5gD8pU*MZ;9}n}$61@NU54<*O zWMJ5O^x8}BdsXNE%6GnQ{p#hbuX@*eW51UlWBd7czc+Tqceg&h*=~89e7W%VyzstJ z!q`iS<91RUAO4je{HFH@R*RsL`8(Z9*DXZTbC?@C--iWgQ^Z8rS15VPYwYd3ZPo>N z+BBOVg0!{1Vrn@C+NWDl!X|I1Z9_2@+eK|uRa@&TrlA;1v$b((+FBPx|B-X0vyjU) zObAL2)(^eFj8X9iz&@whl@O#y<|_hhh#!rP1Fl*vXb7saW=n!{-R1*=27;bLY2_72 zwf~Y!@X67=;ha;ZO+s>#RaTojOkB{c>32)1=j!KEc?*?tgpX4)w6&gW#z8hyEbbJU zfmQZ6Vi;HSfdY+WX^fQ^Jx2;XLmSL`>135Oechor;~lFxt$gVhImOV{S3!`VJ^`IR87@2c6U9nlG*MaW$?&$H!*lD1~yIN z6gO1I7(BAw-Jus%!|N4XzV2eO-AzW41RdG*X^iW3cMAvv${(xGb5gpEX1NDIc|m>-a~z-a492F0=qc<9LpXX% zV^{gabyK+Q2HyX@K*4So3Wjhyz3l)Hz)ebA)o@|}WjH^jXixmb>_5BV_Ox4cG5dF8 z_CM~yJ3jCw0azUGVBYLV!vz~eAhc$zy-C)>ZSTAF$t*DILO!0mgT?Hdpv^D?SR2R# z1(e5%q6kb7tyXL*0R|h%avHU~qL_VO5gotCFO!3aR2WrI%)SW%9!_{6QQZqk3FH;U z?E8v~J;%~R5HoHR%kJlR(n`{Ls0DhINfUK>Y=dTs}USfkHC+10eBHEuE&N=oF;plhy5WVZ#r|97Y!TEFuPDNoxfkpecQbnkz4jD9Rq0#HC zMy)j)v(f?4s8y0(C#J&;#=)#+t5T7Y@h2(w)r~{J$PzTBrQ@SptK`o5aWb+UB_P4H z#-i!UA$C{e(hUwzTjOcoHs#RjI54viW^@8z|vGP!gto6s5AZqpnkcaTQP15yqMjin|pspvrszEAI? z%73rv{cZ*-)BAXyqnGytBoV#1C}+e4qg-$nQK$f;l8TlW))ncql|?9ee0;tP!&*<^ zok&vj5ipasfAnvB`M;NlEv|{)(0n8s0*X%tYi6ffUS{=b!B?@M_bvQgQUlF`HF^{0 z8-mD(Qr~64@GAc1wnvOUGzzsDHO(&u7xUnGm_vOQ2?jnDp56O(+lKP`MEoAx7jHo_qqLFq%-HU z+~TibqV!s;DTx+)#6x9}6Vy`i^af>3K0xKYEmcC6NsFg9(0hDb(*940Jwg!_qoG0# zQSY9kMkQ=brz5ectD%SZv4CVsy;3Q3g{PRlrQ=vOCnyc+m9kXC38irh$FUQtD9|WAlXIL z<|`hSG9^Y^v3o2oZKDessSq4@5Zr1~HCCjubHSJqiJomuONAh9qYENSs|>S%0o(NM z5TtEvf`A8UKQefOH3AAjh9NG9HZILxA%w7*+#x6`ut{zfKpr#LKRDxJlBD``N$`H~ zmt-IKaPFjpAufq2(R5tcioH4Bifzok(gKG$TanZyPr3zar-u#J z8Y(O-;oCPy=w6uugSJ@r$;?E_t$1d@#_k@B#4SB`SnP537sP7|e_;UjMYA&udWst) zsx3QYgU>jjbSwbuTT)X3*G;J**$xXmAWeeREe?y21m*p!!@`1O?hs+Kcj?&B`eizQ zzU*;)@n_Pe{5f-Sy7&mw-MDi= zPY*w1^Xi8qRjD^)=pzW}A`mzrztX&!n+&af+7^?&tO~*Oqp+yZ;1JA4sPztFt{Yge zn28I)>?1VO53ggu>yk8v_k2tBQ&!BaLfJ<^Jr(2L=?bO;!FP}F=0rj6fp7H|B}^5KnJ8Y3vIMCUDjlF z!`IyISHz6-f;jrF{^$~|y5w%VQ^G~~G?w>`+aviWmjnWw*Dl_K(QLZpjlGHF2SnGB zIuWPS4Jv09KHE^*e04ayL~L6nyLqn-nC@BlzhdO-WNJxtCI>;QG0DJ6+?+!A3tdYp zDdE?G&yZ3O>E(ulk-lXLqHIo0LD-{yu6r?Ic}a^-TfR4qOpE^blYj80*Fvpd)9DS( z0c~`eCS!N3C7f#AxgDBXC;NpKZR{(=h*aw?h~`VSijqqggk7SFLXc|R1p$H}q?3Zn z!E92hbr;-$##MqU(n_dBi4}S0UGM)w&Dr(Mc+59o$w!@(cRvE{PJS z5o(~QrJ->gThzKs9&LpeweFHfd*KDN(Ig#mG}a6+YTYFdPuUHxIx{+0ilUZ{mWEZ$ z+sAw&6aS||Zvb6=iT(tRdS}s}#CJDAx7w3^R|IU|64!*rjPj;(1b z#U1x60@WCkx(I}TaOuHM3uptaX9$kH(_?3_(jHBZTWe~{V>VO}i25cyr zh2&vR$}dR5Q!}KluQ~0p27WTl374k`sK*RNDUUV2JN;N=O@FNUF&@&fN6ik&B5?Z{ zd-w_YV~yiK{bT(uGwb*vl>^8BML5u7JcO7sg<=5zKmfmkTqzQi+f+?uvbNyvPE20~ zc8)oaAecueSE{i+P*Jucn@U1>JPxrxz;~r*6#~$H5j)Cu+FzJ9V}Af8`6fkj`UF9J zN4o`-BOdUy+?J&Ac{v)Rd^$Ma42l7NJ)nho2bz$&LryNQalUxp+w}w1xGu?c2$cP0 zJFb7doUL(sd6WVyg)w(B-BJbCcdfz%bY4KqXGSbr|Mw!7)k=*6**J zR6{pVrf&OsU*~nZHa-sS`XZ?^juLS^zRDyWkFSDfL-+H;Rg#YPbGPR0QQhDr}5wqGbYzgcnVqNKS*M~*^nTV$T1F}p_cav1e!~? zEhHCY_JU5F(7uTfDvMpPfV)VBf9C_t^#an58QDUrtq3*7aDCI>QBxsY)g< zNZC1`Bi*AWh@tjyP$; zAQ00;ip}JQZry#Po(GhpSdWsxVvM=a9iOgN{;^ zY#chdJp|0?n1>A@zy08d6yZU0p)F0KF~Dm3Xe_5K&;w~B47QxvR?o4dEzm2e(IwJH z{h>=aa0>*E#dkZ=+&L3c%7Mm^#7Iz8cacmnIJyBB%tcdjDWIw%nUhK}j$$*+!{iwJ zxtK?>n_FW^{OUOd$Is5ucs%-IIO0M8Abuy+=M>3Rm$I1_v5u&3)rHexNFsk?N}9@8 zj=EWd)^s}3a(bt|g6_}t|Dt->;ei!ylp?5}^=N=6LI_(zp1Z~qA;it(i;TGo09p`1 zDddyw(8Y+TfuD+y3-F_}LT*Xl#B%!cgld<7{Cw4J&vz*8+g0t;mLF5?`%nMk-}zF| z+vq~QYCoDk&dLMnE&v#qBG~M$3&BpULxWDHaNW)&eMiMLM;5W_*R0yNs|9e2&>R3- z3TsWy^JUcz7S*+=S0v8{?6Fz313XDc2oF7kwr17d24+IghFiu}7uAj=pP@34>>l7Z zQtA%PczDFc0Id}_8VdWQB5aOh`JighpcCk=*X>h2tV)4UuJ=0i3&%}}i)w%J#(Tp} zgm%R2$Ig|N&3@%EnfM!}k0qZU!uzTn3>RX#E=koPK*f7a8n5PlVDfK7EH_Oh4V>8v zvE2O;%T2pQz16t%Vcbq1#?_lX{?k7kmRF;*L0)LPps_+02Q)4k*;p6{gG$S5TQb~J z1=kzvnh3#~7usn5-xf2o`%F{L3mpVn$wQ-xswK8qG3SN$!0L#pLL`I%qBS{_^Fjz$ zSc+p7XD8%$If0b(LL2SOq#)z9x?;`?!P`K#3@c*xxbf=5IhMQ-5U5CQ@!0c~mBNxF zEw63TbtEebGwHp{fUN#?r)igym*CM$~);Kh}nwB}+}a~V!- zq5gFUgj5@RE`@v8Xf%PhjTXh2VZWw7JZy7(nqt#+)taNCn@3*bkwE6s5Pn``#?glG z`<^g*G3)nd)=fQ~h&3-}{cc((zWJ?R@j3tGCp3tcV#Pe5Hsly$REcVVvBg~49uZ5! z;ewpm4P!(w?o!$k^4KMsbr;<3)@1WSGsb>zk)?grVQkS=$*7ixM&$=aE3Zhi?kgfx zT`GDCL_P{Li4|$qo8U@1J8@{VF!q8p>n^yRA4u6sD~R^e?$9*rE{GDCB4>Aq(0d%P zn01#tfDjE)KSn+QwyWs@`wEv`Ft%tZfzJW6j=I?3atg*44X+Db6Eo=sYv#LiK!U&| z8LijfvS4iYpsN)JfRl~;8!npKuLvuGrjJ*2Si<$|)+{#&uwg+AZP%Dn*h}U+gaRM3 zF06%3JS)sMz>e8a=9`j0VuTtA;Qt_#)j4>^JrykV)YQjeX*o9is^}>VuTTl!{Wy z+76Pi{fJz!&lF_Mi7rfLO$$&7QUARHm4q!PT`+(@1*ik$Q32Y>b|ftX_Lep!N8+5!TwOSg%i7HahT6t69R!t7oW9@EY4trJg7yG0kzX!XSQ zUip%L@w-nYJhlzC0{^6szH>+oLcxuc8bHBo><f;r(4K$r!( zieUR9NY@EA9`^?jW``U}Czul+7-TqL;;%E&*?}07(UYnPj6QMU+o;5L#89YvpBQb~m2O$sDBxEqRi;X4 zSGpW9OGsrjI}x2t6_j}~qEE*xA=FjLro-$O@T;JM)A@I3VR4}2bi_*zOe!|PQ*pkT zwrBZbrk`EzhiSLyVy5rLOn=`;e%tIbKkh^VW4C3Wl;kB4%)=7oEJ6;Ek(ft0f`W)q z`yh2ZfpJ({PmMe5wMiH)!i35)B{J+LuJJMQ@{CY7=)dh_?i?N)sVtm+5G(a5ks2NE zN&AFM$8O)nyvpouXN-nC^wes=jq$GC&r=c@P=8DzK$ETDb@Y;~OZb|b?VgDNCNQ?* zp5&jrCNNTqvSB9Tr<7{1uX$JkqO0e*GajoXnQss99IT%p++Qm|xg4Etl&^_yFJ!7H zx!MfVC;0~L=4<_x=td2GrF@5&{gMO*k!Cd|Gs*E#OC5+_-CkJSK1?>~_jiJg$W8@6 z>!F-oH$0>(pe5wHC&sSZgrDrjaTMXAP&8pAtf&G{P8*uwi*#hUO0{^*HRw8@;A)&@ z%Zb85?X5N9AjrPo`~=Q7H}U{929=dBnD2S^MYx@u4?5woDV|dEMZi*(GGk~H14s-` zv#`gKM55b7PZHji4JUPi+w;K$_%4$M{(hb7xJ+1{mUQCsz0oB)_(Om0OMk~NUeLj; zTh5Vn=7VF%3QkD5po1^yU{mBilBU(wleWLhNg}TI`+qO!;J4icIyi9)(JVL=RO|re zVwhQ&pqEAMPXlQU(#jK-7qvfa`7yQs2j2UY-}rf<_QyHacX;^)mV{YD)?8-nzlLA3 zEz}Ol_y*S+Y-HjwXX;xLE@e zB$+Zaz-c-!1nGskpv_idOC7+*lKu)o@gL;gE`XR?E0X}qw=%gppCg|MUlILp+R6{t zUJ8g=P~rraJnR=r53t|stj!|HIKd@1%Q@zOAWqmLr`TVP#0f45DkGaAwwz0V6ly>#?>Ow&c#Ve07DdCa)YvJMBVW(s}2F08`R= zNp+@I87{Ph53V}9%?YYbG^suIcjZ7&TYgNFe*dTaH*fnZ(IKoV*&!pQppP?IGUMWc z+m+xK(Sw0ThrSPwn$!iO2V)61il{UD${-^yh}49lEZJtJ(RT<^lQzNip8PG+F(Ila znik&ic4|@=vze3;5Loqnc%n)D*ufvP0fU`n zT>RK4wH~!XQzq?$>KRjalRGQg?pfvOQs-h6LT$yTylOk`_`3TU>KmPqc^>0SjSQqMbSWFcF-J-fC{_52hVauPQMHrpGy~Zgz3=C=F zHnO2tbG)%4O^9tlea?}mMpkl<$z2r8?O{cSh5FR4uPm5#7-sws&5rc)PARCevdcOw z*rEX8*A#f1W7c7&xv4%m%!e7O5G*z77W ztQ$`YWfL_nOI`W+HeJ}7?`-3=cdUXp9^}>=4Bv+92Hz`FFfsF6D5wOwl{2r>a2Baz zXk#otKvw$RbYwr7-myTd$x7dAhpfcF^+$C_ zW3&X=xT?^s!wM^XubHw6i+*ZQJ-@?`KyKpIOEJ6Yu-H z?|gfVBvT}TD|W{f91iGr5ZnidAdW&#g1}zWni;A<&EYGio*59&iek5~ECJ$zL14dN zZbg25POz4G9~HX`VmgOIP5|fV1bK&>AX2Zw&bB#dk~W_s6}t-_;5RFhWJzw^dT1(k z7eu7Q1hMqD2pM!6u9k_A49sVT<4gDv*;+W19!|L~Ue}%z&U^ zn}-fQ+B3tkDH1%8v7^8A+B1U-(I4rsvUST@;XGVwPvyq*;sF}#=Z%6Z|Sc%RAe@)d1>OmcwTh=KK) zjgUU;C%@pAw~_i%gK~X@1dh*R5fUn-8hsG(-V5dkX@~T^z<0&~?@WD}WdoAd!<5wu zZD%!1DG?H=e>6-%<)#cIxVEZ;lQ1gU?|7yW;K=Sw2Rq+lIq zTXR6%Q4pe77z6mBn5;c6yDgYKpzZ=Wr&I5J#iNixDo_^$hlf$&qqR!w)Akh<2^FXd zLdPjFC@PjR{U;xq3e*K{S}SmXpvA$e1q+=RLbTFJ4X7NQYQfh;=Nu_!pcsG-7_6C|-`Q@r244)1 zj+`rvYpgl_A;SEo^boa4Q*uA#W@BK~#D_?yO!5Btj)mE-dx&tTJAa6d%#}hG=~7HN zhvd6UyDhttJ;-5>m)tS73iaG5Mj;i4*EUtJPRz9$46;Jq9n8~dE|$DSG1sXZ|x?5F)VoRgK0FP0$f&+XVBKUm(ZX-hUL;NV`HB85DL zZh>zD^#yHb!%VHVxx%-oi=k97=eNVnycFhVrOjgX+a#hkVJJos5x(1WZWHv0NY}_s zUY+j3l-08wU5XFB^^<EFc-z zPTa#nQDRvz!x7RP38Z;&=m0gTOW`Y~F%ol(ZLflSa9prWkpOl2V3uOeE5*QvIe>TF zihKrqMSaTf?f}S!D6Ss5!V&QEA<}{*BF+9J3mjpGcu``q4QS6QUSpCeYJNidpeE$p z43zHm>`|xtv)+eV2(d2I>E{i*5LjfWRl%hs#d)Dlzk22ItB+s0dL-j6INXg>-uPbI zM+|)P$MN{Ex92~QX6Zfufi!{d<*ToH*LyK`?)jImFBjZ8lbGqRIQh|e9(^EsspwpP zi_T#Z`=@^3Z6Eqar?R2DfPw4=DM?yh&*7m9gsdK$#a1hIZ08nVb{v7 zQk0~OZI7?0+0dyJB{^fO_B3TKS<&&|Cy*rqwy(cW!HWd4WVIb4HV8KNH50PrFkqn- zt?H72ELr1*c6Ubw#f4XbQja(bls_dPDOI)q{zn5n7+if;S0yn+)NZ^7k z4q=&fm-m|l(I0*-<#QkXyPxzmKO6l<`ug?-vBaK@X%?OCs3xGXRH4w_304!pLF&jA zfa}`YX+m>k6@#Kb=$ym^4C$=0h6$Q&1a^Hbj=`6$AF~U$i-iH(T3pHzM?@HkcE`_d{wY3i0uK9XTEX?Mw4#IVgk0%*V1RT0}`)4c!3P!+>B~^HA2Xp z?+)gRaC!zgvXBxP=^n`Tw)`wz0OPS$WtPjN@@^VbbRSTiZH9)NS_Y`Jn zliVV!qvyFI-&>TtQS&GE=^{2qm47LmSmzOHsmAQu;_Pf2L+<(U3AxM`wtuDkhT5Jd z^vF+joWHwCd2&qRDP2mMA`J(WjAG0n(glneNN#(m+smLHPRyXQf-@RZpSJ+2b6H=57m@Ta+aHWGNImxe`Z~T+$rBk~tZAJU*>kWOPRH#Ch zyj`I4JDef4TeD80?E4=z`maCn_1ngSURbpu4{(MsW zUF}u706j>>3o)v3|6II4Jz^P)HzZO{s!z)q;d*I${rEJmzNJ5oX^y9#f~QFuW#%Mo$=>^G^E|KCywv@JqAMqrb7jY-$9$$U=L6dal4lnw? zl7FrHzVz_?HBX*mD`ZyXJFBwgucjnjPs!+g=`VcE2mg@I2GI1Xg>zmkT9aM|C9-Z` zDF4e>#lD%K(?={e;LN3Gdh?bR44kIf+M zk7AJaY)o&@m=nOJxp+Mg~xAWcdnqoW|4y z4@(w@aA*Ks3i#0lX-rKJD>BB!nbW10n zs@W|ce0ZJw15vi+!z%$vYo^rxHjDNSO1U8!T{_RsX)Uf<;u)CoPO@DjfTE;nLyRoj zA*#*UvTFjSl&5t>tzS7kHf{OVYA`+ad;Z|}J$>%sgTj|h;}tM-7?F;$uPTZ1RS6$V z5W^@?y{5(k=33uV7o^5>LCha5s@cvPD-jZ6G50%ORQy*7YhnUwq4=$=zUP1 zI8O7{(ECUd(UX`@hQce)@u>=L4mEBs)R=aQTB9+C8rKtQ{Q9^5o)7v$}zkY2w!_p{5WFau&68BlAOZ(%?*4?gKqAQMJ>;jw{jbO|ff=fwRK6XH&wJXM) zAt3yhC+=d-@MzvH<_v+nSIQD3LZy))Ciqg$P$OtYyX-*A3DA@?ypBv@O|@y9x)dO| ze!hH;wui!X_ZTkg?!%eCYHZ5~&lfg}4z4^Tqeq*Z1DvaGr-jrvLy{&O1^h9mjdc zP~;mdXt6tn^NyIbyZfU!?|6?ebMFYw zJKm$m!Fj7W@JVnray#$mXjS&o2^jh{klbn+-f$rAmcm@7ngyVyggzn13R;Q;lIHA; zl+=X)68N44mhF&~Mb}S6h7whtlDf+D5ca1s@cnh1gon21F+xX6IANJS5sok0VSkzO z?E3?Bj?zZlpRa%1pWD7aK<#N$YA+rs3Pikw4Pc(YuM0oY8laj@{Iu{gZw8Ar+b9X6Z7Mi?ZiN^MOb3@|;3k2&XxHb0QKWG0D9AmNO1J*BlLtjR~;B+E!o zj8R&#Z-`1DNXFa4yC;Z=?F#L(NpiH{=88L#Fy*)+De!*e@YotVF6rlEjj9qvsBL)s zW*kEs3>4oagXe0Cfb*nwTYx%>RcST^s&#;g2R$c^T0zgrcF=P&6Db@}MhM0I8$H=n z`=Q)&_Yjx@Q?;;XSoq9@;zP4N@1d(Ch2L}_Wh+_E^NwM>v|eyr#{PQb;7T^ zb3KjU5|8ugin+W1Bbu`phs+th+so)pyG3(G?`AT3ANko|+P%q3b6O{DF)^k4co^y; zCa5r8g3y9tE;4#Ru+aEM7>QV*A_zT9ZI&49l2;Us zQ3Ns5Lz~d{Fn=xCrl^`CxI>SuAo2Fg4k*98qBsbCXbke=q1W`2qTpj$Nw-f^X$lRC zqIUI3fV~^&&i+c%6Pg&jy-f0O!8j@6$AgHrAO>VfGDbb<}GCyXy@MyZ@Xe?Pa7-C}pyzyFJGe(uNI6lx0F zOre#cYT$SG~VVX%v|KloNjuXlj&t7Q+8jCo8FI9p~D zaP%GFtoeGRKiMSr=nX8?8cU(p@betC?P`T{Dl^#hl_J?K2G&5dw74a*3P!F?!K)2y zo3F!BZUWAtr*h0Ejg2)8`Zvsr&~&HA)kG)K5M6XAaE|M->F&SF-E8D zBZ(32e!J~7xL$(oU+kqFdejPf-nE|x4t8oH5kDhbwU}E+jHo>t#+gj9lv8Bf!crRi zDXpQi?K#4oBiuqB%NK=A6|!yzEPj&X5i`8mXWazor{w`dM= zZzjb3f}i=>kN@d6zv)ePKuic@p(&n!@aR|q+I15=K9wK>W{*!L@EZWDZ9SXlTLFlRu9Tl;qPg~-O;Bg&=@Qc;aSS~) z%9s0~GgW(gzXELUUzF4|O}>3OFhl^$n5E~(3w0W}Q~b{sFfxR?{Ze!??q8%tH8wBr z(~PV0vwIFhpC}RNPR+vGUltKqjw!$Q8F(`Gs9<2&23)PSAy;b6RZ{WL=o(%f%0R4e z)0nxblAx=Q5)X#?2;eT=Uizz5Qe8o=3D35D5W<6fwqJ47BZ@p|Zids`JTl?QK0{9w znY;m41I~W<=V*wJ!m_ z9C!)vul5q~TDBvi!lswlhE)G$oq5KuYa>S+r&om z&GKxMt{(z<|9t%$kfKMF=VpDjNhnVU^;Q#UhE6DHHC+3G!|U<>tbwb8295Z;1k}UGX$9!7 zmzdp~1?2%LAUK$zQtvi7)Vi{w*#Qb9w+yX3^FNTKDejZH4*6ENai~#tM1r^C(j$N=8QbH-113UH! zrpUZ8GebvE^6EatSr&9~)MiyfbeS2t%s+}A)m3GDzv6M(!#j{$nR{=qzxOtP0K*Fm z5TKwLOgSd?Gu%n^I3U0Y%Tr(3i{=V0)iq3YwrK#Ww_p3pfBK$xcxqZp=BC~%YDepp zKtKU})k38b_{!KwL0nxZ_sSY2HEk&KQ_%cclSo zK8daGiJ}&mTY7Gjd%yov|AilX*I1-bm@5z|RQRnqxJvA8K6CKv zcak`ZAw`T7F!YaRioHK*D{Y+X7%4b0?0PBl7UPN%!4)Umd0|)J^=Vd&kpi$-r2T=e zLNM40rbq#nR5D?E%%94U!l+xXCTfVrPR+>U)9JYJ(iK4}s|PLVqiRzx(AVn1{%MNM z%hCbxG+^)+XEBojb&iQjA$2sj^uYP@REKz2VRNXZ$CDKkT?`ZmYskbBUyo{nIZ_N z1oaUFIi4BXBMOR|u|p%zuO0T7FiPza1x3x+p}|5XU=oo1_ge8q%@jfCFP@`N3zUJF zele1esOJcx01855ipQQ>_9anRPZ9}tUX8&{lB7IpCb`*YW}c-lCOML%BoFO0w-D7e zIhU`IjCW^`!MM_Tg4w2}F6S4doqBfk@MB6Y#(&JyG^}dA5LS$7S^Yjn7Uf?|iw+Tb zyEgPsdgLLUs|ybE3_OsbkAMcp*7Qdo0d)g%0MR|smP)r)rD$QM(&iv-5f9Nvh(BTuj6MRY_DJT@N5Ee#-}_ZZAEClc4jcMX**(#sML9{BbJqFcO%z6>cx-jntK^d9 zANn2a0Lf>W>4&fuej!S-5Y$MTkhA5q~QkJ>H(}4kaKxPn{Q>B;)d#}<>!+VmpoPA%sP`cGid4U(MlfR-!fW7*YVCV+ zIH~K6*h5C1SDIa3RM^P!Lj|DE{P?f=!hhxkAO)0~sVMML)VF=Ms{rNW+*CdsCb&n@ zq%<)=56eqlzG`|Cj&?inaj7eAHVEaQiV*yja*eM@O=N-?{R)n13SwF;HD)|VK0qdj z`B99Gp<2O}qrfO%kxxn$L^LVY8dyEi!EsP6O@#@9RPOTr2{9E_@>m0fmFGz48@ddo&d$36l>2k;KrxbRQ=xYgKRkTD&U600$FY zr~f*!5U;{R`dSbAI`u9Nr1Iu)@*2a*d!(c5uAqI(V?ClCd)jxdUEN;oYT7MoE!bSU zx}MtAcYee6?SI*8S9&vAF92X_V$#r|2=v0S4n?2vj{IgT7|l$b@V*5AI-417*01&m z(GdI!827#U716jSF96&+I7gT`CTx@8(oTlDB5-w*R7MS}0IADYu!YGB=vHufBoZ^k zwF@RM06alzGnXq2o}^D|f}=LmV-vTR!7Mi1Hu6nOe0sFd`-)=C*RrW5Gy2NkTu*oIRt;WRe$@j=_ptBQ7R>)og%D5$e zX$LV1w@e5fTJl)g_E@2fwU{nWC~b)?;_>-}`2GkV@;EAlGWdRnD$TnQSloH47pt)t z;rok=7&fJ>5JDFgB`(^hSP29=g(kX$f^E|`mrhy|JhRGWns z%LSv-@{aC3r_xOcU3UA@d*Ul5rBy>048UkLa8g)|kR~!yq&{i%WKv!>tIIQf5 zq>+Ug%S|clg*Exenxuyr;49b8lOP%I4)6t~{TnGM%`*kbXj8oX8(~{dGToor{taTc z_C^YCrJZMJ|0c>Xs&-s^3@%|*bc*;;aEU~VU;6>W5!C=~VPVpmqvk@DcfmyHMyc58 z#;PEg%U|O=)h{Q;Sgop;oiCX?t_#DMln-r=3&uou?_!Qig@-a@ZbF7WHD<-kiT#x% zO=A2eV^~5^NJ~}F(k(?dwD?IBW6VHpgB>GCII*H?hlJZP*>*jS(G972h8ea~GFnVh zq2ONaC`VHyvoz8?BvvP!PKHq6*Fw(A0nsu?!rs1nI)gk3q^1uxI?sp@ggU)^5*YT7Mo!f@PcnT*i&Yj1is?E9yRPX$4fI`0!;7SYL)3w&K3dTf!)(T>Q>} zhYnU(A&eNO(ALvwrfo$etu%bV%p))?&|maJ(@dKnSg;U;F@LpIC}^zu(@eV{`3xk{ z)yaxcFasWz$XKZ4K{}(7WCTS5JHd1u2^iMW`I#gmC=zodc$&!mtk>Wz$k!uPEIUs$ zc#$X#T6u|IJxM-B;6&T1wy_DCqR~}>h;iS8nA*?`5Qq4 zGmG?TAzqtEq;Ksl@5mM)06g8WmuLD^!#*LblHA&~Mb7fS(%uV+cPd6S8XxizR>9g2ssJ2L9WuV++EQs;^4 za;Gd$G^7ThyAFIrh1p*yoEr>v#U= z%MklWz0XpVwVf24^Wt9bQN-5t-z9yg-~bbk9bEQO#g1K~68p&dAaq+S)xPX79)}@K z6qMMf2y)V@n5^9jjAMK`9uFw*HG&fRnBb06 zUYVlY?|M`=68jWE_y%mrq9D2D@}V{Mv6DnWtt2x6pl>B5_A$xG^50&!7PgBdLLOxo z<}lvO;WNopYfRwC0o^`{^+v7oX~Vs*8r;N)s(Knji&YmS5DpH6GP9k&emm{e9wBBk zU2#vb-&XCJ5Qj*4r|f>J4WF>QhB^8kjV#~VABH&}_x6AD{By>_9ET8KdX?%<_s(OO zQ|kD{*Dj!-vU8rooGr+@sBXxk-mVx+)M$^PUSbHLddZ!5%^Q^y0lj8dQZk4T+PENw zIS7LaKne+&RxpJ*6(ChQYT}_|n6s+@sU>KAnyb!XPDMy9j5^bP$Wc9~3TlW3plXTw z!4!<@!OPP%y$EDT3F(1v6)0u(7yFAk%uMk$R>G(04TTqm!eLX=yIzNp4w%!^HPakj zs=U<2F~=fvEb{QB7cjPlHb^GW*hlEc`j#isw*DX+?)xGp-jrozE=}E6=5pj zi?clc{MUck?|8*y5iN>YEK)1-NZldZMe;X{%oG>8e1)nBUsMz9j7|=~mL9l)_S6K? z&7#rGPP}uv@4h1KsR>BDjrG2e&l~a2ymxn6#RYKH2W8 zE!UZ6Vy4wDH^^v}8k+j4;s|gW8*jdX{cXMuhq?)73O(P9#;}Z}z1#M8CZ~8Oadipq zt-(pa)$wHyW}mNg*pwuKdjVJMCMu8hC5qeFHhW&c)M#ef@w9!q(NQ9va#GmJ9Kvdy^#o_J+u*&Oi&T@w*O66nm+wvBokySZV(_b;zAQkfmpks zW`b5QQ6wCG+8-{C_R9%t8Xai2L=6N0@+)PJL zcL)>@c#3!{fhSDoIjZ|>e1`cN{`sW5mhaXJ15M-GU)9BVq(B-dkj4p2>6THOgDQSK zi;LamBM98`Q2cn`%-r(N$2j)Q$jcrK0k?zZ=PT};+GS&g;K^$FpM%BQ3l@uZ8?ofA zshtZ~*HgIqqwjm$*Gw3#0AM@q2Mbqi6-BZ-rHHaUY*2<0L6~qDnpiy&dsqZfxMBtr z(a7x=u0T5|p&dss94%a-6s9rY0ep+i#fZCNr6c$W0!D!tm^boOtGiMp(St^ELNAuSzb=1Pjd<1Xqg^ zJGmg$n+XCzta=deubv>ieG??m7Mc|+E|<^@`OtLsO%Mw)(IZ7DG#h0>`ubIH4ILxC zK0LE_MLJ|A=wk%YeyV|B`3hG}^=5+GrO>*eX9DxtT0v27CW)*SFB?P5R%rBXPEw+= zDhVbbWVj#+i=tR;Ke*K8O|n}e7FS7dMII|^kLQ7QdHcBqcBzLQ&PDbty zU;uB|jQ0m@3q(2KJTZ|jOO7gR)x8A~&_qxAT~XGp(l))xzarQtON$~dHjQ#em%Nd7 zP)nN)00i2me~F$#@F0D;u^kjmKZ%wA=-%V~qq+E`Gh#wJ=Hj#hy%yQS{-+YG2`fR^ z9|UWsw4=792NGL_Xeqq&(AxNQ_s%lVY~rV-N;{b^t-LNHo_R0FHV03)7d%b7MRV|U zGqZ=k?R_8k;-BzJn^vaHyD(IUBUeVqFPyipH{U*!UmRu0p!KSUHpu@FO9s6Q6Fkb2 zK}}NE^H7`oS~ z%oFi6__;ZiW|I=f)3LLIas3WPd8BX3!6?h0>R?PQ;!Rt=HI9TNzW6`<#}7W=so2!Y znqs#o0)Pma@<8sp0tHtr8-(PXrN1|$@~@`^DmLDZxjssIv{ zvS2wYXxA7vdh`U^a79D9U~nN(U#!6sby7U^s4A*&@1PEn7rBb?gZ($~irZIJzLB{_ zRb#P?mHzGToqLBfz%VP%3*uVvr^QG{W|w#w1SLZds`(Ck(vL-XNx&reSSLZ#W1d8Z zbXcmtE5AY5pHGU{SrAApyKs0!!pk(pSt5-PdG#X?j6U+yC*4{q`?QgpZ2R$Z)ko8z z9>)k*n>~_3qYW60WJ^Kx3}M88w-&fe)hp$6N7UAkUio*w@c&wW_M2;qyecTuD@9$Q zqqQW_58eJK(KGrz*(?q&$OT5$H$GPMNI(sv6?)P#YbLuK4C*2}B<=@-Cth>oE*Kp~ z^k1Me9*O<~O3DhPa>3{@V%9JTYLK_;7NE4DUU9+bFcK7{Rrf6$F|r_^p|acEJ+NMy z2}3izX2N{MZO6kcCmD||=_p$h`6>bq1TQMB(G z4at5 zO%C|aK=BjyKb%2J#{C&Bh6@%pHQygUShS$}^O()z^l2zQFQBYLn0V(Nw(7SqVR>_c zg<(3)blr%BnKN(G(>jO69Ubsv!+#!kxKdGIBe$q^0%q94^}rT>_HV!KoBzwP%p0nD z$~82~m0cYvRPtN18XYP3j|SLeqa)@15nQ;oxh&f0;G^h>+32H0GaXzMK=KTc28(KojB0`^jw6Pd4m-%4$5{7glpreTQK%wNa(XRHiHc zV$J|^YDxA218y5TE}MFUQ(XsJ+Wro$?EgloqYeW@yhx>ri0*jE2$wFAy~1fdiBh}u zP{{p?*dkDJ^U(z!`%QDQZ z?!GVq3(Rpc0`c7naw|f7C67?Bu3KljSr)!IW3VW#OD1?g7bCq(^hEWTWT*?uZscFk z?#&(QrY+7^?xewNmuPb2IdVdS_D}kuX?*L7>kj>u$fxZA0c-{7R98Wem1;-kK?jk> ztEN+Jf*7o++;AADE^c}h)a19FBv>bKlEebuB+%beERmOWE?m#!$OE)td>jiw{88E!5juh>T;qJJnki1nZ;;mMnxH zn(EC2LF8EowLlNno+H(p3GR`GfcB@-f;L8k9S<$)txE0}I)vpK`puK$M%^c|tSiBF zjjjFPdaZ`K$Pl*0BV+%>h2nqyjem;Y^Rs{BpHwRkHZkP5&GJ#g9DrYd&pD3(;0TE@kPvm?1?siCqeZQp~ghyEd1bU?oIH zQMj}kyC4%JCRkP2_OR_?@adtMAW;3J4(6dZJpc<;m)Xs1~xg51#wli#m+9O_0YG>H4R-jdc0!5QT@4}^iY)40O7F}mt6Xfxl z%nV15w+GjYD;7yOo$VFH`vWIeo6GSCqCDJOd%QtdkqiZdB0-V2lIad1%`U%Ew?+F~ zD@iL;uLz}RJRQ6u@He-T@gD6COL7n3=*vyXVf(d9{n*w-av0DDInSgf(~)w?Mg`ouFknhI_+vvciOYQ-UEa7yj?>8I9A zWZScR4_nAv*W7Qj$wD(;mfPyvn3`ZHXFp;chs@Ux{@}0uz}Y`P0)K*vqJ9=sQxA_4 z>p}tkv=fe|Sm>gDtmP}6zJY=Qpy+KeE+!j0WfLK)37$0+R0Q`>JJuCpza(#f!5%`W z5f4q}Xo6r{!8PD6ZV~Lam9tc}1B^mPDkY%Pig%50SiEf1GxaZ`u80ylgPGk*d{*A+giwnpv9s-hbyD2SJsv3SZnvKv zYx2b|n`fmA3Q5do)4WoU3=i>H$&x`5eU9xT7mJ%`aH+_zh8qu@mfG2{W_XOxkVfMp z!iR2oXiLR$rsi1(4rg6+L3W({)JgW!t_TzB%JaFp`eS!qAG`DX*kLo0h+%V}#_D%i zKJhlk|C+C5Qw?qZvBLrISe0)F9y^@qRUf!zo`3k_i$Va~KqSArS*bIfzKt<&n{_TxBAt?^ROaMR61?_h>y-6z53|<;d|wJ7}Jd!bSo%Iv#babLz1&o5HuF)Vy3&p4LL(~ zG1WyKcSTfg2;!wK#xP1eIxqE*jTbXT#&@A~@O)tz@V}H_UYtc-HGEdI_5=q++}&VL z*eetbC;$V=eu{&d<)gvr;mFVlmF%ZDqjCRiKNWZ8_Lr?t$$mm0*+1EKg9ra1bzTtw zk?f~981eYoeoCAWmP-cn9)7H9A-8uxU?*SebBW?v__n)~RO@BN4nV8&{JVBdeAI65 zW^!{dc^5=?wI>6RZZUw@3;dpTi)f5q5B~XJKh1hx@-X$SZ!ne#zZ`{ny^^ctX7yttl)O5V+2u_hHc>TDWKmw>!kOmw{O% zHYjEWMFk9EqBD-PeQPt5DctTk6Oo4oQlvR_G=)dOEYTDe!d^~f0%2XVVlsuR6*|3O zTv3dWa!$Nz2^7p`ZrNr=n+XTLJx9@bW`;@7j@$?&Vb|LqQjLZEKuc!KD2c*PYp^9Z zVr!zh4gOt9f+H)hIcl-h(4L6sQyZLX#BMX?(-bqS$G%l$w!M7z7x1eLhYZDw0PAb#Z}WVg5s?gHGzEck$b39m9t zxJ-)om^W?SutlG+JazO$hk>He8c~ye$2&gZH$Klbsb=+iwPZ{pfI1_`LD%Dcqb9XF zT$!^fm0xs#KB8t=6l)A%<)E1qoNlkF8lr&JeE2{&PuPn=Kl4zI(M>mx-=Fw6=rV24VN0Z!w zoldLHz~Z!LnQF-xD1nw)tcgOu(6XjA)7@EMu&zoXi=2)=s&i{-q6#oo3;OlZo;qzb ztu9hRrLu839e2{XWn)Zwi0WH*J;%UqIh(TeQd_Ag;gf0G5jLNy#vV-8pMvK_Qrk@9;d0)=o^NmP!g| z)UYH7BRD#+d%@z&aM_Z-v#nTYNfD^;HU$q@zA6Yq6GVqS2_sNKSa!wnb3xu46U3-& zwgw6x0^rQlRxTLpn?SKB^)ZI%iQ119Q>xUu;{KojYET384o#J6f`BY3paWwkFikHX zTAWjp!~`QwNky4!yDM-KJIU!vjqkkbgJr2mx=!=&vh%3&5#>?-#VVOYnV?1w_Vi>` zvyCACJ^t5x-E2}aWPnU{+20vJeIo#BuY*j`G0aWd(|)Q7yEKjON&L%e0$vfw38;P| zfTvhXBPAbSAEBgdhxe_uk&=+F`yMTkTwVs5l0=vr{4aT!`nO1vJ5TlyyWjSipZVRd zI&rru_STe6W=e713UX}}cqDU}$VnDKEd4g?V6ooM)?DLu-)6iw;JE>qH> z5)o_wZffpM#K0ihH6jAA1-184oK3qwpdmQIzLai|_@mmSDd(%gWu?W0NIJzMaT_oC0x#e z(YkqV04Jf<7C&@!Kgx7kW*ZJY#-bR>mrO6hZZur9=NNPoI$Usa5}%{LxxMNf$!{>e zQqm1ebSyTP9U-`PF%%J*PR9H|r1aJd5L_e$45BSvJoy(&M2#J$S}9x>gDl%*M{+-Q z81JN#OZ0iS=Na!$w_NesnLt68Rg%22QxSXz&rzu7a3VxScfwpnrVFz7P_{~LR0T`T zt8B!*46vUy@o!lONS33I-@oDQLn^GOP79s$z0*Ovi1{GImx3qXI6vtXR>5 z5$_JDXNyrm(@#%Xr`uDF3an2~IbT^>t48#kvM9Z(3{{oJ2#{atJ~8+UDu1YCraM^R zSGG=2!aQjFuhGoSCM82|c|cLmv~kxs8XbVb@Ww+kf%=7IHbt+2o zMEf>V%U%z)?6>~N_pUzKLq8>JFoa>DZn)cZLi0pW9zp^5_mKyMR>%ZF8^t0e1a~0e zZv<(COb{#5{%-IO$S600^bt%DfE-p*i8)as*m!7a3==E}L(;@>>3oj#5vm|~VsU8U zlj*U)&_^)A{Q)cta*h~d->yg>!31|`@E1VP`)x;j7b75iIs5KoIlc&Bre7z z(UPlkd-rQJRW_F+K7vW^ft!&q4RL3gVqixfwdXW)!RlUucA=D-+p)^9tQBpn?>cO^ zWm)T!EgP3ZUfPu#lkHb>o+)VBtgUU&2t(nHJ*CM~G6bFsmMTQZ-U?{&^a62tU`K2K z6LB%dnbKz&Bj)uRcfVM`iHl&_$As2)vlr_e?c#-JOiIY+8T6FjT|`liC= ztXeHP`HEDJCWuB7>K);BDUp#2Qax5dkZeiQ5-^ozgoz7E2xXEbAmp*T0>lZD5jJ=L zH#Tx;l-%`6U;^s|xDG*lBL-w)9Nl5fcz5(Mrm0!BV~i&$QdNC>R}K(ejJ8iH*P>B@HHAsPQSN*|AiX29Sz0tOKgg*RRB*=Q%Lxhld5 zyU>$7A)Q41X>a9CsoL^xjVwQ;YVUo)-}tIua>7DgsHbYLo$c!=nN_#}$iW}svP!BJ zr2o1kyTW+$9b&SyHE}QY{4-etEg(>U0%jx zbtSbZ3Wd6)|H1T8QGMr@Bf5xADpEtL<+iU>)#ysfKUGy&KDe|lKRgoLPQm{AdPAQm z1(j(2WcwHXWit&=G1UP8R7n$5hy56y83(<)z;%Bu@ngWsaxnNFo=f}J(^!OJRSQobUUo(Xz`ALy?&vUNc! zJrnc>KP;_LwF^?|nII;ml?K1fvgvhy_8BU@Dp(u*)|=irihpU6-r$FZ`(7&`m0p#s z4SuVA3BSu%4C(1#nxr@QEy3QOZqBHBRL#@}REet5FZ;Dm)n3_qY;=<;FZr zh#a;Z&1w{kVGL(PXo`Nh=;e1}7!#5)i(XbMhA|-*vuuc!M=c;NL@-B90$RSQB4*9% zKe)+JTRGH-q?T(qSrQiJWTtPc6@qyAr_F{dyfU+t{-kM z0Ww>#+p}O-i>GD_c0CsClfLEqfAsr2Ce@qKPsANix0Ra5LZY*1Z=wY&g$EQz)=b1_ z!xSx;*F5$>o;HHff&nz9u;FgNCPoVuY96qT&5Fr_?J!_mjHj@*7hh|=--S4nsj{qSh;&i|(Ogu=V6%6JFPgDsQ6%SAw zD;bzKo`3U67FmtvwN)|(4QV#aWos0OdzsO_vWXtRb z%z%O6$cNr0DZ*^TXCwabC6MW%686S!>3QYhTfg`d-&}_e3>$GUr<3>swt2zX;o0sb zBkpClRbx=JrKcF8yTOP9xYVqejCh@$vjBjp5sXIM8I(3O()k>t5w8qN7~9i}siP71 zkvPi(MhE2;qY?LsI3V%pndWH3y@i+Vn0b8F8P8v&R40HRIj! zi8$y%YJVch7~0WmA+f{i?F|Y;;&i~$_kQ_!kLpC6?tp4*I?qv8N1Tq(&rBym9T7*e>vqY)8&ALPI1$huT@yb7 zG7`Eps+jK7=)y?Kmk-lwmMVM`<8Xx4H5BuNU@25D(aVfVNFZbq{8!ik)xT}x&y$RF z+98JcIu1PSe<}sP^aqFi0g_17Pc#tc6Xv$37|6LF8Gz9rw8r<&{|AAzzij*dEg_Zs zJeIM*IGo_l-yw-SN~6^kYBurH0!MpmrOw$inmwbB4bSK+|MYjg_|2Z)&}xYx-fLBN zhXQZ`vNyD?nO;8e&>t)dBj<*s`i@1hk8w=DQ#nGg`ofIjM6?Wf_jbKGvw7bp1i z<+?|KpGxj^KywjLW#3)41^<(6zS_>F?jZko?nYm?udn2;2koX=_tt-K zZ(-;YrH@u1;14E}djf=#iB?Fro9LF{gpj6FlK3ABoVur*>1jpU9wKQr)3;})r`@8t zj;=F*rqITmLVf>5Uw8P>%FVQP30f7R^bDdWeF4@?QjH8SoiRiKtdLgkQdA5f;7`6*sWQRry#7bnm`w%nKr>KjIOjlY+6nV&q z3<+TU;efEuw+i^h`NohJ=Kdtgd-37IZ$%Of<$&wu z>(1GKc8GILG&mMM7h7}GbgPA4WMG}fu%6f!lj}zQvKjAO#NSb?r|NIC!AGe~GE|tE zvlj1O6bO_>Dc#JRgO~+jPJ+QjwL72S`|WUOp7NA z0BqnMt+$#9w@3n#A;vyKAgnoa=+}*UC_yp=*&|c!LzI1X*prl$0seYdhTw%Y=_!=W zGvEZ&{_jE6LM%h1&=a+9k}&ExJzUWiR=uVEjG@vQCYEpnA0&lbCMPVU>}qR*2!Run z5ach}cic#ZB*+<>jM0xQl9-LsO45eBC zUIqere{P54<9wIl{4vY^q~Y`e9Ps5hARcdd{W&%(PKXbuvypC6PZMo6XPcO2!7Tet znk@x^bpEm(4%nS-w()@LvrS@c-$-dN9FW^#|NYr!7x&+uZBi9yBZa`QKexmFINx~x zH@mYn4`kA~b~# zhDuukQ;Nm5F{^a%=)saR$uaXeci`;sti{w9s0!M+`Z2jW6ShB~1lKBHMAl8^{}h=x zZ45kjtpjLu1G8a{PM+cT+Il(|bbEhN7D*=J+STU0_+v<6%2$1K{^-?5=MUd2*W;sj zs$^X#3Hn^0$#;d``;@yfELTr>=&o=VW|PW2;RXsAy*q!|t}n)2d1W8Nx=n9bt%hmL~r)Rg3A~f(?LMk zx=q0w0bYZkO7X-FCA(vq>Dgz3!+5t&=G-n+mNo9gkK zUjwhk9T#(F)RiCSNssP5Kf9~$pLDHTAqp^mrTj%HVJ^$?0a@R9=W;H~RAo(DW7frO zOSap?T6rGxw#FOv?l9;+O)oJgrcH^>ot4+qS@|C>KlJpMIyZnS{eD^zm^!gwheL06 zXb9(#mk_2#SXp%YX@h{7kvwOmVj2*6mo^U?Ds&^A2FT|e%J%6&#E+G%*d#JmhqTKo85cAtb=WXf?Cs=WQezpP3|( z8B6#pOrZey*h;dZZIXxOik!eqjMz|Z|0#vL$|N23fm~0U>XD`llXTn%(p^2te18o1 zfznk^GI}?dD^QRh%N6oS&9%ong!WTT>%q07r?rKr!0Pz50}>0qWL`T!oq5esw?&8ribOQ22DV-tP zo(^FCDW((H_>s~HBaIr8RSnBYw#D)XgqhTY0f)#*--W%W?7OJnB3+O-Q{b6h3bT%k zvP~g)>^W#iDH>}_lNpQ4$&M`F+Gz%8&%biq8z~YLMzA{0?kYYLZTQs0Gf(hP^=`p}BFtZ8r zH(DcKaEP?7xo8cFKH{WbMb(DY<&M# zJ#!ifzW25;QAc6_zIs~5a%tz}5H}URx2)Niu-Z-6Sx;-@nT*tAQg_4=v;>$j)!i{3oxtSk9m4VU5s=vsx8xX&k7^v zILQhFZJykYQxSGe%mt%;TFfP0hkGH6xl##SfECe;;L9G&ey-^1&tqP|JU^`z>+FHM zlLE5gbZ{-4vWAL6U)}d;{d7j!&q#Zzxij4UvG;w`GylC$tx!vFETtNU#*E<>Beo@y-6FBtCSaqFfCV`Z z0_V5|qhE9Am@nMJ3*yAjoDX0u!}5W7Vzn zWZPS#-XkB(9Eve@#Fu3ntBoxmyph|ZVE?2-r;@tZDcE0MZ|D=Hj%FC=nEQ!{xyy6y zmL^%Lm|?u-wBeWjC;#8-m&f(kMq##a(U0q~#U8T|RF4(fYJa1X9$Ot&$Ocm3>3Bzf zp`U#w>M@iYcxVb@eq*C`q8>Y-Z$_JA(eip!kL`hN74?KUJ#En^>ahav6@}M}$6~Cr z+YfwhVSCrGX^$gH`V6zd=^Df6e7#;#?*;0v#{cqp$b|)FoIgwT@DN`en+COki7b+I0o+~9l`Kt6unjl8BYrg^}u?hez&Z^}v zX@aPMXuTPvb>Kl|&d67!)-ypCDzvHs79B0WxFEG&6+|vtizTRJ(@0^_+*%FiL1(o7OiKJB?HDj$z`EH zvSXjF%T#47LuDjaNlfkVZ=;{$SNyC?Sz;t8q#GHis7K2KYK!I~V3PQp2z;AsB~L=F zq&%mm`fYQqO;ks z#jqg84+kVniXcbS=Q>1;A3)MWegOJH$~X`Y9peXp$~dDLy*fZLs5vu-``Kq=9>g(l z+l_GP#ua1y;3=35>lXPOWBlML7z_n!t1l^j*b14R##cQ|dWs)94EQS0ly7nGoFp4nNFX}Xb2_s26kOTlLN9iQwQsAau`u1LRZ z@MX~xXq*I*XGdT8;5i;$3GPDq@c0D2@ih3kIhAIU6325rEv1mm1Pwtz3wK~81BJNS z&4$vq z9KP3KlW%W`dIam{4Q4kC5u1I6+w&Qw1*Wsla5EHtU-jR8`d5ud-#glaDbcrP2oYV5 zMc>^Lh8@OpH2OxsufW2w==%UHqXgCag`nJHtem26biNnCrIc-=V2r-Ov!qS^J7fsj zz&}Rcd*mdw< zB8JVv_`vmFfqSMAWOP?o#8@008iE)+qfw}@NMGCpIRsCj00(qUwSu&yCb+|>S!qT> z+gKT6>nn=?Ue2-1n+I13at{hua6wv9TM>wg6)pxfIRw|d>YaUspGTr^lkAWXM~Ywt z{NYYcGD%7+N;!D9L94gc`2cEc*JSC%*4%?Rv8;*Ts+E-R$u0;W5%>_uWFXzHDG$FO ziN0;kZoOiw1uh+IgIRKNOf800jHWf3)5@w9y*%Nr-$!g>`IjfSig+XkFBJJ6ULt%x zPSHCf74c-dQ<0I1_t-tr1;Uo8@pO5tPtCzkSe|Cd-o%mRTMI@E*pL3sUw-PtW7_wq zQjPC7>TYDPpqk#4IjH`AUrGC-kBVpvU|(h48?$h};uE5M2_r9xpG+V59JBTXbDGpd zVS5VSnO}|MKFcQPYOi1WqSyLh8Xfh~#!AH7gTZA?B*RJ+qS<66qICq#9U0=G(UJGn zd}_F&bc2wc)?W#oY}qo8YV?{|Oxj#GywOaz2be>O0=KNE_2izT>#U8tRP;LPsd_f0 z;kFu{i=BZ;cIP2is)xmQ9vgC(KsY#1UTr$VRC9;SC0ey$q@C7EJ9C3AfHFG;V1fCk zdBWJKAo9m;+?CcfKYylP6OS4?aq|Ta}3`Ky0s{WZ?Sr2dE5b&DS+C(OF#0_aQ$*}x0b1TQHjICSKHW+b*o z7?Go#7!sr)uw5}m1bgr*Nj7K;6bj>}7-gh{8G2zg9Kk8Jsi>GRLolLj)|h`GtlKeK zj0v-9gAbccnO7CU7TR_DA$de4cS4U5fi3YQbI69PkZRv{b0D8n9EFC@F9vvqpskJhcJX}${KOI5@NfyGHDqN32GTtDNZ!!2L)E}f; z?RmyKv{%+l28`=1n*!2###@9`ChLt17?+3#^JAnDOHDwcDM1{`)w0ZZvGW`a7}fhQ z`s`tT67f|UhsZ+15xOQ_eyCB|9U%WyT_GNby zj8d8Y1AZlt9?*nztHVM;f;Jc^Pi;b)jK}~^Z9M?l( zLFLcPRYj^ITRAefBI8>?_6N?6u>XN$A;JXN}&lG-~~y{tb52gWi>5{nH@+c^vXder+&5FozqmU>b)`qq-x4@ z_i06unSOJDo*SzRO)X8u1^IbMUFwQ(Tg!*Gg$mgh`R05VTc~^`u6s250u7MS%F_50 zyg3Paf;WfU7>Hlp{Uh^iqMdHb{jweWy(Zm*0O)obrDQm~pFjQgG(`*!e6HSe+s|Ld zD~3&ZY2AHQ0y=#{p>FZ(cJ98iDeMge+xpHQTE6%X$I>kzx*?qmvM7s90i>Ee%IOwx za1xeDL4aHv7ccs&)v-morHm!;6{EijKqzzJ2&lnm(}v#BUqymPu~Xe)Rmi}6#pthY zG4Q)29gIxI1*5;Z-yqc?hh85FRM-Sh(@s@2s0t|47Ik9)WaRIDPixhc8W~;d^{A1< z#}>AR1sJUtXE7>OvaP6x=#NJ|)cA=|OX)RM7_W!jvYp=BWn}M%Ww}*8Y^u@N?+HZb zNorK`aN%;~*D*D854IQGsJG_V%|-9qD|#0tGLpM!edC#<;d(e44!`=tU-6oAG^oGX z#utuLMzXtg$tB%o9<1S%G1v!5+8hlG#}`YhFPN*V9B=02dwj89V)R2^F~t|POJsv$ zbt9PKi`pf!J`}D>KXk09hAxrCuI*%r@kOmK9Dpru4}A$v8O87R_et?Zz$vc;|C=I_ z7+-jo2&x;bFC@u$cLGj%+3!(_x5shHE5uIinsi9&{i!%*P(EpW!GBG4wXN*zIOSz? z?W6ufHS}uPoAHM7kEca9y334Ho^i_NVt_0=y!?oCfC5J+woHG)L?E~~C^DSxfRvYE z#JcqoOS1!Vdk)C7TQoZ$H`Av2tsnWTn;&pAy?TS@fE3^G?&OI3XdoRU?%m-)HYtJ! zR=vt}jJOY=e9}@odN9?vTiE;TGcn>uogeKtq>xx`%2W#%j1l(^RIpOd0oR1I3q|Na zjJTnENHWP_A9+b}X?(?4Av&5BNC1bUX#sIS>?c2(7f1ky>rV_c=Kg9GB6StEo?vW} z1hT0@uXm=PiTvR@0Cx z{ep!nDkKN^CWlS=d4eGiFZ%0UA({>O?HTf-x<-7h*^u9iA^(m4`A>i2$AwCzc+SR~ zT<0=&7$;1#3*w9DRn&7l6hbCHN694Eikmg7so+fpQ6cSfJhTK8w&F$**8mxz zskc3}WD<%XYJ~{QVe$9-b>WZnLu)3XNbWH01KD^ixdt6(b8O8d_`&JGKytH|Sl-Wa zH11aO=x}e{E?BusMpE{&^o`vucKO0tnhnAs-<%JF z+h2ryGg16$W?hQe!7NKg$;|RS)GI3TjRSbKEU6EvSn2w0H-%wHaBt=17}5B>=z0RI zj#k2^$&M`F8Whq$eEL87rrkgBs78D5EUGD;c+dt5q79VMR{i_(RZ)f}cy#(7e`OQ& zVeJD%wN!>C7`u)Iz8qVBsSF!I&e-VB>Z3BOf;L%wXjC7Sp$Q(H)JJ7#g2xB-5w>BH zK35%B429B_uSnCwN|H(XTy<~=_avECHAx?PxFph>iRZ8;{Zo_lx#|nzOrqqdf~A^L zwO5qv5TlN2%z@IV?s++Cqpofmc1RiO>Zihwqb@#K*A8$l%&3iPL~Yz7E@NAgm!fOK zD-B&6zC~&?Z%)vSqI%2IKcX9Zs&|fuZZ961c8gl`HCI-zr?UEv|LDUn2L3(08LbPj zB?BE}jj`!j&VIjaDJwJ{GHc|-BP%wUMr)L(XYDr|4aERM7jE~AI z;}6BzwWB-t{&G{8s{DcksAH1Oy^q3ob}L4m1+~=U(O3;{YY6GtB6|$%D4-C%A zhX~J{;tWiYarcDMAvhaw7-s&x)A;bE79WB$No06%c18ZZ@>rkh1x#=8a=yMm8n^*+V`>Sn22b7;w z@w=d8qwLV2I#-xE+DuhVyP#yFiXdWho+C2zIM)^clW;9g=73%%Cb$v?MWB53EZ4;1 zlh8mXin!#c{-aV*?c>3cZciKerLr+xD*tjS1L+i9Hbv%AeP>B1`#UG2-iaRIlWI&; zl2J39yldX@)gsWvEdgj z#H^A*a)Ge0xtav$0GWnXmcXwdtmE-=5}bogE!q&W?elMlR<-j4;B%|^l+Ci~S{b$F zVtFr0Yp`zg%SttnQ9C`v!JxYW$mzDU?iEdN^R;a1z9sWnor?>M9MLBK93VU7uEEgdVa6(8<3nBY#B=ujXB&aPcC7u}UL zj*{SGYmS|Y?f?VofFKT&f=FZN-;5t4BClvf_HPV^d5Nlq^tDJpe-$zOjy@Ub0|RkC+ax zbpq_z)1y&6Von58>%=6%sx&J`_1MWI3BrPQd#Mw|bByW{IuQg1@G8)oXnoud2YJR%;5$M}T;9bVKvStC z;a=?)LaG?mLQ;lsTcmQiUy&O)zwB8VZs-CcM#aFX)&)$;a0?A1o*m{^w(27)L!4s4 zT>|mh3Pxp!KwC#`?KS}MH0KzVVO?<#+ROGFqcYrrKNGeBDh@mi?Nujbh^#&;1tPf= zVjTY=PgZeM3&+%(es|sBv8gQk>uSnB*?0EWzZv>OseV;22E(hfC5W&ql^;r{%hVVk z`G-iF(3Sz=1tji4Z9(-;g0>9Sc2Xc-ywy$$oG%_GzhHDyBY^Ztku~5;RShUOBrvZTX?}#iwom#6LHIjm(N^DBLLIq~w#EVw#t} zz(&>+x#Ys1f8hfUzFdBt<=G#5 ztVmQJte6b~|`N|Mez4d_6+voI|R+03<6PPVJiU z%mTG#C=Hs5vA?s2KYRGIhky3sqnBTJ?S+?{U&0hTU0_p|BesB|vxmPZu6vCP27Gr0 zA=5ql@Bf~6{gt;mU{Nhsiw_U5Z`!Gx1sXPZD=^zNg2~|RY3E>`FhjPN`-%pSZAk(z z?9lw#=Dw1_GrnQKzI)@FlEK@o)OQA&yYLMcdyV{DG z)GW|`tAEn<%Va$YmwzxYkPV3S3pwA#li@DME%l6Ad=gNL z<$)eC1Xm6UrY+yv8D>FVd*}Ik{+a7YZD=wbS=yWM_8ploE?E%kxU9XaBQu}8cXezK zd3VtIz#e0Y+P+Y!E?NUA28p86l%vgw_oE*7PE*N(*f~<=QKvxn^yZ687NiIsoi8p~ z5L*!(% zTyyBnYz3)hOmM#eg&K26N%lHSpAZA&f?@@#q|w)H7od`9C5baIN$0QI9!m3SSd&)3B%Q!+ zw?G>!&0mm=cL%>9+;f0MLF!rBSA%4_Js1rrzCQp!CG0TCQSVz--tF+mRLyPFbE;H_ z)wo#%`|G~Af3olFuYWW2iBf&w4Q^uv-n76`QQd)p8mc&JKGEf_>ewp--4!^i*_a!k zsWP-YsLIFX%oh4gKmN(8UXZO}f2jIIGOmOEf~w}r?&seEXXWP!sye*!LMaDB=)*H$ zCU#foD=JU+i1NI$hdyojA>I7)7r(mvN*@!b3$?l#upG8rkJEcP6W7KncJ@wZ-CTh= zhn=Q7qRNfB89;m10I8cd(&Zin!=1wOy4B{M!%`Kb3y!K2zGM1UFT|(Q&)$F|@1^RT zVFv%^#kDuv{x)CBrhR9BuPWpFaiyRsOhee;JNNcy(WQgYNPso*Sp20yYi9zmBPpGT z@wN3c$)}gB%?#k-Oa7d2i3KGi+vz2Jydi)%U)V2)qeE#Vf3DSvvhByu?p*Tb?#YPc5SS(s@|7obrNjlH->doU#^T&a9T4x129ooyEfEzRsfO`{#lK{CWsXLv3&OSnhe z*FH(o8Rkcqp%6Ga2rqg^50CK~hBa|~1RlEevdn=BM-R{O8Ky??8NpMx1*1fIMsP*J zsX9Yp1UwS*G$HP6CBu_^<`3u!t0&26&)s=`?ywnaD%gzA9X7A=xx;GhbH{(OD#_4u zcYgKf?zu}JJdWl|1Kb%I9gobUEiQODnAo1W3}xI<@UoimLx_}+W%K=+#XBXGV%DsS z`y;eVUY2CZ;_>;+xR-^@7n%ga@xkYvnie6DL(%M);RlP$t--7Zom`#1_38}zKSZ`` zzOLbP)|)fF*mZ~1;S3LZ+<|Xc-XR^ZJ6p$5)$8@yI%OT#e4FC_-1h5V@6XoJCtq*R z*6I2okoV8mzsC83?V+CkdUv)?PCW$j{=FY8o{j|iIwE(qxpPl{EsKor;oHrkQnB;f z&9ZJj{nREXxI6c-RfWOK&P@~MreqlE${V>YwwV%=&p&+e#SHg02!z6atJbc92F|@i zZu08%@}{gFYkcopp%2vW{MiXFD+*r`5ng{e#(b&r&*Lbhg%I z4ZN6`IukB;Er16wW)=eSZjIJV}uh9`P4o^RY*PmKRODckA! z#>K8+5`tu~&S1v1d?RQ;T7OWYSv$?+Lpb8yIX;9V-X6~g4-Mgn_vdkjaHt#A&x?xl zqhGE{rlb6wU`KVoLMc)nD;<35?*epYSnK!j3Wq7pMzB1LD#&g7sPe?>w{^VlO%v0PP;{OlH_KF z6aELk@SpwdPdUZC5DZ+*R73;jGd9q!+SW*;{i>rg=rt%)joaC1zL0z;(Jj2{fx%rN zwoG0_nGonJM)$&padhBlY&@Hwfj|^y!#FyQBg-yOaxZ)s#{vwoSunX5br=U{fhh-o zpJWXFQJ)}!kwNu_PENCC@QqO!T`K_riXcepG?MY|_%MzIcz;^Sczb*p$6_x(rsuGx zh2J^p6GLwV-fE*8k3nsEgfr3{t7s1jqr&hZ%7?bE06v87i8&o^>CFqUzy6JXvhVD# ze>3!nQlUlyXPG%5+#UJ5K<~j^O+76IaI~6w5B>!FkKi$c7aJ}@_;z*?G@yM$^d8SH z!uj*}bA$0M_8$NGH+=X1^wsVnC~(Va`UdQD)a_zlEPwf`kZqWt=7X3=TW<>T-UVrc zOwducdlKr=uDKvx1QSH#Z9&~)a+I217nFpV0Yxk7c8?C=W<_ceTM>y2kjBIKg5bVD zy?jNw2qw4#>8PZ>0Sqqb0vD8ASv@q0II<#$>(%tSptuOOBzz5}GCH92(w<~S-R=&` zk$M14b9>!dPcKLBz!{<$`qfI<@O`!nGW2v2?C2;8C@nR71({TqB;)Pbt`AQz1!R`YSx%Da2BFgfzY;29E6|uUH%MYhJI@Y&q5hsr;F*);sDnbI zt=@t6UF&w9mZQGKKw&_Iqf=7;rC&PIFD#`YE=2jKQV!^q3Rox@ay4YPi)(AX7;shQ zY5{dj$mSsBfH%W2DYEU!nWkFMWV@NFIa~qSRi5xUV-a{Z9(?e%Gt0xj^x%WeqA{rp z?=Yzg4^k>xb3vyOB?oCs7ea_+lfmy;I)OlL+IQixD|6}6yDvA=tk5*0a<2MA|6_-T@gg$ zV-Fh!!Btm~0Dfq3M{Gr0gOttpI7Kb7xS;5$B6t8;T9YL`a+Oi5sP?6025?*X(MmB` z4BgO-gqdP66?es+1#{QfH}O(~^-D$8d+OcOpk8Hi$Ntkmk+=y9GjD zv9yRmHCA>(nmZFD98%2PZp|M3d}v1BCWx4JC2dh)*pZ3e@f=03nIwLeLL>~q_Sh1A znqa^~${W=2o@SY=Sj$e0MQtBwPvwLfM1v_3I_7Nk|v*S}ku4&7+Hi-DC zw}0z5?S9Plr>2rkf0o2D_>6*%`h{7+y}=oW2@ZTwwrMX!XSTeJmQ z@rIaSt%R}(v@P^ef103ILItv(&oR_NLM0RsW1eHY80t@3(QAxb48Ri=R=$Gjjs;FH zF#@*R;>uHj(@Ts9e%i}U1x_z9B5SL5!w;VEQ-IM!e-i#~JTXBs7C1q|NT58C$Qahy zT#&pKcIlNa0X)t9Ue$DeKs~QV$3&`JG@Y!yMSBJ|fS_A1sfHd=%&Lk{t$h{+{yJ;& z89(*0fuEYH*30WSVl{@;`fvLqANso!#wk~~7~MOf4~iivMJaR9j`C^+bBS@v)h*Wk z(dbE1ZP<#=I5|MTmEB@YPNM1A?)GAO;)=;FwxZM!uU2%6yJNgs(Jem8tCiehG$<+3 zcDGm;G_e1W*)0}7gK08Ug<3B^xy4&#vt)c;x8bzp#(_>ji#o<{mHadmgb|O!-A37*K2`KkqZbWwZ7p1*hFoUFx$76bG*IDk;yQdp zVD?%MHG0wAW=~Ha55hrbeZ)gYFB+WyaQ9?IFrPHOWb~r9-TnZllZPf3IQ5vHWAdUq zuo#HonvH!~FnQ7F$vlW^?1TSC6&^juL1o7;)DhKGz6eD>Q@@O5?VgCQF^sUg+{=`u zn*SFM&d<%)%_b!RdtlLY5o^~_3~1gUC>%vP1P#}M^WbPCbi07jVn&=#QcwwPo#DLCJx(ywzy9`XbMWeN?4Ul&$ga>3Ma=uBYlYm4_2 zzuJ`ADT9A|HAXzXUY=lPEx5f2dRKGGXV!x2VJ-NqZ+`D*e7;ZmP&eBwtwk3=elci3 zz8`7)*2|8rvyZk~ZHirV$2|r%hXojMCFu_R*m~o^)j_}C2H(@cN2%dhz8^q8;MX)k zj_KFgzmI_p5?0Vl>nYl@9M}S)#cauq{Wv4vXGu2U@XlsI(fM{BQ>E zqW0$^@&YSOFc{)RM1#{z#fHI3iR7L|>TbDF*bE2>6=_nwDhU%4?C`Y; z!ZU9LsaISO_)r1j;O%A#=3rl8MLsPih;cvYNs+|CTJphBkbaj5B4N(TBx)9*`_|y0 ze1#SH{8Yi^4hl*IQU1$^=7VB_pkdMA0cjv{%;TZ?keJ{WL5KtoD5Dle4nMTKdnSq4 z0p$U?nq^_+Fv(G!rrN7uQ`@X@(q`(hj|~M1+H0umwo!$$8FgVjVk0<3Mo)0Bo;`P% z9r&n`kO9g1^*SARanV;-P+{dkJynIxF8b}c=+kadYX)W){d!#V@BO7u>b}{NVR|!K zQ`&(-99}IDsNJHl0GkDP_8GcaWea%H9s4&Sh)z$IOr!NlrnK9Tv}=P&Qj3iOOMS&; zO4W)p2fvo~mAGOyr8Hw|Aqz>eub52fN)mQra|>;h3r16lrX8~IZjdNg0kfJfCYsV6 zurMlkSPRf5o?|wphb1|Ux#Ug=h?68yi1tgOqOg?&8=yN6&jBc>m}gXX<7tkX z=V}ktPG8d7N~sgfb8Ad>SL+)oTQ@eBTi4HK+G37@JR7|D$Z|xl{CHH*=E-I}4iG0D zhQ*K;iHIA#(|^po2tGVK=4X8LB3vmgE~@Bh4WH`9tVH#1#u=Xb$aSOQr@ zlgv`ZZVj4g6ZGM_m|&|AvGNtdPMT>KwBfpoh4ih)6=|lc;9*nXt6R`gH;1N~Ho*=g zr5ZzH1eeCperU;{l>QG~3oizya=C#|kuOG4B}K5S18!xHEJ!nLhxYll+pYlP{Lq?h zDof&rRFYCqJQg=5NJ_Ink;M2)^%2mmB8WHtfkut5SSpZ9sKqLOLG7s90q2M`>1`BICPII*>`20k*$Va{*~~NdHNCE~eAu zISd7^HvfkFUE)*Jp4zlSj8A(I09g84g#bvt(Jz=il_(mA0vVmUkUx}?Rmk`?=}h@~ z-0;fafYS|cd8}u{`{WwlX}4%LyfVH13B%N9nYZ2%@A zs$|(xQ0E~K4nF}yw-%gyMH=3^Vuhao{Y&zIhykJDHNk+*2DLE+Bea8t*94J@X1ghX z#{dhrU}hTLD!5z_enLUDl5s^EUK0#}7FNgdp~di4Nkg=7WW`a)H8?%laEgO>3mJY9 z51t{{%N<7;5pvBrna$DT-Eo-Aa#`Su<;36?CCIhoWB?7%KjyWWeKDrh8mnP%+hEj! z_h2s73n(96XG$q6{SAcQEow!g>tGaHquzs=%maEn{07V=yzzj!;MX-yN|pfu$$3K! z==qk#`+xC2J*6Gy=y#%RQn+qQ_Wfkb#&uYU}&2M_s9Y9l{I+X~>kYAPfYC*&$ zyy3%gDQYjWqDj8W7{@SK73MRkk9As{zUZK@gizHAg|oR~5lBe20P< z+|~$6zN!dfNV~$=kXtMLhkh}duPTzeCFUE`EO2bQnuQ?gHvl$l$;m*J#1Ewa?;t4| z2s^rtp5~f|;^GF$cz1x0$0Q#)`mVt3f~4drY|YJv{f97L1#cIBBgJ18$vxmHQb^pc zVU3#~NukUpc|fSZ-~9k)d_|&%qsKcGf6p=~C`iUz1na855=^~-|I>NKd$a?gfE*p+ zl@#Axax@UA`X9I$|9phEt#4+^zgTuJ1_Ek6(B#uvg<@CE14O<2(=i%16)|Rdw~w4L zB;O6vxp_ix=o8N@BnkWBLECnt6!IZFkQ`aenYBY(kYr2cypj2rk3F;npqB`0ga?j* zJf5&TJf5V)RF#;dvSr01^+t@ujDnw@pSph5n@P8*wc|6y=6WDDf9~(U_1FKnbIz($Wxh}i zDs6V(0WORd(8^bEabl9nXZN8otqlQFn7Uc0=W+lc0tI7cFo&r}8q-pkT8I1rouWt+ zKgSfNqKgbxQId1LiQF+v1+5&bfe-|DU7PQtYP6pR{4^yg370V^+>n~1QZz}-h+&8< z-E%$K{><6{rX3--15rk)g8Jp86e7Cf2qk8t-LjWM5Zt*P9nJ_l?QlVxuE&6pQ;3Hp zp;EkbI1#u#DS;X954se{O2jMTF!n|=-XPR9@#Cmu;oG$<8udohh!@U0-M9n}q+ebd z`s&wkJmkaqpiiPQPfVH8V&A?80#JT#yp=Y$V2}lPA3czkF9%7tQ_6gtN|QVQ@a3G+ z=}V6zj$Nb6l==WAMHo+*4^VI!q;D^jDdAD2GV93LI_YBY<@Dz<4}^^gc+LaoeR#)_ zuMFSLU3fF+{Vk!e>Gzz!aM0hoOnRezL4_)i8*8eF2oQ&dv)CnOIQ z5(L6U>-HGAuX*a8`4cF3hOh$;1b$s}FHrDoHsPAU`8YknQC#Rb!SUo`q^8}XIl(bK z2XzXq?{ok6tN-9DoyA^nMw{ROZ7rE_We9JnJXma+6C9}U;Cm+Y*T{7=d5bG0IJ#qb zixfXEF#nxa{;=$tIDa&L_6&Z`=BwF>6WTFXR{rrkH@h}QF4{kSZoZby>yq)cx#H2j z*8N%Jp@Qd=(%W>ILTrg7O6qxsdQP#hBw4b2j7637CfGi_z$q4%gi73>k4FeT+XA+5 z(MDs-n;-Yju`rD_5=%}|0E}{qg%R>uDP098;IsUpZVLlLkugTn)l~1;)Takc{u+?>M5Nq&y@A{zi4zpF7^l(hJ z`<(UFx+j=|-9^WOJ`TNHHBjM`%%GaSCW|b#M!y*tN9LAjvVqgJUXQpA)m7uNQTCc( zDuk>inwqA&qen*r{g*1$H%&*=Oh{wnYcl0+Vq3P|nh}T_^VAHkVC}q84S{4^W!v|c zN=(>nD%DcRHvjM*xg|oS8g2t}>bGV*Zyqi6^`HJzANp)ZOARZnt+v<^=&~OfTup4K zdfE-kcWyEOqq+{8~uZg#{0BmBJEGjKb>I9v;WayMAAPS}o9XJd)H-z=HWxsWRXeqnyf*7LY z0v*mx<&BT5N$vn(lO_XH2!*XZs`XSM1f&QJ9ddNwgWEMzd?U`HNOpau`cxr=jli|z zJlFfC9RbzRXjOK5(BsRmgh3u{Ux~P{^@>oL)gK<+bfFq z2tSSvK;L2(X?t{05Vj@^v|`^tr`#}>j+!7f2)9(pY(p?P__<+t-PoO53+CXEOx^1? z&{cT6&1M1cgH)p1EG<1o6)q^hkc(_yfH`RBdo18;e^M}NvNXqm{uH%PYZJ)9I7FxDHj z#h11UuE9B^g8cyrwA3b89Vrk7m0{fFE0hsws7-KxK$j-X?{0y}Q%t1`(oUNo7UJMd zp*<>Yj|zy zqT}K8NQN{%AGVXNC2kJfY*M<9Jr34UQk!loG?f`_g#<7$ci1oqA-=lRTxA1PVtkow ztGI0Oe6ww3Au{nXAoqDnJSZNvn@at4s%^yP8;gPT3ohRF3vYEyh4vAcqA6VkU=`l; zC5Df6K;NFc?cQ)8&V%A|ixWX#WW6aKvbBi^AI1y{v zs6^Q;s`jF`joh5>m8g30?y7S=GGQ_*f*7H@ju&2-jf#;0wBJeDs5TUWHoRTbrf6%o zHbiZvY~V=Wip2Oq`^t-J+PAcY+cSGzZQ*6TIT(3zgOO>sXof}H3@qZimjCtFefels z*ZMv%I1;$SoMH?agu4!lKnT$$Yh&8b=L~^klwL)D~__(Y|n4jOf zmCu&in8k;fWew~sad z$=ZpA9&4P*RUhl;E_XudgT;uL+3FP0_h#;)bta9vMt(iPg#@e`LU7r3uT9%uf)jXZ z^YD`C@UTCchfhgwuW`Q7>qferZ<3dEA%J)=q{q1(&Y$MWH`kjpJm7vh>+THf+;KSx zSi^ES-&h`Cjy$C>))Ho>9pV8uINulqtaoRdRFZ~3I)B;r6W*-OHnASEKAdgR^+O=< zpRa#|^Nsg^vpm}%3ijU*0(t-54;C*+A1`y_@2%z6s+;(BLmKfV5(`_@6Sij?n|!_n z>Bc75?>qOfRfSP};HC+4Q!)&786yMlcvFJG4~6 zd(K*}tZ%`^O9P0G+Fr}Cqy+6JKde{e_kaNeWpQf&qeC&$c@sV4y%Or`mM>WDQ6C;3NMO8xTEKH2KEr54$cgeitomjMry?_lL+J?8e!s|q1?}&?VK-7tIr17N{j^^*#XQGR+U9QPdL0s9khbxONLK&n<7hxxJ z?2dr%WHcTn_YDN>8cc7no|Bqvifz3pwtD+I6XlwRTY^%A7lzf+^0Nn1+8Pt=UT^wk zTS9^QNLVe&h6F>|mJlAZp4_X*2(LsqVaalY4OvznR-_&foXkgZIAQoh5mo z?!1hDe*c+yBriYgNf`j^4}8Yo{8K;e0IW-lDL@X$5u=>H(4i^OTEvLXapwaB%E_2k z)3*i!t1*2k-Ud@WYWXmI0|d&^m?Ak1+=K{rIN59?W4!Ig6v*l}k{vdtFp_PhXV{p6 zT)s{D=6D-Zc@W3Km?AlxQ`4iyl+mP^%lbi$VToH)rnlJAiQ7@Z|?zz$2RMsh*wI1{X;B~tyi=SUrA zf(HOxMYHb!7E@*DD^kaq;2vpFg{=b7)e4G^GfDI`YN@OP_^Z7d$tjxTVX@Ml5rAt8 z53wIy{6Ui};R+to!b2Q?O7WztBBY^lQ&9($`#ej@?G(_lIR&FCP!&%LtBIhR#v`R#v;QvhLwl;P5ez!^ zR#aZe>o7Tp|GhirccfoDh%eGVCn0XdN{7p#rxaA4lzFT=4hJ;^i{J$d-@XC-(eL`fANse>yvD71 zwdapwR2e8uRG!oSlMq2zj3o%~TWg~4Y=|K@U0 zh^T$V@4pZ;iA3gb_ zpy=MqsHhI;x%NH^Xs%o!T10@>6wehc5&7t&YP)J!%&<@u-W0<{#ZoHh+UU9JPGE~} zRI~njiya#qWUG6%_%TP1^&yd0MvfiP<*jNKRU1;@Z7UXnZ*(gJUUKj9zztAAmv@a@sj02^Zrqr9GtGLw$V`vqn2KN#W1Qvie6d-;1);^W5n;pTzyH(v6PO%6Jq2298(zOA>0<{+e+sC1dK7$v*fs} z9PEkTU>6PmpC<6O%MIL@C^^Y;SK};p6Tz0+&!LuX{U%y&H~q2gFqru#2ES&e+G?Tp z7rE)CX&XiG5f-ktERMJ>VU%0jF54cbJa$>U;cPj%QBf!`-PNG$kub_iYT|LGZ2S3( ziy1a0FXd%*fyke3s>}22IiysqcI4@fgDgDPA^s8Smq|ulZMlczgSOp`ckba*;b_py zzx3M%^^5|h&E=|jW#()!tkwO$lNJ97(5(AxG?EA%%5aC(6-Y|ra-0>9x=+uXVyci;3QPZ zV@ZFeA1vFA6;GRTdEBTYd79pIBu{a51BLz&9@A^9xb#&&_@V#TcL$lhzP}w+JCr2N z+0+xNEY~JUv#K4;RHhpME}4N$WmdI=iONdVUZ1C&q;TZb6m8HBOD}JC`EboEu6v)G z?eoR_Z|I2l4!7S<=EIhdlEz@q%94y>TL(7N%r~l%oJoU!cy$%gzkydgG=BcBd^N!sA zyxHmFSPGZ{e)v#cFIYm5FwiMY+!Pb++$7v=Dc2Tge(D2J1?`Q#;lMj z_-0iYdxWuPVu1YHOLF0N85AA|gTj3hgHYjn;vk4Yz|6+1W=^SQ7|CL{Jb~&3LDdn1 zfOy+0$pkfBfIUX*z-T4vQi!DNG-yfC^CWAo4qblkl%8G$i3fPEJLqfLTmMBt;;{vj zUG}~n%E-*u*v!uzHuH0b%?mzvSgozR@}KUX zxdTa2xGCYLX?&L4o=)#k6M!LUdsbFdsX@{Kg-IhHu<_Fx{GN99ru_j>slYta2%P*h z*$&TYEdgiRA3<5=r$M0d^JF{r7jBx^YhE zHt{WJNgSK>5Z{7z#5cj*krW)bIlxKc!lddDijo4YS3;ArG6+X-mcCgPVGAKg86Ol` zbs{)R_M`}Uv(K1ZS+K9Cj7yh}G~GaXxO0r_b}bEQ+*L;)J@+N=!HV9eRkw9Nf0e&` z{wlhd9=yQ){3l@}f5qL(SJhrTQ7JOS5fkk;CoUm~k-B)1EDKeF@&bZXp!j5k^Ls7~)nU)K2~t1nFvlM6>+#(kjl^n_oU-yu@`QM zl!db(t%eI8!A&DDTujaYu&Z8?>aYlc%?1EItO!;=mZbBFjPG6Wblhk)`~c*$cA(o= z<~0|==sE)NCQXiTXOExC~*3E~^+mN=}P_r{MsnsZW@`7%&p zPYd~9S)1cK?8D0mm!`*si(?<6z3sYGlCR3YeKLBGqkc!Bl-F*H)^pd|o1csxaC=Te zIZrEASBhVDDN4~zES0OjOC!ruG+{MF-rqk?_vu-UNz7EtZw z(Euy^l3Qrz=K4rH>sJ!W(C8~ML|!pMX-%3Jm)xzlpzOk$JJ4e> zPRysNx#Du38*+WfFYj`v=i38DbbyGs=K3i0(Q3`={_G$sYi>@01Fj_V4MOv@{vwVh zq#3Lv^BqEeqOq)R!S~rp=34|dc2L>j7lAvy!+|dWieDv|KOK1i`uLreXZ?DfXFF7n zTYOCQtNPeFpglBJsf>KGfAPS!w_2()eHD#s4M8V*X6$^u^r7WwKllk9uF`J$oA6z% zG-_$uf~Hborg*NyvL(Qu2%r$-oW);$>i`(+AXUVFE_J3hG)(B8vU2ru5bLE4g5Ys^zPyP9I5PRlF3UYjSaTL^e}oN0$2et_ev&Zm9lc1U(ZtCaWg!MsS5^69A%6h-HP zM^gS`Md&6$ZDc{wb1n!7iu60+9AuJ378EsSf@qmhhT_BF*f~eha=zkrLt6lsd$kq4 zomUhkS61AkXM~>gc4>5v@nggenc!i&Jc$#3lra_bq(e~ckV~TN82VkDbIX<{Ny!M9 zWb)4U25NQ5$$C?@$@Sn8{a#suMd47__4Iba*=#e5u_+gQW^Vs?uVuaHcg^8A0J2qt0p}h2Qj~D_^l#Y47L7iZ@?8sJMG^W zWEBr0_OO4lAgMRlQjrTbiMkKblgw+OS#y)9xZV+Bt+}0?2)^5CQ{3wCDekvPbZRos z-m?+6q_ufW=KbD(;uHU;_eBt;_yAAl8Cn3T5_ZrbM+XpmhmStNAK0so7JVjHdf4Ns z5PU_XYjk`IGHa4s$=D0(YZtT?$@Q`9kizrM6~Q4+c-~VN9HfNj-F86?F4y>NhiqQk zA4h%dg5bAeV>`|ft?;d&=xdkU1Ir=Fyd7wsI+B5+La76j90l>eTkX-HAZZ8WKb3{6 zYR*GHATA7#zT7p#kVeC;Mi-_ORnmL?47aMoa!xAk($|s~8Zth~BCaBBBNNcOiZq4! zf<{<8>-=A=*Y}B%!(rFloOd1!Ww1G~hXe(!js;4<9)h$9+m8g^`AI2zp+*#5Cn+_@ zVfPn@O}j;{O`7Ad%fw;d|2<#w-k(iHI!SwUlB0(enPZq7#dI#~QVZtfD9Y>{NP`$>>jb%;`_l>Kz9N7P9AV3J;g*){u&SOG}X9PQy5$_at^eyiq~)#CRl# zVQWlUPDW`Y&pFV>eHQ?1<*!#gYRsWl5XF(kd15*u(DYffK8d)g;RK}lYV-892NkTb zA4XpA`34Fv-l+h)3%;=dgkKNES9ZCw-~Eu#nNk|@;bsTnZXARUc>Q1c!9N!9uqMpi zLGUuw<%cO`W*foh=bB%xOXdQm#KT#T4uYSf;gn$gkne5FjZ`#65Yy)+7Z0Kr)E(JCEgTCwUR1gcV?9;Qg~{3O|l)w z7c^_ylmuk)nt%<4iaI}aV$bx5+3i#c?!NN+G2ilY|LQMop0s(R)K`1Wc ziY*Adq4t%-R~@LoT7r^P1QGQ#f|8*%!Q%;RV-!Spgt48*isIIq;9?d zs}*%Ee>sO-)R#yzqIRBWqp*1yYs@xpCG-7J#ICHlmI<)=B1r_|=XnGZo!p=kF1xbF z*;jao#3H#Qxb2vgXR`?~%gsI4%&DE{ZoS0Q!{5F>NefrWlmLwgcsOj^L4h>vgfcd4;g$|8o6PFf6s`D+Ly$N?^@un@`#<9d2ef#_VpD%kk zu%X)E=rzgGvAbKZpjc3o#4jIgectS~4+F(ZX-&)B!o2Lh;^fw6+&1`u@_OO5IGMI))d};%m z_$EH-f|J{}=Pd1c&45#~wC8JIdjalB`%YWFPy7C*#kYOz>)%l5+O%Hj+SjU==z_yb zNEL{&ZAQUhUwOP?und6Swc!>Z3c)7^h~n9|h|x1ajDymtKL-pQQk4-aia+ZsZjUS~ zL1RFvGTz85O1xo$=)WV59%>p%vlfgZ@kUv3%PFCNAD~>N`aBLT@rDaxMG-unfHG+g zExxP?LYJY|h7}`N1nnJLmqoJa5*+nVi$@9$HP5e*UrV*oqdcq*65d8! zIJQhIrYJvp3i#PBhF2>JXNo?$luT$iu87i;`=9Q2`d@}8;`Zz1UmP@ulh#XP z5{VnCp_xlAS2RDc(10$tw_ZatlO&zD+Vb625@z|a)6mS)Fq8heWM^6v)0>lEuU?VhJDYZZ)nVx3w+8s&tf>aWQi>Ql` z&NDsE3k)4G!j z;URtdwNL58xsUyJee8&#n2;)&L(sPIK~HV*5;movt0i(n)K{fS@0iF9glHgcODB9F zeOfwUWlW0`mhA`&!JtOd5I^`ta<;s`sJ*m5w`2b{=#-e$qfEw;2vN%>@~T@HOXR4I zZ__AqOTB2Dq^6GSU zrmUXj7><7HhyL|P-x-)8jceNkEQU^6UR63)>b#*`4Nr*4^=7VNv+eMTh(qLAu9ARd zW(+<=PU+?ZAEv7#!lN&?f=X`$a{?BA6CtvEgI4fk>J@VWHqxbAv=%mkIRR^imN`)T zoJO!p?nSZ`CZrKmhsb_*DIT7X;B1dwC1BBY1OJZ-0D#{1cUKA6lq_ZV+@w|W-HBu= zCSZ0X^X*BA%~dy5o9|B~HrHDzNLDG(>IRj>=K5&kK|NjBCSW76xoUp<85Y@{Mz_Y< z7Q4IHL&&P}X0f})G$WbWx_&C!AO5QU*_X-;$1gsq^uD>XtgE+ch7 zsV9f6(G-a}Ninmz-0T8^<(w42*|?ytRP z+AV4m5p#RbW!ihb`Jeuzk9;a7;r@WL5gtI=57km#U^k7VNrmqf9&K5GR3l}J&^`ro>To1`yAszrg1I(b zfQ%53LTLxBbyqv&=&w_hJ$H^*%c6HKjXWw1Ir=5CsfxZwfJy>62nRzik2@F-v5AUt zqHW^U^VjVme3$Yq{f%m~3K{#kPw6xGY7sS7803gY8%n#`y|_R3!fyD;Et=hnyFp9; z((^z0spkx*0|kXxMF)^7Nx5wyi2y7r-HZJaXx~PV_R9s!@JjeA7AMDwG+!=QW>=yL zjXXc&n-FB=T?7m95O7tRbqGPGMqChaISXHy#kp~^4;P#icqb#42ch^F6vodYk^||+ z1m>@bd?Ql~o(tPQI1;HJCgj5Yw^_8=q|{(P&^(;33a4B1F56+==yJxE=ETC-!5+=S z>0WvM#j8hRgEd$Dn&|g2^)Xwp)U$p42$U%;S(pH#VqQ7uY24zY!{q*#fBuJk#53bq zv#Db?Hq1m5a&RYDGld)&v;!d}EtmtT2Iq0mg1I2DW-Jh+th7a*A2u-~uINJ-L4Y9) zl!n1)jUcs^3nD2%EHYMHZ^X*x6-AM`q*+g*9S9(=)}MXF#`#vwGKhgIo{|o*nT~3*<8(*Urj&catV=7;`FU@9 zqDO2-C+|HW?q#IpFZRgtZBRvZ`thIgtAAr3>Qrr;=NsLJK4B1$`uXc+r-zXlEf+*N zAX*bO^JZT``Q?BBSXbuq`dbroKyU(Eg0v&Reebjp=70cQguskJr?HDryISJVIUoRO zI|WfGUxK}~2{EWn{l_3vLAVVf2b_e~b7MuSQx~+!6I>B9QJNJ+ox0?HOB}8o`zRVZ zhc}{5U2=Ck66A}6gAAelIigNo5>|ms43OOJrC}r191U<(ll8G4iRRI-gZ;qO691|i z$~DEbOP_AMR+q7}Pmi>3lY@7_g3y9}P)BTtji3?1WS3PWAIQ`hfHP&vp$RzFy_&wep@R#nkE`nEVS-lzq1 zj?@{l!^Mm3f&ibAoErWp-v72qf(o<GMRTD+F+E4q5hMwf3~fKy`+(L(OtqyT;2iBaR{rP# zI!+}|n~sZH`=e#t9VlX`=Hur~R*S0Rt|^2hb=L#AXtbT`H|rU*jm(GYfD1k1?hHQE ztdseH=AT@^MNEqychJ0iQ$7 zc59at=Ueq>qwI2yK9!gy{T#lhfzrd(f_A+(mvOFT++Quj6zPajYOTf$mADL4;@^DB z=K0iTuO_?`x(t;la_AECBLK>5z5N`zU{Jixj{q>T^~`hVvM=)^mIs;D6pp!Og3Rdv z91^79;=!-cV9>-5IdlO_JJ`+`@dVWF5x_(EVTfnW zw|LW>@Q#l^TfFfV#XD^_v?dS);aHpBsw^I?4T4f6!obSe7UipC9j5JS9&FW8RMj(>4w(9ic#kk_;H zm6di)CS%;xV<}RU^Y?5|9m#xm_A7XodUPECpS=f(zhI?VuI^$3K z%974S5PV?D$xKOZ#jrA>u?GGPsNZwwhx&uT>h!IycVHxeDW3jZ+z4DiBc(~?y;p3 zLq{t#d=|_P$!TOhgE=eQ8eeFS3Vq&zD$u1&QD|G1J99$Ft;=#a-`xAnvfMey2dV0& zpCgwif@&dbJ3pwl$9*J6Ios0diu&TVblNSNZRzw|bdD|k@ZbLr{qG_9(uC!)y6({d1cjKCqN z=CC3n02@qPAvJ_id%*iq-3sQ5q;AzE_B7qu*LkFmlp&yv}11uT^!EfFE7<%Ep;vU2q(3ccc>gasv z3i^R1J!iNwVme#o!KfFm8YJP|^}wXD~a!Su^W*oi@QJ6&R;#!Nr%gTb3`aAv+v_qqc(y!#6N7e2$xdU1Ky zi#c!i8f*lf^LAJ7eDuQeSC5igcYlq*Kl5Mx-T&sB#-eY`pd(#0qHnZGun?8QOXlr7 z4n<>zH3A#CR2F33&IQZR366@W`wDb2unR_iFGw;7^~fvc=z9l3b`;CdB!i&2?S9D7 zH>?~1DI#b?iS1xWLRQgt>BT*+kpZX=oult)#XYWsE(cVibHJ$GVwKYA_I<$AQ+=lpb z*V>ye+O_s@CDd8xn`wKN=g>acfH|~ZT!F0u|A$gx%~sYOgUply1%*qSAF-pq^Xp5Az`~KK6Tx2sHO^V?8ZrdKSnJztw$0b@o8dF)D z=@k}2?wbJ4Rxq3C-EpJyPL3OJfix>tW;&dX3(QT`gA#ekTzAk`^l+SRTw`^o?UE_lM+8fY+K+Lf7X85)=|%{O2J>TM!Z^oD;UqVhN!OgD1R5?0Dh(}fVmz(QxlU^`&~Qn# z*O9+O5{Xhe%s*VZcU^LiCU^rb?qr-mNRAd6L(ery#Q0($fvC#11NT#X*w;9It>Qa- zQg&9S{M@&4{2L{@z`v|dXUd_=Qw}BC-1JI=UPB0p^jp&AR+|%aBY}VZo?PR1&a}BN zJohCj$2En~ZpH{+^Dy-XKu>xQ^WVSseIGrJ^kLWAB%}{!hD!_DDAH%YQokR$#$lun zz(vw5Hj4DY97KiNVAx_Ca^xTe?RK!!#N}XzHiejj7>q;Y1aty;mNsV3TrD_0Ify}D zp7sPBz--k9T6GWuZY=vXPbShwZ8fOu!zx=n6*BQ@ipAA~)ak%B4LAoeWzyP{ zfwrF(Wz1;%=`fOuTheK_s0{>X(w57RwtU&M@A>ARk945UFZFbwO*2KY4zVvSDGY(d zpE2lE+G2EaD_EA61g=65)77;q6@m<=eZ}1o?OrOlG7jAkWH4O>Q4-U1Afii6wS^!( zZx;l?3Wl%CIfB1Ma&jR^OX`9gIgb@#ATgSy6{IC~K`^?pj~nU0!@6^5T2dDTSQi8A zm>FO`usO6?QkOh{ElsRA;#(UkZ(qSUOEB${I5>GWad7n6sbk=hqk*s5J_~xwVB?il z-t2E0+g@VKYzD(P$|qg3FYZ;l*q=(w3znlaD^HP$T`1j+c5}=4%$D{fu%+3wg_>f`bW?{LQ%D)m#Y4;A1IXM z&;bcN`1hhpM)}HZI{S7jOuV^9lS7BXIEjp4r$3E8NNzhGDnonQsw1cV17B%L2-$ zycp@|)ja|`y*&SAP9dD>iJfBI&Q=6TaK#c20X$~G ziY(hf=dcFJdmbjq*@_iOG6d@Vxt;b$ksig!lJ8=rry@z#@y+S@e4Jwcjm(lv`yV;M zIsIS=RL7U?*dG@xFQ=Es*(siRdc&{%S$>EuFesO*RI`bF@d})ewX@}mJ*eSP*ZTM~ zKji)KLr%LzZJabG*DjM>`?}xpcb@)`55AOhq!i|GWlk8qqFjakf@?#%Z6RHEA}K$z zaG*|Zty(s|0I-vq5`y5SY*)I7k!xZ_~d8O>8*eC zcwg4L>Q2qXq4B*R#Q(;+eV-_W9H2WBUUc)nOr@r$e8El=tpmfuY(s$TVlH+_Qm7;l zp6%1CLlg8KV$CHBym)g?AYrTSA&#D0%*76AW_`!d1kzbEJ?V=hIK&kdWf0l?tmz0E zJNf~`(e?RV;tg)z32_YUHCq^!Ii6pnu-hkHeFj?-FUtLHt#zxsQ|kM^GNqtBA< zzGpbp(0hij+xLwBRMzWx&v3k(zGrX0_C0pRD|Zr3sln7a}I_5e7$+_F-k?graguJ1UK-Tc?qO)xxBi4Dt-eh9%C*Q?(Jz~2Y(kd0S3U>bVmEi(#9@$LWx|GyzuAE!wW$wL>Js4a1wmN4xPO% z;+~em1&y?ysulw;;g4L4;a9#aI4;MNp0@bEMKNf=dj{ zRKbH_1OoD|06rJoul8_jU=HDd9@Php6(wz4Btg;(f02?qslw$YD-8ch$MfJU0d-XG zDy^9@{QC_S)6*oFU;Kd54pCwF(P2O$H5`4lf;-qq=G%kBZAnFo#}?-~&10+MqHCIv zHB_+~ak}ANnKS6izlPg}uO$vq;U@+J;eMI@P1#gVY|CaI5y|ibxDMAYva2w(;Gx4q zuvtEMTH}wf%d#DI9ihbSMqtWNw(x714zB!~ktx9lCNT0d?GJX|$}b$r21^(iKSyId zcO*!`f?Tn>3cClGsF_}DVEog!`zeI&)aCxVht&~>!3|pY0jotWxlLJ~R%7Dw6wS+1 zHUIi2eDq&?U9?vzkfTL}?GS=Wymnl($VL6=@FZnXv@IaQ7$ZXM0W*N;wiXm$)u3aR z5JHw3DoQnOtSC;s34);z5jUd%0dmzViudX(D!>^!9OJjza}+n<1To?hX)><3!gS8& z9L1mY6;U9A4yWJ-wWxgPQT-G#<1+Fo#(0>Ni>W0~gz#^Z0;!ZxS(WjkYAr`%#iCdE zE-%=#s0dGyiIbsp7m0;0{pK?Do40@0S3dfiZ!Gm2^@KZ7$`Oz~NnIYC z)i+#bX0zN2KLX*Ppoq=M=z>BpyTK8B9Jb;x=a?c#DQW)4-k6GFMVdlCG(ZcaG=f`? z)UB2rLNGZqFxM-Nh=$6qubJXriXg5!;k=f1UnD46l-k91=z{h zmcUR|kweuZNc&wRF{}>f$;TQ6%I0B};HXH#Y1B2B;NET}(__7e7XTz_T0-<+H0`lQ z5un4V{U|@yr~;(N8e4U}qVKV0DWa(Y@}CACYnCFqd%POe2^rCnf@*8RdwL5F+oy3Nhd7ThIGP;>WLGU?eG~<4MEf!`y*q`Hz}fc2vjbpY{&jm=8H`h zN2+22iXBx@-6^)X8D$GrJj?2m@%HUV}=HwhW(-I|m>r@RQBhfE6m;>i7b7f+QWIjBexiZmV zDSblfMNEIa{GFfs=Rflt>hlgEPjZolX^4`CM91iQ8lvPN0f=N6mD9nyd0b2A6nonu z!|L^XMaezFINc?AdtfNw%V?e~R?I&C=?>V1Hb|w3!?gtCYvUZ%m5J4wxi>gmD-H~9 zqJ!kXqI5CDSd{P1Fk?}^J;RJe`TpF7u}A}vZsTSOGPlpC0||bU(hUNJ`H|uPNmtTL^p$oTUj!QGxhlesn2`r7O0sNCj!20-b7r4 z06g#zl^by#xM6bPfet|~Jlt2ts&YiEE?=Ivsshtr$}boRE6kUD2cZ(zo41*}=%web zUVi?S=Yx)($;{U>0qd83-1aAbDC%q4)#~*%?{VGl(QibX3?naXQMnbDCd>ta1XUu1 z!xj)_n8hqe3+95CpHhaYXsp%?{kc`_>|lUr(82IS1AmEjVig1xd3}y_FpA*j$f_b% z#IM$3VXVmPiwhoy2Bb++(Ip2bq}2_`LnG3v2IS!xY5DHlW=2}EpK5)t0W(laZE&5+ z(SIwzQwKN@bjn@we=6EvHq>Lc=^R2$8(S`$c~ySylhLD1rcs)?3(o^W2=YBL8VYas zRKP=QB0+S%QMb1#(gT&LjMTtv)ZevOK6q7(`m|d#R|=;yU7a(u_$S`*;UD+sf<{T> z=T4;%brNfGz#A!cFaqr=E?rCeH1T@CrZll`4og9Vfzk)-6_|2N$fC=Q9> zdSh+yae1mrVHz*SQ7!prPb-wb(@N-_1J;^UjmLc@L#;qS&s#fVSTa)Aq2A?Lw1eK;i4IZYVA5bw%@Gqy=q2j z@yvo%_VY-(I1z-}sdR~UtlEhHn&lV@5-K%4Vx3;3vi7-Y)|t2K?aZ<1h9^)l~7YvzrDIa zd+?>I4=@4UaBpZF&$~9sxs?N}a5@gdkPB3t9^$VT$0EYXqq} ziXiAqp9VY32h3Bvh3%|;_g)$W4m;!!SWPGZvBgnfl`q-t*ju_79E z)J}yURl5s<;Dv3BJoFJ$Wb0oos@)}#p6iEu9iM{9}+0x z;vi}p@Ll{9ck5RFac@fXe|D`e=vv=6_is=2x!&^JC3JsXLep+hoA0?V%mt78S}y4S z!{7eW`$kRs5nVD!Gl^-pyt>`B*0gVt$`?VH_SO(zYuYt=6f4%I9cThG?M5gNf|Y4U z)4$p+noT<}L)xi>;e5lU9Wkho1i-Xgx-Je~nf5KPc;;1f%`iJ7Z9n)(oI#{c zfbnU?k`lEQ$?Zv-p1?3`{JTk#h(VVGVg9!Wa zcBN_Hw<=c8w9AP1HJkRkDeHgR!>{~~4~vAXVk|mXy~Bw>{%hTt(SbSxTb z`J6liLBPpdL7H|KL~afS3swfXPVg1gE7G*P;1Lb5k`+Cy_d+F_4^7kVf<*Q!1P{cP z^eVC-O?wes9Z&@$1n)>T%33gE^^o~t*8t}XYLJ^(q-pmRQPu~R4}x%kHjIX|phWF1 zc|`IQzXp134AAqIcE*!v^PoE}^ej$Th0_CQe zQcY#fYKnn%EA4+(%+YtYWpH}g@(P!2Tz(RJYo3#t-Y!--$B2RpXoYf8lMiF+$3s#N zJVTKbgzp4QdESAKP`yKyR0KX7t-&R!Na7uQ9}SFmO+$q9&Ge8=s#XnvN`#t{qI|zo z7L#vr+LX%^NlocLJwK^wkM@WS=s@bHq6MZc-dPMbycbBhW)Ue)Y{=g4P&*sC5zuF^WH-3fp8EjjXuG%4t1+YqUWd@#9O zLnP?%HVc>|AM2HZI>7+9HnEtKn)}TWHFeQDYlA4oIaZMm7?LFN;k1zU&~;K%6RJ4& za%GT)Sh9+IN}?26@}!mhBw0m1NO}r53rI5KqE3?e?i}&lYA6T9d3`NM6{)H&YfaaU zfpU=uK$)swZ^bA=m0q3Nd@Y;0S|5^Gk9R?|fGbc_tTS356gsP3=kU4>!kG;%;1BGj z-~qPYU8&n?%lE0duiEU5CNj?Kx&|bidr# z=(-gUWX(BdZ}bRIfE2zDNbt0mr}9QY0k6ZpF&N4yK<(1e$IRa7eo4|`b%l-CCrMJ> z`ZYfxR8Xh+KoYz9=18K+C6W8nK?(=x`ns=Yvbt5prgU>j2U=xb6=GntbUUkCx~PpR zb+6U`HeaWsn5NZL(I3h^^n_f~+SVDZqq;}kJJKlIxqfs<%J#FaD0`zsMeDTKwNr~rWpk17yCAfD1ZhJ9uABNv1*CrAR|Y#5Ff zdPlSFf(iAvw4sc#BF(xBCe$BDD#U1oAkDf9!bBT}WVt~&l|f~7@5tduf;R+5Aeplo zPOM0??kgS1DzY&JCDX72Tz>;Yx{?yyB8Rx5*klkWN%-_*--H!>&(;iM-zBQdpo;~%~{)=z> z-iYQjEOfPRK_bYorDVY58A)yGoLH5f8NwIT$urLOMl`oO9W(8act2lV=>uCYl%ZcbZmb<8PH zg_zD%M}s+bLw6M`ON^e7#cc%Z*ll~{k|-dYwCy3EWEH!CPSLmowwPGku9;&u&XB{vd^r{R()oP#rzM@N9t zk<9l9Kpa+H_=`Bvxmh#cBP5(S8=4BiOXBGlC1te0jY0lMei1Mk)tb{@v|vn^2OFFD zMZ>1|+>kikMe>UV5r5J6F}-M<@M`Z1KR(tc-q&!}5bV^xXpr_7jUVsjMVn{525M(B z&v<>mneob@tUJ_KWlYXahqn4qS59i%B~y0UZ+_b)E zoFgh#ZO$?$H<{BE*NU~TRzcyG-bgdnqCE(mKzD+CQ$(hDI-KBJbBb4SgLXgI{2<}0MBDKmb)C7$0!N0;e()haIVUJD#6;$HzEJ)+)g6OPLGj(3(dRL^lE01kLN;n|#skkB`ZSdBRiBBqREGf2;!LZJfqQBx`VA$B72T66* z9;c-75!B{q8!usP)v^R5UONTn^f-~|2`zf1M~jgDswoTtcs|nsxgB1Bj!RGb!wooV z$euVcX@3w|RXt{FdEwLXxgEzBrm5J}j-3CLtDDL_0YFc~Rp!Vonw^sAx9A*(^yfb3zx=U( zIT+G)_P95%*d%g?J3&2_o(ucRof4ggO*%8S0HXVNttieD@JuTdAXs}9t7n3THJK`5 zcYr+-OPU2mQMn)n8B5I$bJ%R+N~|b0&jb-ca}XK3lmR-0&C4r_$tx>jh>Hlq__czf zqf8JKnM&J;>ZbKQ`=QUXjWiZwI*Mtk#=X`6qOdV#7w+c&sX_9-WD&7{GJ-GfOD@N{ z%~X&$87`L$o=4yQ7g(0%qG}OFj9SIC>T-qpZ-GXzmsJN^Cury66ZS!Sp2OTArbUZz zvaf=$P3BIv)BX)alu3iiTuCW0@82<3b!NM;;W|9sj}J+ak0=~eSQpX&*z9P z?U=hNi$0{P_01SrzE#ysJbvQe|JT0aYsS=!F{(n%Y_?MK&#$m}*Y;)>j~&~FitsnH zRx=<&AS)s{AV56cD1}PRU`&&M9gbinqQ{&Moz)Bw?^=RIkYwfOICNGsoDm_a5P8Rr zU{*6AVo+8IpznpiFb+McX82Zy4ycNx&h)Czt@+=sMaq8l3qJ65I?BB?>w3D+6^#F# z70n$e8n4pO4q3v=(s0F45NZ>)n@EVpvo#cZ1%^FPEjN*Z(Zt*MBNkwp|cZNEt2oAN* zP$#+IVCPIi&LC|t)HuTs*Owe_oJq(T1O$Tc0nP-;6RqvoBFyom$}#H87@H%x6JXgo z5Vd@F4xlNw%*`6i3N^|_T#a&`I_!>UoOYzcBz3IbSWhWNzi1L7S>viePJ`ILxM%kS zPGE4g+^TD+X(6Ra4w^Vz*+CO)84e*r{F-D22@QCB_eU~wTjp-@AmaxExph_orgc!| z@{|M!DR+G20udC_(BrSgLrvaW zu+A?}jpnuCj}tmE%j|D3T3t;)NfMWc*<%R16uo*rIurLJaEHNQBaw*^Zvd_^bq~Z&T8aDeM%|i;QQlbq5dOZR-rWg={nUIehrOPn1Z3yD92iKA#o!1r;?k zb9&?9LgVTl3<$@LA86*o4FY?62cA6L{0IKVM|{gV5Jh^PZKnldW%78jfr6SczpAxv zJ^>$Of?&641oO)e;zXjWp>i>kxb=;*ZcYspiYUq;@N}o(Y=W6b)ZPXpFwLQ}ZjQl; z=-3?8&1G=niqp~RU!8SxnwzKui=iDyHCfRvSE0pHtlp5y$TwMkG#Vp+#m~*xY2kap z{J3}7{>6I)KLN2tsdh2_$A{rWu={5D;AySfhIgr;ptJ+L&8j>mjSD&7$EPSI=vvGW zI{B*dm|%qR{(NTA{!KlQ?(sSKLVCv)=OfB(=Xl4Geod#tFsh(vE$(+i%RnDjv$&JE zmMBf0pN+hs(wf^8@2^d9+AV6G^0`g%GHr@K`59mJmq*8X=D=o{Vy0MGP|UPFKiPPO zl|^9F#sb-RRunU|iPsuWH^&TYTAP@SCz9rbH!%Y+6qs8&5)trS8YT zrVaxP5oFIe6xhI2X3>u>IXITN3Ty`FGB<(ERx1n)Y}$)nWuW#;VUK183dA*)-!SS9 zNHlRzfsG!k(9uvw?-r5kP_I1JIX%C1$5uQgdcQ%65zAjkMlSk+QjhbRb zhAu8xhS;EuK#G?c?nmwGg8S_T48_pCU{kh?R;(yBNx`H7g^IeWz%xK1Z&Tz$klNQ* zG|VVgL`$e9i$bu%WA2yuJ$mfTzP&!8eSJ-&ijD{Zd6run=91PF$JI`Ak8yHxnq;P- z*{Kkb8IK7xCaJ)P$J|Ont&+^QXSZIWv6mKV&9QdPn)&|l_UW2yHpVuR)eYJMK_b!W z8hp*oE!V)oe-V;%dX+HBr@rT-y1y?J)a=qKb$r~c0MQ19mbL26lG}N)qOVGPeK>jR zd3CM&PiCNryk;ckjKqBDxvQ6-f93fXuO1271?g{8s!I>&Z`GkTre#NxjB_w}H%QE{ z_{_I|?8gU0N_%xYlWLtiz%hZOo6Z9`C5*krzA_}H3!X561;&XCb!1p$@-YNy?_AIT z4jf;wF-n#Yq`7m!-3HMj4I{9dRzeR!TDu~Mc{?~XIw@fmHIo{GbVpq91Wc#IctARU z{4Ss4Bx9G-k(nOCkxwOonT=Otg7Q~IzLC*5$LyJHQ=fCwg zejzl6W-(lIcur#wc9fwqgRrBVMnW-1JC}g11ldfT9pdxhhaTki%{7?)5I(6&BMmY8 z=K44)?#`IgCE?9!Bn#h|bZI$wn>5leJSo@52jEH5^;^+O{Ge`kxbV7N1IYE?dSOId zBLAnNUWpEMdUduJpI7DQ&}@C5C^1}yAZSu2Q;-n%dGKAF&P@ok{EVs*0oX;8Nzo%WGdh#=fktc!weUt9z!(0ZIjYj zyo5F=e$6g1i z!B?ve=~)x7R;~tdAd<`SOeLS ze|&t|rqfzk+SXmec08?Ne=}@nChEN)QLj+(Vth0q$8SR?(fdDW@}K#okKC_AMXK}a zPN7F&P*be3F-knF+fa=vvIq{vojIJ`ADqYh)Sc~@!^yz_HHVWH@*;J6I73CN+FX^B`S4dbZd90w6T2#|x@Q0EE&@{%Z4>-+e^=JAdQLKJVMdB5Jr-=-&}E zH^2&wr|dgg2j*Lry@01|e@yl-QS>s86~lE}lt~p)Bj8p?6ph=p4?L>AR9o~> zi|99Z32Sr}XBx}uSy!Ir6CEVqUG3jpMbq3dG*sWGMr-vrb&}Uhd9NAP*d#WE7bK#7X-?g#d>si@4*MztVo^Zf|dnD zzIwMkwEzj~EEhar`ltZ~FqX4fkvhu-(a%Z>ETR-Jq`DEL&T>I;Q8*d)TAH>in1DQr zUZ`Jh*zQR(CTLbHSxNG#^fdueAWJ3z1%PL?k{Ql`(bSwdO^^Zx2(*&GIIx&yCQvlt#b3*kIF9f z9(6N{T8&_S`2#_Qd0Z_zi~gkjBB-XKn=uNZS+Ua1z>TRUW!|n4taS6{h*2q2x!Y}r zf-dW3^Le2j5w!Chvm&i@Gpfk~joPij<=Y6>P%}^l$+6KkkLpjoWCb-t%a7{OUX5Gn zW>6x+KZeHL1DVzQaIK~m%K!=y`Q^;OR+9Iwal=`CKcn<*>(5e8&h=+`C{z8p0eLa} zKdZe)m@bmzeJ&+0n!Pi7ANlI4g1gM|NCkJ<4#$;)!49e5P2aSlLz4JA@6Y!<_LuIZ zv?-UzE8fty>1Btu#nts*a`q&GsqZGh-f8Oa#?_%|%lB#9H~sy8<#T`b^{;y!+T_I% z6FQS?In6LRNdPiIyJNs!WkE@tW|-s3f=U(?ZEJ$TX|`Pne`*$#8{mS4(+qmPWgwF~ zXM(|Lw$iqWyrO8^vZ8aEZRkSQ=P26N1cTFTyMm6)g6Aox66{WK1sku9yX61WFMJZq z&@-pmIZ9NFa8g3@^0^YaZ%(thi}3!s2&d7`wW@mVBD_o&;oJYwA9~X_MGHP=P9WLg z=1#Xz;M=pI9{%eAXe@fqCjdSv$S8!tg$u$W!OBr^%TZ^wAkCc%Vnrr`QAIl~1>=xc zq`7lJAXar|8ivM8*GU$nxpP5d55<7g1M=U^p=s`lAW}^@v_Uh)dDP!YCxKD0WGkFxpL5%8Q-LE;q^nM!P9`IosMtN(;a-uwfm?o@Aj!rIz4|c2jh+)(Nh9{0F)o zuTK`{93X%@*Cz{e4iKIM`FxpGJOO)Nr%3A@pv>K%Bud~4gS3PKEPs^)L^tk0wlL=a zhqHy%-B}@5#q&f45`#^e3}jBxqP(W9p}?rhFUsjp<)pF89z#7g_-*ZP&o`*df+j^; zeak1g6Ka3Ez@hL20)gIf7j)+gKktadI{jP$vIK!c_TlA{Eoc%6vZ+F7Ahi!6E&p(Z zQ6Baok8F*V%)uCd5;aueN>rJXk@AQ}GExi6DVYe;uZo-q0?55rJLF^@a(zf1#{TSB zJtr9m&M`1gru~tethmvIqAVYuPfvIRWRuL~N*paxaUBWyWZs|7dF(IL>1k6gPdFGS z0o4dpWa^n#;E#J1Z_{#;$^hMOOJA$IvU9yZ{#DHrl(Publg|v+E zi-0g_^~)Ba3E(T}Yo_sw!0T71IjS&K>y*Lp)FwsYCH?dWXmk_3>Zz08Wc+)mu=wO> zQBwRg>n z00DauFhsrQMc_BBsrYs4b8tIs?q&rR#Owmd@bq**2vjbJY^VJ<3p`nIe9ZHvFCF}f8*z8T zg^}&Fzf2EH`>z*xs`CEg1gHJE9s5hcGB!8+1+slmfj^pEk zEVhL@EB+iQZ*gkAI=@ew6?VJKVK0-seKHvC4tk7UZ3GL2z~}Nah62SxuqGp?P0iFuMP?djo=oAn%w9?o0C@xVu|SI<27#2Go4J zG{-eoq;_&apm+4gVD_ZZK7^q7dMcRu&Za}wf<-BSDWsGb}5=x+FvBor%F^f5LQhmz(b@;P1MYuV;5`M<{CHk*_j z;!&y^ffq*r7sOFR4qm2o=de7-@5cF$JOdg@I@tq;5*|T=v6r*a8Nb6NZldy>o>~}> z45D(3rfPFzIX$&t0RSmM$q970ys8{anZJqzii}l_A2jL)@zTW|K)jrx1lY8myCODl zQ}S0uzPVHK>@h0WzK*h)o(c`n^Eut_sTc^*JL3Unz;P3x3II8IJ$1Z^n6EB506$#? z=xxaW2?a(wB|t~OkAy`E&>>I-xUwC`-yoro19ap=B;YCoARtihUkB)$EfX4Xd?rk) z038DL{@hN-M@A9Lve@uW%yuYIz9#%+nIidnWE7wlaPj zo4D2`K*v&u*K<66U@js3!{EUthtN-Efc|U$`7i&)uRM#?St|ok9L{yz=z;{Pb6azj z2gGaQcT4Kro};8x?9fqV@9EU6s_Z_G=7cF_ZQGEf6MaQc4@cYA%>Dm%%NSrK2$npK@!-c>s~FmOZ-z}5;XpsAhby907Jj4zNiwI`=qv${Q= zE8n33pS6cdQr=WMI;P0k&`nSs)wOd}DI~MyS7{4=Hy-_jHq^Q!Xp*f}i+4{|_GCnN zH^S|yt7tUMwvkOWs_f|)2RlaCe7XTcj@d&Ljq45==$9(vy^=>XGRAY2y)r0zdBS8U z$+JGI$UETb0#qtpAZYn%HnA^^aaf4T2K3JZr}p>u!I7Ysq7@_NoR_%2yu>ur#GIG7 zo4mxE{>&dd{o-g|V!vn6l_Xr4xY>k^se+CK#-275f}4$z1-ehDJTji?2of%I6u03j zt(^;=kco#4!-}hArz)O`LY_N;Q3g*~TNI}^5-Wn#nN|@LH8!^=&M_6wS*&A`A3-hJ z3|b6HioHQd1HuRq1gNg9b63!iMAx;HaS##x!gi7)%0uY%_jdnFMiQ0YBXMW?n~aY@WM1U9>rHL zU47o$zYv2Zo_p!~Rlf|`KvlROGF@fOzy)Wgk5Y67B2$_R3i>>ON7zF%|1 zP)q@^7ZACXYRy|2a)!Wad>i<@{NrP{*^k|dKX#_1K*YJs6~3C1WWSV5CysZk-Ts}4 zH{VROeg%~qq5hcCj+fvB>0K5k4|E22SUkbkWEynr-;> zTeO=(GRni-g{VNr+Y!pu3a(P@SuCa0K!2VzB&?|59_$qM1KA;*@hq1w22f1+he`r zB;y!K;;O#v)nzq>M%DG_L#*q)u`{l(tBWfy5ui!)aD41gVo; zaB#j9b&?AX&X>x_V{mq8DshLkHAxqTrcUw|k83cCFh{rBqc0f0I!`iTTu}rM8R4@3JNx?JIV! zRkuf*l^}UoT8~?j%=c$-5|$J;{pgm+zy_wIS^(*nxm2#NbfkzK>GI1A=Xp% zzP(##`t#Cp@;U$Bmra=CvQ^QTJ#hI;SYH}He4~8F_Q@<5!2@hOtQyiMlgbPBO zqLY&=V!%SDh?C%(_%+gcO378HDpi$`Fi#wY6KjH1rPC~3m5{KaPCiGsv~Wws?-|vn zMXOzPfg-B@&Mpk~`p>GBFG0s}E7j?KwEvycNtaD0{Z^af@*_X@^-ujmFu|%maHrX| z!(b6SE6@FQgR(MTc?A4zp`KouuZUq$B#WkQAV#ci&8AaU1f3xVg2GfCs^QtUWaUZ7 z-nC`*tUL$H>Xq`mzN|h;D-|`8V(p}h(!YAr`u!rYZO&U?d3uiIFCEFBk2tdzXT*=1 zQ`gh;q8CqHPrF5P>U#PuIwy7g>EHO$=I2K(-jUND>9a4lHWke7nx%={K3SJI1v#6d zHe}i2VQeOVW=s=-Z*9opp*0>+0$p)12eqU;+2ZXsTTbt#4ejE?DvNgl{fex(-GLmg zKF4hFAXh+NHdn}h^{B;DUpw`PC;MhrPetw^b*&5McDXW)Ox^ZdwaU4x-IKML4c=_< zUU*l)mtJ}4xvQ6-f93fXuO0~~9Dy_NMpy4*=F~o(me-i({(ava`x4?5`wFJ2;HGL& z)r_}V>P5dIDy)X*cP}#yEd}KU+1>JYUUzofS^vIrUwEHaK%wDImwjJ&{^~vPY+x(! zY7gW)U$_2h3MB3vgZBad(Z~GheLc>D-K}R8riBLgXgvu3}M!xim|j@sBj& zQQN;W(E8169jlssZ!=v<<|plL&^=}ERA|&*%8*VhJGJC6ZTU71W65Fjqo4m#zZxo4 zYZtCkqe{4CHFLbwYy;1cQNeJ7mOv`kG6!qYxs(YGMK;Xf&}b1=oQ$0n!WXL4a*jjA zYpPTioJ-B`zolkX-4n_qqG46Jo|}@a)P1SRJbtw+%{{7pt~6h;(u{Hm69}$cF4Z<` z>)n;&pSFCT;{WWgd&8&xo*+-qg|1ipU^i?@3+b)d@li_^YI~;A;nIq?W?w-~XT`tU zg6dlIIS`PFqzu8V_y=hav*HIIFWMQ!LIBW+LubCktoYIYhjf)-a+c=r$Dz}`N8o^* z83jS)ZNvRSFyRl#T0m}WqI^Kc*!=1WV+0V=$?!naiad)HjZDao%I}8gd{v~7|5nt$dd7X@1j0k_`^0k?CO80VOXjdu!h^&Z zv*Lr9IBa#!Kv2}6-4C?$ z5a0;P$LG79kI(Id`r#X$Hs$gJ2uLWD69_21)&K#eZ^?I1ZBFo@>2>>?bFQAA=?(6W z-oO;m$SrDZ-8^~l`gYWFa&Q0sH~zl=@BfWnN4*)XzYt*^+<+FHoBaha2B6tbjW(JQ zYNMSE(jduk_7}ixOdKt(;S$piYin5h3m_O)>yG(<&7qTGLDdS@Pz4oomK}@iF93$8 zBQij_k5NGNp(}p@?0*6(f$7Fzj9Rev7j`EdOaR(s%hI_WHhKfwL^C9`s#xsp$!26E z$?Pwbq$4~F8B}zwzW_Hu2NUd;TlDSc^Bna8Xd6@XWx2QJUwtgVWMTug)P3;ZKKrcF z_tFi*#|@VV9-LAe_}@A6cG=9E%{BK&yQMg|(3o>ue#FFd(sZ*IFna;dqk5{Pv)6J3 z?mPa#xBd7}M7N~AFRd3)Vtn=ywe~Q30fT)+{a(NZm9@rZXDqrVd_ek-v41E0M+}SaQ}~XWeic4*JMEA2MVoG6*d@u|s@h+=C*%BOx>W2hHQKbv zm$Wd{!t^FL5&<@@E}&Y{iivm|*Ag5E=fgX1Xlo|~^b7$f2J~&T$dcGs{QjT$hEE=g zbao&QMYw?+3&3q{)-mh2(^}AS%#3m>d-kQT%ZwiO(%G29jjZER!V}nYte6FJq?2MN zXxc`wU&mn%e5?>5^wXjR)|USiZrH0aEJm% z0tS~HrL0`9>HvS+0Bet5P^rn0;4oUGN_Sn47MU0m zaWu2)(?^9(fK#6Q-^wQ^3p~*0IY3-K+-|(m||qNr4ld!y?w`oGs`8*7%@c35>@BOUl1ZLi66q+C7xeo zU|STCB!65I4iEuZeA0n?YM%6*_*ond8xA&SHWH+*tz>%A7l*a5dT8FVO%n+I+K+xZ z;Wd||qgAjYneWkI1*N6G$mh4&B0!kQge6}Skl~%4CtzOfJreR6mn88A&`vy0+|KG3 zO?%HU)6Cv8%r7gS{5`|J*?ZPAQcd0y{JZB|`7ym`*w^1Pe$4L~B>g?($L2kQw7+Nk zcrWkSz_2xC=m`f%hm|J>s5ASknMMv&CLYebgCr*-mDx@s$8fQn3gz&y3W+4cxsu1# z4C}%O>rHlGI6SPHZl*J?)A9M%NA7eZ)7R4v#)z&e6$}Bm_Gy1^$Nn&&=5UIj^}SF3E|+uhPq**i=_UqQi_&1at8Re5i0 z6=CGPx#_~(lni}Yc_+8UH!DZ-;*-$33wGQ4aCXRwm;O!NKuclS*E{&G+qJZBa#!J~ zAMD#4Y8bqpLw}%`kS8j2_UIIS@#@7_Uc7q#9r$UvOR`A%PvUMNC_ki2vR^JlSwH5^ z({nE|T@m!<$K=X_eV3L?TfvwYR&UX?yFzK}*wkJ5%O1SH-xWqhANU7<@i5P&!^ z(8kFdg7igP@Q5x)&D@~hQGL4*WK`sW=JM~LQ@C_}Xxfb;xF&2Kh6=N4q#-n)BNe0z zVnz+P%3$H()(MMH7NjP0!4pV+1rK*P5t?5gTB4#Nxjc~}0!9-wXzhiRsK_P3<q9S?NYk8fnAU&&)9QCZ4P~@8KO-iW`wJ9~4__yLax#z^M@_)K(@suh* zNkbT9j_gBtSP@~wr@SgZ$2ajwk9G_+`*8VsP8waFR{#8@kv+?y`7hhEIc<3=`8-gM z>hb%3&-Z=ZkB@bY z8n_&#BL`s>n9kY&EGLbC*ae*;^a(1}68Yv_Pzt8mXhYUt25Q??+v-B~=s)JPTH||0 zv!!3&zJgK6NuwRw$_=eUh1w)|=*#(%AarDZ5tc-fpq4yem8l|FMLy+fEuTeIWKE)i z)76+PpgY}c=x09ob!sdW8YQ|qte{}$`t+M;2#&u&BMP)n!+q|j{n=kzy(SF)BdNr?~XvmDqAA?TO^gm)5JXi7GvFoz58Ih+Or__7;Kl;IBn3|>jfv+Shh}t81ceNe zf?LcnYy@dLUGRw5PD(={k+WuhS7;WkAYl0XHDj zz1X{Kt|W_{?3)v3aOzGyp|Gof;JZ>oySZ=!HzN-vKe>%I=2`o8X_8m-2UtbcK z!XY^G8ej7;b#D=)ttXx4@uNTcbN<_J4$P<~Vy*{8jf}s#JSW-UoUEMR8tiY&(cj>l ztUA9nFfFQz{sxCd<^0z0uqc)>Jrg!CEDC^{FUxs+|yN8 z>FUxs+|yN80a%T^S1K#rwz{mV2iod7x_Tf*S?TJ*9A!1Ca4<=kp_i!B+&)WrH@aF@ z?ZWHMEg9>{-B0#%*43BS)hTliXZ zr<1j>halaHBDg>4B;+HAH`EUaLGd5uL=YN*ol6{+;}H;Yaacl-?uD-ih(9ADB+s@x znbv&tugtx0!D2cI;L!AFtSHW;9eTgofooq@beMYx(!KB%(E?zCz&t2!KLjRNFtzlcNmc#&3!+AGP?P_X22)e~U*Op4|n`6K~! zvv?%}pJp@DuG-pb$>LWd0A$Ef+BA%+m& zl7T^!#Qk>AoY*mwj*kwS3e$j=mimOb9Vr*K%w$Xh8g91uK7KF+s{Lg<_QwUQmRm2j zxrU?-HhDRDr{nseA*Sx2S>1034LVWKF%#Esdtl#6I$>>9i4&IX`2A>ILB~+q|HMX@ zygx5cdSIm2CH6;)Qoerrc$Ewo`iZc!Z(5IyD+xB$;NdJ$6*jktY6?s3GS$>WEVkRG zblStggH=&kY2zsE8J-ct(*du#)UAqOx8I{*t}NKCzUb1WyvF_My-(}+pmeFO=>~Qk zEUV33IOXmQ-jx(a@xp2ablP2^^lP&V=SRNaz5l^`q70{HYmdDgdtqZ5(2ld_oKa?M z9ebfLa~Fg;Asmro*-pkO9D*505+l0NFKy2m>cQ8 zK#{ChUL^5jYezaf@mz~O)6iP1hb_Eo$wzmU7RGTh>PS3?Fe$72v0SR zO_KTcAkfu_YLCuxbsJrBlH;bX(=~;)5^IEyR2rncJ-YFxG-mOJ=qE5=3ri_U3pXhK z7Z`aVg9$?mFv>9alW5Is*%9iVM-zElau?LXvS-CwU)5VYGFy!-&DpcNizyN3_xaf4 z8y3GpxrA3x7r!1<-o!v{ZQAlQBW-Q%`~Tb@{~iDF2aS@$u)POP6Ler_3JhOyOJF{A zL^vu67-R<>;5grK7d<oK?flAvEuaO1H0&1i5{IS&_H%^lW2+_tckI%xNCoVIdrXnEu>gCUv>7W_wKeEx;u2X~ z11DJXvqb^>nudwQ!I6V&FHnVp*uyf}X(ChAu9@%7Zj0_z?QmLkXgI3c8WM=;(&SGE zS4q9*r~+1HSx768`f){kKjbisr~Mqzrj#~GT9K4Km@6#Q^$^_uHtJtC{X75LClmih zsgYb(ddd?y%#O(-wz8%th<=7`B1+opm_$5D@;*ez1=6SKIZxy;n60WUw`tAvpf65G zzJq+nFy5gpOJ73{14?T?7x_FT>*ePO3(n4IJV8L`v@cIX4ujWAB=_rvAO)E;x*EPF z`j**6h_?r+1xZGaQuq z=n8^%)(IvN_RFNCGMeVA(k?`))^NXq?I+wU6rfy0z$>WUo&TaUA0xOW`7y#~evGhr!N&-zwT}`1 z$sQwkBi+Y{|9Hd4Xu#9x^x4iZW>I@l1QIVfia1T5vBKLTRRv=PjhAXdLR*(=LMX%# zXH$xZC@3?Ci6WdeVWn_!bpUz;F>?!gav6D0r4lG72J=!^FTP zzwK_OdfG#+jrz)y4gZbYisF6@)>gRmT=80J>2Btod*>sN{5^Wli(mALf;y#s>HaG= z@>eWhmanS4_~>1h4Ulf^|N7Q%*?(3vGpK>>y0Mm#0dKC+rMV^6ikEExmbfCt5Zo1{ zg*0&#g2@Y@NjEnZnZ??+Yr?gx2wz3L)5G2dlgEnLjYUyP{huQcJFTyh-B?f$Ytr+G z@?9&K-B^_3NQg}jnmoMO-<{-txQn=~l732rB%YzWo#WnzOIklw*?e;IiIo#8W8EbR zS{g53+{4O=wWdHkKfA5e`6@S3Fc|Kd`V3f(lwj(*k`#E4NrEy4OYt@$3D}X$PAq~j zZMQ>_wKJVU64G|sKwyBMUbqXvzSUVXJFzyMk4`Mu8>{o2{t!trje&#Qcx<%~(Igkv zb|#Z@TI)$pmd!5g?K<&h7xo@pSWz=zQZ^UXHlKM~A$O{TkQ+lP4gRidGF666_`ANT z1ljJw-m6DD*(ALrJsKL_l{9FqWp;T222D?x-Dr~Dy0Da&LO8( zscnlc^e`-W32y%-CXLF9AS8q0jao)s(v7+7AewE9Nc1quic3IW=-iyvAfgpj?wQiM zT{4EFqx+ye$5iP8CeVkYAJ(1Gt+}L&Cdj=OkiaCvbId=cD(UXvB}v*36VF!)GWL@k zwKwWkOr}6nds0);HW@En@!T$OPo(+ZW>MMn@BD9{O#Bq2ZV9g#w~RPt!Sa!2>v`)qy)4t$oxV3+1(T!4o*Dwep3qz161T9Jyo}Tn4&s zqo$1|Yryd!h&cm}Z2;XhAZEI*e)Rn%0LNA%^EHvd;zgo?*ug`rucnOgDw0dQMWWA7 z1Vv`aN%~wBsAK0G(PMv0|Cx24p`fPnwRfohR+`UlGN{09f&VqV?)_}w&<(vU-?81y zJ_NsR$njB+UdM-$my)o4B;d^}U8nzz;w5fB)tu2JyGfNbn52O-y(SjwS2s?C(9mf8!;v zuK@d?l5s(FiCg2xdS}xsLy)ee3nENZkj5TPrbe?NNE6_KN3E3NGyz2r zNqw9n=CmA_mi&(u`8>EF@F7@;f;eAcHgW zIf@Bz$s>m93A_`zUdzYYR}V(jpsEpzkEtY7`E*Ac=)c#xuTeCvzx=Q6QXzs19$1gt z=i@eu%BHXAfBR(gX!URwS9f!8{1AZPn&KQfr)me)KKZ2X>i$^)(Io9BMFv-QHx7>N zIX%~jooT@Dj|P0&Eou`CGjqR3fHO_a6N@9g1&r@z~p3{MSBjDsvo%A_5%M1<#ByJmKH4bo*IdoQ!(O0_N z3%))7n5-S6trRV4Z8i#W&HfC0^hnlpO>!9UQb(aL}sWDJt4 zb)!b1nzI4Jlz4W((tv@8yIONJyriZHo#lx2=3mcBtf`92cppdm^l3Vk?h7+j8@pb$ zt=p7REXE}1G5%K-V&_4i=aGL4E-jR^c2Ib%iPTzp(ScFH)#bol%(4~Kb-hV$h20HO zYxaJPD7;Q}We!ZQje2HE*J~c8F25A*=#xI(>-5+)FMPW2InhawSLYx(lWX+Q}jxPqHfn$_3Fe#uh-<`nRk0(6s9=2q-Pk+Awc> za8YP<7%S4QyWjyl5fXTyYt3wH2-2<>L9k3pK9+53EpUN$-35UshtiTtS5NJZZL2N` z^aTJ5B6&1=kT`Z#<=bIU3Va3pZtxhiKS%7kuZiAB4z*;JuO&4awd-nEQ`%UJ??vpo zE{#dvT&=9w74A~IqvW22UZet5zS>MDmKA7Wum%hO$*$`a=>EXK01*@vMF&;I8{&rskr_iR z92z4774d0bp*&Y9P*l7GRfTg!Ilex0R-i@6?@r7v)`FD+4Vc8b!D-bni9N{Z~W$N4^Z+oQ~==)OC9BR7sIhWbZF)(1saxPz3u#P$?W->nDzwVDpf70 z+%(dQYboBYOTwtZtcfJvqvjfkBkYpJcwkav2bovFB#QCapVmgel8#>8p<+C?K5{8Z z=35lTV{__W&urulCl)37ix4-rS6(uE{)-B4AIi54I%d=$QCX|TKOfGaHpEkRPEe<- z<;-5Oac)22pvdZ}JnUAO5ncFPE2Vqg7~(EE!+?Fkt1FxuScTp+znf%M%U{NCU9Q_<|Jc^h}5 zEQxXqmr=d2q1gwUYJy@vFJgX4ZU>#Er5`0Y7+8v?=r8yH0155N)_5hRu+p zllgkMGVRgpTZGnbJx?d>^(#N>@~EFM)Y_yj$!IY%+?eU!jyO5GzEeEv`J9_;*S+%m z1fQM|epKHlo^*=Nd%PXkPrO2f`a`{+9IQ(3b(93v9f}=@%B;9bBtFg2Z5_@6Z+bgG z>Md)aXx6MpZUW#sNbZ6iayTx&ctq!l2lK2u@neNIZTA4q53im-s}HeNzGV%w+MMd^ z*lHt&bk4cYW7NcT=+B$KmdB`l*5CT5f9mDPx$FDV`Z|Lcuk7m#BC@hEC6lr^9H?w} zJdA7>~rM}6c1wz(L}-g zU*F2{Zl6(mNTy)j z-*0TbTWGedeS>u$W!aW_A#2||Kr$76d^ELm;JfEcO>98YR#$lbdbq0`#3+Deqz4d*fBvhUiBm2Nc>%}TFHd2FCH9F-ujj#vr zDeyB%W#Kicpqm{X$!96)h^BFnI=6yuN~2s>nY7!nzcw1C<4a#&Qn?bBr2V^bO0DBB z+1LV=m&~`M&~Y_Ok{8z!Ip2HJadTGp{<6B$%+Q?GogR#y)AsU#zw)j>`eN8x?Lq1` zkYco$Hjr+O%GvuK>jqM=Ba(HQ5bUF|9)=)ot!+KE$AAj4-pJa@7~9-)N#Dl>50FD9 z5-V=Cq?!e(A6*cYOl{4<;Amt2i$l}ax}c$p*cZC#^sZ84y5J5|dL#)7D3o+c`;j~Rd`ZoIOft>+oenbWmnj|wPFo0y{Iz)jm>{Wld zq@3#=vR&tpS(5Bs@+4A0v<^AHn_pP7#XGdp%9CsYy0DXpJ8nbPgsg_Z0p*mi! zwFHUcr&JcRAT6&89>D>qCK@%qc12oV7u?JEE?6~`*kp!!UXhm91;NO`QZA{E6$qOJ zX?cqv8ibHKgy2e<%d#LXuL~N+j)ME8_7vGyywFpqhtz8-R${aAOQ?85HGkNkn|Kr{7?&kwV`V@^rMdy~e9!@h6VE2Bx;^%cMtGe_& z|6`v2Q67>fcmBt({;5y@o!>N`JetTpwg)+x___yFYP6zb5emGTDtUxvFZF6bFUyKW zxo0%n^fboBJIJTqqB+wv z{T7{5N>g_+iZTZ?83ez6|-e8y84hMmkXTWN=zfTzIXVk-kCLCt7eXt~^z z`kr`iNDcMR14iLkbcUPe@rlbjDK{qdiwVh+tlkX1c71(W7?gqxJU1InqqK zAkuK`;l_%X#oNN(Dm2^icre@>`af@tr-)2Mpv%=t)eNB%ji-v0Ohc7tkncEu)ILP3 zcNo$5G{v0O(!&6<8BK8>fKA-E$X_VfB&s$7Z#&9EfLR(WPp$VKaQ4q)vHn2!gmo1y|CjpR?I1?T!AX4P#?K4A#n* znFUkU81s zlK;}9Z++{>MceO=qf+b-Krq+1P7D?o$V`V9Z`6)8#7cpk|qH+3m#f+ zsSQCtnc4(VVMJjQHAVoQjr1Y}MdA6O z!L9;520`>FtE(S^qVRmh)ebGMvLd)(nsXF|XM&6wRFLd4ji4$#U((C4pgfT!59w!X z8P?Ad<7V-5Krgd7b|s0WwUcHCHMy42h=cZDUh`_re0RW-MV1$=x#llxB=hY-n>STB zfAm+I6Xm6 zv#-TgPvvlxK=M}y!>)IfwPzgdFYcufU2@W(wAG}Hqjb^ajH5iCk#Qt(6oS|Q!=YEC zqTAKx(`tu|ZM$`wjO6s<_-vEeI2{T34i4S3gsFG?4S(gQIc*!3$6Af>_9DT4{SHA4eD7U zNbiDX$ptZ|jDiS$kgU@vAOxi_sJkJsK!2#LxLYGpSg%N@!B+%en^6)cUTz#+ZHFE; zN~+}h7#UT`N1jd;dp1a+={sLhtoiz)GJ0l+Cz4^UHbV&ZBuT2=2S$TQxgYpaYv7C(bM9k@nUDHbqmgqc_pxWZqUU(B z%0#S~a~~<~0vD?JF>w|Igmrz}%Kz zu&Er`)XMcVp(L4`u{{G5d%n4ynM~~bC~1I3aUoQpLZt~Rt(r7Z5N=ASQvy+~q_n~) zrHv&JL=*@FnM5suQk9~RKF|7j*LvT*&))ld_xrxNGv1cQ_w4Vi^X~uk`>bcFib6qM zn%yJ)P$4n_Fj!*77&98cF?KQ-^qr@9QA;B@kE4{LnM;;=QAkAz&3LXROP0?igY#s&Mbf;!JJK)Zl0kZc0HnQ- z;)xW=4TkR05J0Xw7NojC;!ubrO>5r!jj8wIYsv>z;F+h@{xUd*si=L|;Pwaq5!8O@ zX8Dc-nOxZa4Uzcd-}%4sP2VR<4XgbIhNX@1*=3aEUAT@& HWzHK%bxpfPt?`_5EH{&5`6qwyKm8xSDJs!= zGg=2EP+dnf$isGI8^=J}?jiWn*Ot(SqoTlO`wE^)c0j@l=$RT>IUqw*BeQ)AF8~C; zt$$5<@iklI3a75lrB)L*x--HAnJo?V8=A(x!pYFhb3sG4<77^lj@g{GJbEs9+U&N#FHy34A?2NQ$>Uy# zO`s50NBEG`oUwCp^IQ^mBlSj)7^|f^Hc95YgXSe|V*+=X4KZ`cM^v)vh}DGbS(obf zIi+KN>6U2qi+-O@zH`-^)bF#c9xqBXA4I6v_@sNQk&CWnX9rKFH{aSIifcP~_Rx-K zyK6gm)0Xd3rvKURd-@x`KeE&6DYh{)=H~+7!kBs44Kw+=dQ^5eK?#T;FnaXca->(q z%+75zIt+TVB6W`pgSJtEwliq795Vxt2y6{pM&!LaqnmTg30RmfmUkwEy~odBw`ALwOn(%gDYHL$tq@s zAQ;0bxmh73&XS`lQngbv$xju`s^%*ys_Sav+={Hm_&F3Kd~BcV;bm zC*8veVU8vzL|Ea8%XV@_`68!HiO1YCHI$>jQKF9Nx3J-X=oj=q-C=+{=f3YQ_ieX) z#Kg5WXwH4#Oz!&=U-YM*z6v|8(Uk{97Hd5bw0^KZb;`opl06Ah2phnP(Bk}aq9zC% zKpZrbsIeRoEFXpkw}LrQv)>&(9y{8gUpssl{3=mXC?LR|tq7eE%!!&HO^{#I86Dbj zzdXU2utS6*0ZgnYcHAX#A<1dPPzM7!TFDfPGZxZW7|1`N86)p}vUOI2e8as6ZlBfc z0(h!>>5pPu*#8X^9-sU>|2Mwr`$VY(t7+3luoQDI!eyXZDUT$xEU&4X* zt9JsI+3?<-;hlDiX2Uxj8GH$u;4gpT+28u+H`7TyV3`T_DX^GA`3Fd4K%SQT&Scqvk4%{QR5~IEl6p!3_F@_jM#PFIRMh#d1 zjiF4esAgIWF}%LwegirqM%vr0^j~B_F}!{4JqT~ zeQO25caHoo(zQIwl@z5a$;<~*Mm_X$jO4omcMn#=C|N?nwklTE@_ZP#D!G>y>bd8ojp#W4NVjZv9&(-2yu2}GMRjimaR%!ABP zc}pdNF;^9gc#KK}kh$E|&VIyd%-32Tsgwrbb~qSoG_s&Stx&0engkjDe8BSfq~CKp ze!r0k!|C_O#ioK0L!e4S$aef57c4KQm&g5`x4m^EUbwzG_wHj09ZDq)(QM+kcvUaQ z9NRlQJ4cT%JLI%mG&@JP;~ahOSHIkc{YQC(3u94@0mCmRT(UX=r!7wr4>tN22 zB9O-AESR$r@C!JK3Mqusu0zfsnzQ6$cvUEPM6tHnb(NLCP;J5nDY>zFvY+68%mq@b zQbKUkg~C#W`HCk1+axStdk)|FydqVY3xXbDC8@|gAa$)^E|4C!Cq$I26JpkGTT!G6 z^A!)^fDsjjx}x>PFp~P znv;?E!B><%CrL1ZWV#WoldF+n)Oo~t-*s};<0cRqfjF{09dQu-#1Y$(LYZXCn8@5p z=DPzohK*UcM4O(}ZoWOc1LbMKwZ zpjIsgpbn}spt7ApuR5VBGz$@lVG~)3IjQ-=)dLxTr>)V?K0}o!UDD4!b6SS$_59Nx zd}R?cMxXxRgE^^5NAu+uuAX}@u9uA9y}~8CSI+?Mj_jo~L_xX8{D>=F-tuY|VgGOC zzR>AiE#z*M-(v7eBN+9A@;?quX)e=*XGogn;t?VnS__|wg+9?_?Gt+B4Q z-WgRIBi2`ouYKvIkeZVPcb6=fc8lg@!R-_q|H0Gm_=2aR*r=Hsx67W2KAk`j}xH-HJCk_07mj|Nu%!etfVu!N^Z)!%>@8WCX{kFG!;z|gaeGXUB;+6oY1J|EZPf@$2P7wuRryhUPOg_ zb(IT{_Lpf2Fe^xYKBpS9T%U~YwC+In29CJ{{q@y>K8Fu?7e1IC8!>pTMVf1kH&bi; zpWeLxT9Eb!4swxX^WtJ1=pN*!v%xT7j-X%^@kv>x(fm(xDgw? zgLzjUJ_M_@9#A`4p*rf|&`e#P(|Wrl#y!zb0C$#f{bj+N)g743N+(bOH_&eYIk+VZU-U}*C1J$&I`esgeR=|b05 zVn8)eL4cJU35z!Oo|V|3w#!N^svR8DERMIGEc9ok#D>&jR$}N>C;9_Q48{Ide`X~H z3L8SWDF{4brEzK{mI%=S;%)@1+62-rfHP9vfuO5Z@>OkOP}NFkVwVAzdO+6-*tSRx zD%*T}Fy8Nxm91*gkwB(I6{<&b5W}x@jU2y7cwtKSHK2Vl%X60s_5d7!BQTW>FbJv2 zlXPgxfjFpooU~@2dZg-13d#-@LI8P}i|Q0QV=f^Kkcz2D6ouV1HTWdcG zTwo*U2*Gp*rC@8?@gQlaaPbsJ?uzV$GPNMnfn}F=oh(>YjltNhekcH7!@Ecz|yQ$h_o(}pTVz_gMs?CVbL%fz%R_sPWHD4jSrdg{QlcX@-} zC8)4iMfR8DKDIE)IqJaBrL5c#lL~6u+tzS%BIoWBId;oOj8N;p&xxFyN#s2HyMOg> zeRh;*^k%d%kacX)E^cjzw!f%#B4@uo;Cljt0Xx=WN(fe#_K4E5#23Jsx4}TRw8zy} z86QAVEWM;*o9Yq&9bH4 zg9`+^rXXucEV}qvPq&}6H)4Il=#7G#EpYH^Z;ll#dbrzKN5^`4p`*uo;)RB~FK}K2 zYhVD+(Ln<$BBgl7A0xJ$2f;;jaJPftX8SnX$LF4Zrht}Tzxd^6zVKJS>Zd-vFjgH7 zfZX56*_DS1^q2URtRF&w{k6nAnjujM0rahQLsXx zNFa6mvLfwc5!4AySaG|Qj9%Wgz!&To4+tA290Y^EGxC=eX&-&X6N#DS7=g(kGB~d& z@C94(v|pi}2^ROTTB5}$3yzvSwOmbALT#3XkPprJT{TuPM{!|qisc;m`bK5+So_QR zJ=Si9NWJi{e}Z z1hcI>?9sifg1{3tf&$gM0i)Ox0(OmIgok~z1=Oyho#rt$jXg#BIS{<8%q6ALR3?%* z`H=&`X_|L7j?*3g9rO|ZWj2n}Z_y>x#h>?&KKZx**cezOAvV_1 zRT-JUI#CKrd}UDBA^q9nD{`VVGOA!_&>+imi%-5gCrSb6u#76Im~}&ElPE12Rbb>> z!JH_Kj4DFLwt!eAN+Y8RY&?u(PQ6x%QqQOY0)k+)7OcS{o>B$gO-?&6S%XDz0ks~A zdZ&_L#RaOtA}4eRNro7B`p({S!5EDId%$Ff%p>JtbDa71VD^Dd0N%kRsZV`01|Br` zV!zdzzn+HcdP2Cz518R1HxC!Nvx4bV8)mQOg*J>}z0dsQ>D#{|^slnWc%jM(bZpGF zFv6P>bh;VUzb*)n7ae~HZg&Dr&NN7T!Cf%eji6mry~K*tzb?32AD~T<+5>x$Y4i|e zaN>f&MTBM@RYM_2{p*4t%u$VwV-)6|e2mn;E(rD`06bXw!XP=e2W8?O>faDtSut(B zlb)X}DEikW!Iz{_9!^Vu)|F&pi*`0gG7({*U98AGwk!>Pg4siKf7zeiZ8spY6F(7yLn^^h zJyr5J4*x|y%lEIh&no(cSn!jd&IiI+PxJQ`$f^J);_~K?}w|{}hf}A*z z1eU#xpfW~$VU+2R+G>m6%v+6)kWnzi_Z~8 z?mZrExS8~SXa2lL<hw{jte)lQ$Gm<0 zZQt3cdDrFt+JJo#=ukk)qm z#Gd3Zv;cr~IGjS)fY(7k#s!a{b`S%=VHk#zD1v-Miy*pX#gD<@3h=^7(W(ei{kz}^ z&`JR?f_q+AdGayxp)G<-RLppcFf2BQ!@j~X^67LzyF3(J3rlNWQR2cPiR7YQpEaJh z>L5owo+>gcUPljYoZ6?s9#sB3|EE7emw&Y&KIu+s@P|LU z?Y}TJbECiwHVSaoDcZV1-BlXqobF=zL|>**GB?YRGi~|4NbKuB6o0^I`~jTQ~{6{uk$uy zbbZAmGCbnFW1Jv8*qk(~GFzA!EHJno4w0%Z3yK-G758N*A|iu!*J5u?5CcHqGsD3+ z0idq+lsM}uvt^YRCd<~s?v?i~l?A_&yX{V?0WdyM)~qC@?COV!0mrg;=wngcm1Mp< zh-wsE2QF}F>8&KI+XIetxkiB5jSNLx?==)Up_tUBDC?n!EBIRyjI2R-&|G)zH?%WC z%8|`u2*lQ;53lbKIyvKc3oh^tsK_B1xjKX`)ja}rlis6JEAe|Y*>Z6QF`U!H-6@ON6Rffsdv;UMY#o0NTVdO)+-YoKnMr*S8J1Olv23` z-fJV6jnbeAsf<#Ys|yp-+M2BKVyrI62e|93!?VKM$5h>uRNAv(Wt7rX-IL@nE6J+d zQl{#{kaQGtHcBy7cfZ02;`&ln<(8PL3m%F3qEA-LqNh}|Sq_u>O7-FO%PnP%>rH0R z-Fqg}wybxUOXvSW(e{0!WKzC8PX1hOxr{AAvZRq7Q9s2~6zB#{FFWWBaCP^Q@qjR< z@m?MRn7c8e4qt_0%wPE5zWdw$YG_+!+w>5?xHmZ@lf06gn|Cq>dix5VJ)O)VsK{Fg z$}}6k`5{Pc>w<6s0j`l1yR^N$0WN5K2Wa&W&iZ;qYTFPzGJy}l_1Z?V$F8Yui{OrY z2qK80wP>dhq_%az!-j0V6kKvRPQ7btTNgCOVB~n#-D!cMZHpwP1xX8cIQ%MaLGZwV$rrPIY?LxzVkSvLB?Wve|*iOq_udQms1uO9b3v! zRT0W+0hCHQKKs?G^}Cp3{ku&XFMD~o^JKqsI>fS9{#~a?5G4ZF=IK}0txC08+_#ak zkz#oydlAQg+9f5cH3W)eZ|i$gLsjW;8Ni*g%k4mJNovFnk+Hqo={!JR<#ax|aH{M& z#_b^HODD|jq`vuXr%myfT$|s=)q<0BxxTi?`?mD;wB`G}=Ztr} z*@ECLU(4A$R+}(;$DCV|6(IB{$j*{JM}K}*?Hu6}dkuNVbAROB^+!$%U|NecbLHF& zSI(FH`r~^ZM5$Tb2el=hF~&FC2hSQUCkD@AGlbQRO@YxIoP=_0;( zy$wzI_P_CcKm6~9rs?m*4%U%9@&9#~Gc`@=axSbR$CCsinbCuqrU;^lt+|#BMiDnF zQqvT{(%XGNNwE>+lT!q}w;M1S>5t00rlxVhU>!l>kqRUWQqzQ>vyQCW-tJLNqk5(3 z4Ny%HWklV6?Xix`I_9y|G4|ZvRt{s@@~uZm;M~vs)L;JC4@C|`@hj(nbDOP@c4uUm z=om%SAPZY2IB{A~jb0NSV}b<%0Ti<_;u>@YzK7@-6NK_7dQI}Vf+oqk79C@Pfv`h1 z+f;{sW!{XfkGwf3{S*)9Lm^_PPXJGKCW+muZQV@Lb@# z!4$@QCdhZ!ND12$eW^N~lN5KCq?mS#T9Y&!7@qhil4>YOv5O|WpIttKu zuw`p8T5(4wGjUD`D#SZXX3{jdcO@vAw5+&69$f%~n9QVE@(`q1cfpvRMcR`Qv+j~}m>;iw0F@+xJ1$uU$b{)h+uD|IP>hg=_tExK=7u$JQ=*~U3OM2> zReR63$YxFQIJ-TXk|Xdl0@tz?h4vh=P+xgzcyYnQ2|@P0xynyURjKGrmdW+FfI)Tt$o|mb3+r*z2Gd#X>=o9J0mhDYFsYBE z{1ocRu>X-zVgbEMNR1Sn*HThWzsIncszMKex?s)iNGP@aYZ#!i!S`{%5U74H+v)c* z9474_?O|2HztjK5gkJn!rX8eBzShwWhO5QEhwV5|?e4wpt!Q>D@AAF-Y<=@@_wD8c zXea?rt{E9^Ak%FS2w)>76&)PBc z=!y*#wa&{1EY#={Lx?NEQI$v4pqeMv*(TOeOV0pj5V+2 zwx}C3QrgoHD936&sc04IBLLHVT-tfAzv^!k^w`Y*ZDO(T#hTo;`!x119xBgW!*bW? zUYQn?f*mo|IXaW@EjdFNrCGrZM&N6m=nnAayNvEYE&~4|j-TT(E4Tp!YTt(2;mkCy zj$WPuH^3%h#mnK$_Ug>u%PTK@;ALg$eg5eWzOrx%5o|n&uarFb>Y1lsJZ~Cro?}^6 z?Z_>fy_ehJSpMg4`tx7;MFD&12iSogg^9oZ?k3vDNk}|;FD^LPVnplcf`cta^j?bK zP=^t1qYDl+7)`QxVGL^OxE4F-wq(e(xs2Jm;Wix?_J8|~lz->{_Q}NGDAmm|rV8w7 zfwXbN=Mt|c8z!DvvSAv)#}9yDt1^<}OV#q(1a#`XU~#FYISWKP|DI1un2=5jks!kJ z@$xyyzvp)PJ&qT|MRC4B@Kp&;LD=Qr*9p$rpy|aa77Uwk2rjo^_SlZ7$7?rGPFud! zzDyc^{&#-azxn5*>ZJ>L($HVF3mPZ^sBjgdbro9!;Y`*Xdjv*k$plRXxHq&RCj_(R z$f#gzp9#UNIS?NK!@^<~nc?B8XT)vR9H`EaO#@6gD>g{hf_xPGtnmZ^^1)*~oUHT{ zyXI5kg8L=9@5TGxY|-^tuP6_YOYWe7g~N5~bN~cZ>zsCAxZ@J{ zl;hlNAt0gd(wOO!WOawY{3y3_4<6C>DU!F>*MuMlW_U(8;Lf?{dxUH*V?IVm8;Rud z;~`$Fuerm(H@QmW9jmX>B+H@^N~C~w`+O{=-=72i=(oA*C5qoIga4~yUh%T6(P7^z z=Z&GZRsG5;Uh>t$!}C)93d_^?sj)^LOZmTONtYNZ^z&Ttx`B$<>&POVe(JaU=AR8; zw!cNRBI{CZZ!JDhJJfl$Wu-w@7`JeLMdyVeRh|n@XZ6~j6tL09v_gyIE2du3qmWx= zf+z7|N}DG6?4g@Zpn_L@7sp78G)VkB^A7_779>dhZ(e}{8fj;C4yFf&;1KT*j(sLgQ7FPJ8mJwuWK$@C< z55z!Kq30i z09K0|3^&&%&W9j9Jm2*Ww5jT|?$Gsy_dc&E`p#Cwd=Ap-p+s>w!q2P)Mc?_Zw;QgA ztUAzFS{;d9i@x&}w}47f@VH%xE0%XH`pyKwrAJf)smkfR)w@=GXOiHt)hfXe{Nsvw zk9~^=?&rPV9uy;{g}J=usJ>IBWIkY`2T`rn^#zM68qiIZqO%Qk$aK|y=Pxf<_7d7l zK24E{pHMob8{Dz;e*yRw06@I|v%}2%AQ1!R}B+mDyKrl-&t!NHDb`4)#^JlSQ4nhjcEI81F?lHV}?O6AdIi5(5 zO!poPFf__pFb5$945Z*mX18R)9E6~9uc;wm=9^(uNDXD4ngbvU;$obTn9?*(oQ)b~ zKkwBVy!4EKa3$MIE@?48pCFq=%tS+<4^vaves|Chi|~sl1n593nQza2yJbd@d^(!K zs@AOT4@QgGn!x|JYvvoY!#)+mh%;hLSFM@DL>p_y08D$)D#?6{FvXdjaB#ZO*VjHB z6}2!BE#%I}^<1^wos*p$aShZV>0z+cFE*nbXzIk|M3?>L(hyH~*bYk}4_f#z@kyoo zfZe)#kPA1$SBJb(;|=vd2%2aL2<4Gz2!L#Oyrq7@@TsbwD8pmKi?SW@5=-{`{Cju^ zRrm-2c=ze|b@)gKK&}@Nl5hzGfB^AKxBxu)MF4S$F4O5$@JMD4S-~tbJ0^QP`m)a+ zPv8U|Hwb}g?vYzGdpx%TA$ayJ|MSPcG13qk^t#7m*i>W}y!{P)rW$A4SLX4!AX?cO zxzhrnf(NUXvHmovE(p{dr+-3W9Kask2-2duASNH{Pyw)aNPRD_NQ3Hvr_B+NOB!i3 z-m4E~U*Q<3>Rb^04+`!>MexwJ=+T@OLDHQv4FQj*)2~I3#|1I;PFYXU;2u{xg5^OMm4zeA=g>mofT+TC*HYePAi6yVkTCYWEO%bRqDDSw@tv z*>8V=&ouSzM!M3YsjsKmJey^X*b$%z`~d;9g#8|kG{bZa46soH6+|lhk^dJ)Lq7SR z{om&68geuTXLgEgpQ1yXD2h z@xmP{Q7(%FRg^kXdG)333MH3=WDo1zyMoe9+M~TtU6^n^&Z3?t&)_ zu!6JCU26w$7NibvLCm?=(OgJ+8KHoEWv;mk!iQI8iv4C|K!*_It#?7BF^GsD&n71_ z)Mw47q6qE@5ThX2wN*vNijs_U$s-~boDDH$`$I>PO4ub&$ekJb3?egXRMxOS=#StXx3Z+3d zyTz(zfa3yrTtYUv<^kbvejKo7dcZM*+ZxdJ_+Ptb1~_7__Yt#7`6{r)-K;6uH$Tq9 z@`RcJUj^va_5qiorb}99B-TXC-CiZ3uqcunjt=3PD0Z~3m((_05-cukqQsh*cGxN^ zNqQDZRO+REu%|((&S?5egK^nju;*NFd3N!)@~QLE;LDzu27mRJh94i6h0eV+km|lP z{G;~~bYB{s{C(~<@E3;v_Q}NG?4=o)_T5P)OL914d8F#@0MhG%mEb>-Ey!>_k%&ZJKJKX4>g<-<`j zn&R2%)$&bD`%b>Vz5CdL*W*|SZsJ;(O)Ry|tsD2T*bX|mloIX_?yPe2$`J3;Wp`$Z zcM? z*lr9H7Ax|(DT2zt3PGF;tw%IZ*VDe?k}(BM!Ijkev!M8pz9eiQlG&786BAc2Dd}C8 zEFBC-w58C%S8G=N?Z-785%!{Y+wi5JUDn*N{az$>>`S#~zCG|6=#cYbKKfL0u`BBG ztX7-oWR_s zABe}6%%p6GnuPNOOm3J7xPl{9Wj;#j_f4NVF~60WP?TAiS=mm%7aIH6Kdai6nH?!o zOJ`6lr(vGjiN##HMi5LFfZwWY&W*R(#_vGC4;u>HwaCgb!1-y*7 zyZu=_8%9k*aQQWY^m}~QgXKDEUl#=Q1lk!E0z1;(y(aSb?Sb;$u5?xZBCeSO8l z3M_+EK|7EkYK##pGQe>`wznY|VIgd3y>lTb+P6qy*)&GIpc$;>S4}wy4(hfW`hTVT(ulZ8x*& zS_?`ZB2b|&R9#o17Q`$`mG@Qr^aqGq>_eWrJw>@rk?BM!yzhA0`7rZe=rj434AZmr zy?O1M>U`fb+#n-;{Qb^5pzPZl#-1E;Ym~>w)uAVso zLt!7G@rwqi9;TOHeERC8=U#s9g{uehcm2V$@!Myg$-jO6xvN*OvXP}=D>13acm9yp zUrixdxdd?hi9i0Ee(Xo0>rvmA)l9s-cO znyZbo&K?4~>(>&WA(rW~C1>~zLM@O^i_?Kt_v#==Eia8%vX7o0?1E}UD4V)7b~cOf zbFr?mm#baXm2Kcw5q!8m-<93295%J@6Qxsqvd7LgB}X_jBg`PJVa8irC6go!NKsB3 z7ChqctWJ~#+jUWth2upGA4N(;SyeJ~q!Us+$Q0#B>e8beFnuy6^G8|0I|#(3u?OVD z@F@YKy*vi8_0A~ANIx}}c7h?N3iiVtS)QWVkTV0d`Oxq9wBH;VDD7|Y43szBl|Dxh z+6jU#cl^oavp&A)b41dHZ*Ufr6sHT8KF1BZ4I4qp1)E@LUqt(Zu>#srSq93FkqIg& z41bOlso{#?5z!QPjR`i=&7OBH zF_2551f_6Ev;rViS6?4(sj&0Lp`o`Dx)6CX!*tprESm8V^)*o$W%~oOTKneajA~8Q zRo!?cbR6m{s`Qk_R2{P} zY?U9{Dlg$NdSvDIs3|6ylo-mBDWgFlXcm zK~aw;2#to!094rt&<#z!grKU&a*$+`r31KGOFw6^xe1I`{cv7g*&LVD|ZLIl4ZmHrW7Q>h$F7ntrR3RiEKyJDM%iblxIRglAt!Y zPY7e%5-0VYpLI-86u53(6QsU#!2^`Cpx-daX_#;u{-P$&p(3RzrYZ?i-}#z=s<9(f z%8bHVR7uhubV(qnFpyCsea@79MaMChi&17|1I?>iW~9CicP;sauU3LvRr%x!<2*(2 zvI?d6i%Fe|ixTeR-A+-Q>OR{0a}9O+#b5J=fBTa81>IS*`Gp1dBoPGEyUPwge+Ces zdZz=*2q0*I4s;(~SsXU5pnIm*W_(9Mj1cFcv2bV=x{tmh?(O+8x0N~_nqHgLY3SWm z8Ko^XCgx$qee``7#S2_pXKX`-?q zqaUYTBPjE}F}wNx43F8(H)wdwZoWgqV|Mc`3IlQZnB8!syH2*~*q+2fQvZ(7^kV3Z z*a+S4ZL~jLW;NOzfWk&n(xH>UY;YmOm0W}iX{Xc8^9ztPwbyY(t#^_RbM^D?s-M$t zQ5(q2bW1lwxAc=Qyy<%;;G;lhDn-pbfU063y?D}8KVhB?AH_0g#?{naj;FOyfeVnz zQdO}ct)p$FzDdwwYbHMgX&hZJ@KG3ssBq2@q-}J;!(pp@)Ff3LA|E&)r6sY`4m9JM zpo!AAT>I~g zk9y~Api^gjl)>*tz&G@21aYI#9l_!FBYICLhyr|5jWK%M=!r}#jW}_$hj2SQ2*2rD ze)>oMPT-@o&gSW2FHjGH0;D`DwSFm& znIIVX;FG}mVQHHvXy=J+gp|j8*PwsVvOMqx+O-pcQXVrw^ky2J^1<^PAy}ga3ZL?E zBbGOC2BVg@FCLemI=&dL@T-Z=Rj(ATTg6MwJ5W(QY2C6je&_!+Vf|#8_#Id3R``)R zFEHd89(9B8C;>oWNKa|cn~+1Lt#P!hu2;%~ zpLZ=PtVpg9(#yVqfKYg;eZ^!hD_$;nJg%q~ag-Yjda91{(Ue_LGUbD7qcIg{>g}aL z^`%0$k!0*BJuB}QWtWuRT;_iq%KY{$-KC?oh-{va< zWy+RbtO#c3_87C$Lv5c8`%qI&bZ;IT_mC)__}@krf38 zfq_pb0Mu{*TCmtP5(Tt3efYCnxChjieN=+%l9EFP7|??Giia&bx0rcYVx&{E>#A&z z9EGIv=|Q)G)K(5%VnX%jPSj*u zx7L)U>rcjp&54J{A@N`j`=yq2f}xhg!?fjFE5O9VUwZrR{~doNsNFT^=Nim1fKS+r zXF$H7a1`$v$-ydjiqfT&VMiy-p*srN0i)zq3!!yM8H(1{yPc4_*l#1*qF z>kgP)m;%ClSHfKxxl>C#*d9!;|lE+mE>qlA-d#Yw-TD7 zfV=6uDq5JcSX9G#=T#ib6~3cj{;yHZ%_b$gd0AY&l9!!3uU^BQS973ocY%f}rV$I) znwUAzxS2rXEx+^=|JOeq4K#Kqz-t7VO)lj+EXaX|F|vyF?I5%3Km$}wvf>G3@6C#J zpn<%<1sXD?EyoWz(Aa@*4}v?OjscfyR?LCMZUxUk1qtn{PbLQ%;EJHnffeDM3)UnMXuKnZg6J_V=YRzlAVn6el+7t%O%E)AJicB5z6LDs7k$R zW3P4hbzI`Lfw=|nPUf#%UJ#uYI9$6RI&JyZXfQ7MlW+OnMi8bm*Co@J#X|XW`1=C@Ihy97^4QR&I&RDHOP~s9_(aREO zVmI>b5R|yY1mT=(RT6}I#%mvf5|{X{FETPuhrNftzHlN9+J*Tv*RD>WhUjfI8GY`p8B^v6n9{&-44?s!Lr~EPmvJUNtgxEAnyAr$D zhfIGbe|m^{lJ;S^gd3p@j{#B4fHIvM055Arxt#_;dq+lG0(qnJC4VpKVe+@jVX z%~8zFL@{6gj=%fze;GzyYgca6y_g;|Xf|jU5IbmJnNfGa7%j6}N>gMm&*y?a#$Tw- zLXHp}sk!R@r8H83Z#7Nk*kLGxJ{cNy7eofu#^0Q@-6RXvD5v$B6jMZ45gAeMyFxjE=#S)hkOWCy zd){KyeeWE?t$3&v5|q`NlT5W3H8pxKN#tiX_-544Jmu>anG_=ZA3ttB*YS18<6$BvRMs1sz7h0Y2;fx9LKl{I7m*SIJC}S+7p#9+% z>SpJy*bL5lt%CDzSNk$`_tSsmkG|pegqN>{qZU#ST~=#tD9Dv?Ne+3*9E>JNi?LRL zbQV0$EGVfv7u=%3niccIiZ6UED5*OWjCL%zyPDmPx_*WfpkqqG{{R5kSlSSj)Saz( zf-{UfBtcOds{({zh7_EZXqGlX$?@fr$&i9QG>|ZDVJfe%d1paQ-Pyhm=t7gz#^52D z?H7`hJaW+X;*)>p|He0cpC~n) zFq=_Pj{_>oUXs}ff8~V_ysU2Ar`sW5tb5feo9Y2{T(Cb6U|>tmU$G2ga98=?+IG zAYTHJYmnNL@6M(a)if5jfX>4#_|}SNQ;LE+Y%=|SnEiIeY)TJX(2j`BMIKBs3~_lX zQ@TU(Pbo72V^sV^l9Vc#`x-LnLJ)sFk`+2{yFt~DlAz(N_daT#)r>xHd|orTSqz_x zxS4)%RX+J(J^ZXT+Kt6@lj{FAUst>7L>)4r*$(2Wi`c`yuZ!99*u$}U{x3T;(?gBP z${^>L=K$l7l@l=CwDeRj4l7XU>wB{7IA2O3uD5q_SguI{fM*M{#_OAgy{G4TVKWIJ z3F7P4m(yL8|p6=6E_GVvj!NBbQJ965ww)^Ad2p^235al_y^(F5AbRmjL^|?n^KnAjWV7&{Qz`+)wtocXwB*exu>i%y%{5PtAQsntvPY_-}Llm9Pc3w zT;cTQihgh-FMAxnY)4O=Or4BP%xVT^EG~ymV@}s^BW5X<)A7vd2bf-54UB;Ra1zxI z^7ZRXZ$91e^kz)I2Ax(JSi|Yf)mTo9W3G>%+tmfjn;71mTMYGT6%Ji|i_y@|gLEI? zV$!p3+H7W5D;&mLgfZQQn=WjdzEsb*^}?CHSZt!Zg_{Bu-8b67#RVCV&X zl9gXpr|1VGq?Cf2qxS9D;X~y!NM!ZPd#%T=m7bWa2$|ar{V=g zS$iRC4E=7$2#%8LPB%iOPJ;^k@{#n^GooWurJX^aP)fB<9WLnK9tkO``|Tsu=u>^J z7X9Bo89k_e_1K2bP>(b4qt$!dg2I5 z9HmbVptz)E4E?Q7W|#&+g{^H4I2jz;Iwhm4Jajl|Yc~NFWS??lJK;z3dnz4`)6_{Ybj5_tznO z)K}wDZ97BqXGs31#%%e&`}cn9_ly&dBEJLsN8(W+Sj4axx~_rbA5LsMrXWnKcASME zwS$B5AO|oH3=sJ61X`0(>{J9UxLYHJVQ{eDti+;bL0WwmL|-49pHVdd(!Sj_t-cGM z!1@8pi>y@{8E;=%P*en$0MP4-D^w6`{5-9`3)<8I7HrTJ*a(W%FOsJ{I*)nU;P+?` zvV!D85cqnzKu3@e=ADmP=&-|9G|8|Yv2c_qAKV_Qwd}+=YF{5?)7%s4%*3NJ@#r%j zc=|&NCid$@6Ei`(-~AJR`VR%RSql-K39_;TxsHIRBM1Vp3Qfm&#lo74wYrJw~!b8Gj+6jtQawj8ruRH}K@4C9|5l@2IA*$e>a&s#?xR1>tsgv{ERu{#>)})M~P}@o3cVaWLF> z!V&hw0L#TGmDCW8t*Vl!sPt44Y;!QD#j5h4WyDP_pKEULf>*g_iI@&fa;jM>HX%xo zvYkBec5FPH>`QRW03WRIK9mw701n_4&HI;NIPIU?Y5zB$Ot54O0fbicEelPSLR!};2wmFQprL{(-}xbHB$ruoD%JU z5l&W?ixsJ6is11?s0W@vU_CTN8-i3bE(oVjN!`H?(3vwuHRFOHpkWOSiIUwxQn`74 z6V*%+#5fMYH}61TsV-COnh%W&f~^huiYtP{fchw}D87|T76)**(z%+P`*6_Ttld)DFX-{KDQ~ccRVb{aS09BHTwUNrBrgCsWwXhd-q)EFVfu9TMjl^pWZEs7 zy^-7L?GMZ}mY+oU} zKx^lMQNuv{hg!Q3%ykw_m9Y}Wb|-YJxgbNm~DnzUP;%Q-(lHo+X8;j z!(>FkTHg-A9IypG5WOL77?$HTo9jVn;zlSlA_`MNAm4Qf>~_1Aba&>kGRM=HJVLM| zcxktw5NzVl=(`IkJKw2~711;IXy(9hJu9@R$Vki_siRS8#WWGI|cqk-;yY*2d^Ql+y z^l``GD7;9UleEfKl2yV3akL;FPZ;^Cz>9Q7`3?b(;@7iFuB=V9c9nJqgjbSv0LLTO ztoEMo5egUD)r4ClPFP4z@>|qxn8-$~{!MPevA;N*Q-)*c%BUJ-pN60H3$KrcTXVIu zWgIJ6gvV+IdK7&$h~ZuJec}!VQIm|Vt5_GY@^Gx)_PH(@Z0F!ult2oOxgF8)TKZyB za4l`5`S0d2EbKavxhrfpQV)Bc$|OPlgSM{uuA zxB8IZyN@kg%?R$%B73bd*}C3{q3w_(Rt=Hjd~{kZX`CGhKC*mk#|hl{$WLDVsqYWq zh9=nE23fitb1ykC0w<38r@)|5q6Y|l`P8zlsIK=!tFaD<4o1<3AxI_XD*~+rVk} zn&~QQJQ2~Qrm{(?bYGi|e8c{H`;gOYVC4lh&}QnisssSY})dDdhAz!??*oPmOzGRPmlx9yo1iVrlHR_ht9kjdTTC- zxuHIUhMg~UGc@&>3xX*{$!GuqV{W|anx-Ce!6T3n;{Sn5&p;{m6;vqoSP?`?3JMRT zdq;Xr^@`MEE(ooIg(!$!x9xz`V=j0EC`FVq1Un6w)MG9PP3!a{K*2S;7Cq*YP+l1H z2aR`Ft&Bp@zPfk09#iE}7iU6w)m?+3G31jg7ynn6a<|R4?sRTwn~92y)U3fC3k_z^ z>7^QM?q9jP{*`IBsI>w!%Hw8G9{<5RzWUyT!FcPHFn=B3L$r1;ThcvR)sZD2<{PaX zjZLX6=}w4TVVlwA-kP(@lETzVeq?L{_YOOR|1M4a$U2?3q&p0AfPoaj4x>r7q`;*U zzyLu&O;{w#Gy&O?0%ML|UfAa2TJu6#u(G73rgH>>a+o6pJY(uXT;8fLmaq_h4fU|7 z&8&^C{w+rl_7~5ESSw30+7FQ>X)JZGxxTK9?tZXMA3=SYNISzT$1*}SdP8D`6go(D zGC~Kzc3d7hOw;Pu4jrZ~-x>|3KtA>@zw-~j^skP<+Q3H01`D_WC9L6~nOYQ49TPrZG z5U+2WOsv9qT#$5laJ%pXp|6I8yS(cv0Syj76$E{>I)}CfGCVl}eE@N>IC4lG$+U!g zj8y`9E%_KGpwWzoU1!0ZfIcFhrKLFFdR14&uB!wz&`m@>GeN(SX2iUt6hZyGtq@2_ z4k)X4F3ClgL=K2IN1C3DIWX;=+SesdE8aaO%C}VQ&6@f4?2Z^>A(D7-84Xm&nF|EF z4IsjLMhBy4Nqetuki0~4?)#=fFj=FkZVm@pw^y0~2Q^@EbLE44`_L8mL-(K`x+bqO z^QQKPd}brGDdptb%obGp3@fHnl581DqjgT6+ew%6?M|EG zL4|6Ux@b_kN*UCj<@?yeOW=jb33{2Hw7+?ms{J|Jb$8h=yTc>5s101^Y}d_XyT0}5 z@BD-RB-{^mlid9_ES1SV?90-L~Kp=XI1r!1I*zrbLDdaaGWa_|?JA<2)`m!}H;5(aB&Q0KUi z=P+1Hp;yS4T-=Xxb97`qgIP3PH#bMio_6Me!2)q}M>5}@gu&8g>U@pPVX)Rx*Q+G+ z4MJDCVX*cbV9{Kqe24P&v=O)ID&fJ&oBpnR>wNMFi=@hj%S+Hm8y5 z#sr+!iOH$%)R?{MSp95F2OG1qEiKqQFw#o;Ci@ZDlcy+CdC&V7 zrBUF;=dk_sd*2^F#&?&@t>6F12mZwO2DDat20caMy~!L{gu(&|ZP$i@x34Tk;)2D* z?_p*&3=Tm$4KBDpa1Ie|;_+yNW+6zUyAcVP%PkB{-j&J%tQKDPrNU^*lIEV*wXDZr3^>8#Akbv8_Q7e7{}r0GO3n zGc?l~PT0PJTTqqpmwPsRL(i-+FsoUSM%-6K9B<8bKu@TBj1?LAyCCo%1eyWB04v?1 zCuqcrAo}LD7m+v%vAD4!jkpUQk2|!O;~3FGDE%?{@>DR30}Qfo&7;rH2V_}O=q2$r+w4E*Jin~g}T;b*b>;n4pRI)<9aVcwoSqHCip>m zkHt>I32-|-LFYdT{9wZJVp8m#y3nK$^ZSQh`Hh=jdShUI#sBbj!QiW3abm;-zWO6x zV-eht6rSoZi0ye9eMRHE#EP4(%-+a?l4vt_dE_@0@P_TJ0^VXpNrajph%~IZ43|K@28JBl0iBJT4pF!}&mRjfu3C*`s?;fQh^k$gfL=bnRIpt3r_y1KJ??W{G-VH==PlAXt55;dmi(Vy z;KdOW=EWl8fv~Qac(OEqY?4-2=Xi!o=;16j*!I#>75YltKKwptgbK{H9 zKGzBv_BBB!DsW1qAOurT?L9r&i^6<8>0kuva zc0x64YKyiXCzg`;3b+aZKj!09K24Vd)I(n^Tuyo}m1Mq0AiTv}q76wH!ILGYy#!a~ zCAcat0sfZh;jroH`SKFrZ$9?;rd|Rp=>a}JHZK8=uFwqJ#7pp=vu^?Cq}5q&L0qSJ zzmugFWL}Dwk=DM5&tygZlJtAj(yLB?Wh99NgKS5Dz1fhHBz_ON6Z+AK4@DlC^m}fn z-wS_B`aP=e)eMb|n6UJFZpZI&!Sd#2zrX{Tmc!{(AW0o;AIoiVyczJn*)6tMFpeJr z6{=FUWB=RrVw(Z~o6}-j0sbLS|DN0F_uIub^T}*ii*0rO+vfabJNhq)ZTeC@--+d38F0Q&!J% z^#0!Pu2=r8pZ$$fy+1f2g2KvLI4NN`ckcZGv)-Dje(!GyZtqH4YcOm!26)3YJu8^W z9sbk^CM)?waTDQ~3*ImvWA^^SO~f#wcGu~dLFx@IthiO%t+W#46)W#=yI~gtJ+&34 zI%U)29banBK+BGNyGX83T5lz#3~rKE8^D^Yy_CUY=hMs?oHNf-7jq^)oqJL%L+Tfs zCXO9FBk_~6Llusbd~)}!gvbvbB1i2%@bx`^jb*D0w#)gy&ElP%Q?5@Y{zi$R@-l8h za+kxK+oN34xn1dTUKDTcfVxXZGCj|bbZ-uooZ1D)-cvZUK!!z;5Ev=beFV}I9e&>) zMVQ*7!J6rjUL1`11EdT~17jpB=U1kRy7qss5Y3&ngD zTfvc$Y98v!?*rLA%QPf(Q9i#*A8NQm_E0xInmly)n?2N!%Z^%kg#4K+e~5?3R(R+S zHI`@}YOL=MH9uY&e&n6^96%h3e4+#%>LKr}#W0AsmYfACFQkEA9kx1v7AkjSq-R6> zF2cIQ0N<^5Cm@U1KfSxkyV*3}jckW^0?jGxsDW)o`3p-uLNuXTY*@(2ZTfZu7*PJcfPxxpOvqwU-3W% z_*+hrTQ9$G_1t^qeB{*1DV>D~FO>+oTL~){qO2d@ZuUpJS8PnKEZBExxipX3?up)_ z?mo`_l;=@?%3E~rwSHIV@4x8_UwP@hQT$Rkkr%%VT!fU=3h5(8-tgiTP7x?b(Gk{0 zvPY|h;$y)KKz}wvO69Hfev{JVGeWeS7#=XQuvt-bhVL5gx#YUQ@X+CS7U#%!t(3b^ zblY8ru0j;H38E91X<^i~0LNjdk@2MB8Wq9SNp`(k@1#JN1vT622Z^O5aY%2gZ7`~u ze5^W1*sB)Qsx`%~*_s%!iY8<+d`n^8$=AGU@+kuoL5D4FVMtDlA*`ULF1=;K=$NRp zbO~>R_%ynXdsx}OYMh@W?U}G{9;{@+9z7B4k#o151-^UDJt;`lWV3~%AURn`o&w?$ zP69DARSHbAtC0ec?POIO4r3r4ezUOiL66~Z+d1u{N1M(t1 zl|ITSrQzzPybDph`)fd6rhy`9UBC9Fmm*D4xeonl8`={tlLtzQ54O~Q`;`y zxA}K}HSmJxv@P`#wCxcyrL>GcrftzN**5LqHASK794q4)GPR@yeG z<-2W-S=%Ddp`7U$Bire3sI=``YHLu->#eZQRGJYc+V2k_iW_6f2Ms%|Q6-t142GK; zv$jpd@;yzB*%l5rHRjth(A0S6dRaBOvoJ9F^)S_xbw7QXetBurp)c+K_G$h28>NQf zh%=wgWnBkitCDV(7uR(&n=tND`<{&>BplK(2w_MHgHliuAxW*b`kk+yufOd1;MFW8V^Uxg@6UG8xWJgtbjIaLG|SOyi`s z)2l2gJQ5p5iSofMo|#*R_Ja>eM9kKz@wE+YunTh-pH#q%uWjUZyc?V<=h%Rrz-WdX z-aO>+&d~l-Lmzt|!s=BPb13xrj(_btKR;24Dn8Mbp2LVIPg6M_@INZO-HLSk)DwXs zrqc5jPZ+zRg3uQ%aAiR%Jr@izJ2o0a@GP0#mh_m&x9p&zT1+&Rp05ZH9^*I!Du*u8 znM$t+BJvTvL%_4EBZ(&8yUq6` za@!M4aYBNRb4er&71X#x`@8CUmmF2Os_DG`7iw4j?v=j@#B-s#orUhIqla~0odRGf z|J_aT&;8W)-hao%r)kT#ZaWiCKl>G5_2<6tGe%%N7$e0zwt!VT zAW%td7#L;GJih>@4@UrDYk-SnHXOCG;^-Hwc-S39O-F&hhV`HjNVFy)Gjvkx6(#ZH zyT+U?feheU0D)c$O8jbq0PB$Mlptu%c&P;?@nnK%cqPRH1y{nl9LG4Sf{IV4`k_XL z0$pRQm;P-JcSCq_^2z-||F`*CHhr~{3GZS;iH0_x@W?kJJ!Ra{xE^^4?oVz%w1R44~RoU1$Uv-N8Z&7$p_bAW(r%WIK|T zfcI9=Z~#h%vDAVVj^E>gRb?tM2_^Tbb2iH+if%ymU^pW1YyhC(Tfnl*CUHQB7QiF8 zJ~E_H;1|9(WO;&?h&Di{MX6MpQRuw91Q%XJ2^nnOB~9 zF)ntwh;g;)YE8K-{c>f&{!H_XR^j7Zvj22r|N7^=?Mq*X`l${!@!mumbAnDfj3^YR zb7YhuCRmf01>WfqTxjA3*c$L_=*Ierdo(SJV~ii5! zE(pR?KuWl4bVIi*(v5Y&!xj*FIyG=WtcfvpO`YX}K&!BS4BpQYo^P{j>Kqq@%0E9v zQIZHQIXFg9oHUobiJ^z$)`CV=-P`N|)o%2-_oFLV>C!fGJ`_=V@~3u2Pv$PS{~O** zeDd%7-}ojz>3P%>nB3p7e^F4LCotIz5;Cn-pk3|v3p|W$hus!OK5a_4S*$CZcl2Uk zo;I}yIh1*CUX#W#LptuNAXZuEPt}^`v|H3BKkn)lalZyG)sN7m%v@K-B(ZV8v$p5xIS2=-X zv@2})LQzhvTCAADZ;VZ$)uWw6Csmu0$_XT6jqguMRS6_t@dz)W-E~eN?ci`|N(xhM z+OrmK-!e^mK%7jFGZ34!LrRYzEW?l;ULM7r&x?5#wGS#K0GP^tmn%3Wq6@R%J8{KHl62 z7dPDgZDnW|)gEVhwil6Z#S7S; zQPm!H0@0q7#V|Fn!w6Ehhar(Cdxy3Vz(T%C6)gaI{lPcPw;#`Tdz{kdV_IW@hD&k? z;*8*U*YDu;w*bj7FFP?;ryd`h&B|KaWNf1Ee)DqaIxFw*LXq~s@X91ch^L*izpl`1B zN)!R)m*=!zE29&#g5Anx4k4_@i6w!-Xa3mOQfE?J42DYQ(wniqtbh? z4PxSHy93UD8`oRce6`YdaWLln&$+uL#)mz%U83%_CL?jG8QwzfE?UC1u}q_z>#{7m z+h0PqDixD$!JOA~R^w8Z>Y+83uJ4;AKc04rm>7FCZjmPQr1M)p=GuBL?_+O1eAdUK|6B3B4$C#PFKCK{s(8E?0cZlS^08@Qixdd*1-Wy82@qeg zurndS*XeGcQFg)8W<$b1?iy=0D>AR=g1aNKF0|g@65MN#x_yOW9`kxdaKl4GmWE^Q z+ZAb)T`W1%Hi@ zubp^T`Ho9|%J*JIOoExw27vmS7i3<>T?};}HkOsyl>WuY&6NC#!+f(d5@OT9z3S-{ zm{bLl(nGNEXs_-)UK8<@G^LXjYsEPpKsn9->x{Ru!&{SStHMHmVOrhtjcTs_U z#D)};>%XDta9uF6JVmo*WT^G#&;RKE@I#^16*uf!-B859B(bgmMMy}k78&d-C=TYe zUGRuk7XgAcTeSTNR2weHL2}ZHh7K}e$UE0;0U8YI7ww@?WgWYwR`(S#w;p63P%mJ% zx0HGaQmeZl6sqRlPe%~TOQt#mdGlTHv{@^6F9Il=#uI{kEL;$ZmuJoP4KlRVog<>v zT@no=N}|Sg2i2>wWZi7BT0?+qqIaD^S-wDZvjv6)Nl*sjed}*aYv#Ly`87Oqthqm88&;TR`)a7FTq>QkPy`SDAZ-iQC+RNt{W1HqSG%uHSUF?+k$lH z-tMF^&i%R`gvX3*z4=*oDN0N)H=;y=!RogJYF3*Q^e&MW{uYer-3}OJ!t$cl?Ijyo zzE7=x@tgLa_j@9PrVF)dJsR0CyMvx%Ze+`f11-6r7oq+?aIRJ@Sm_N^*Tfg)pc2K& zg)Yi!14V1)Fh8vh9gC9H`X20<&{@zg$hox|vr_BIGeSY9IEh`SJCCyeivBVX$S3q7 zHz$+T`U#$`DnZG)Wz`0EnHeGl|ttcs2nPgl8(8 zo|k*3_6(2cn2rjYIXLca-1oFw)S7`A&vr8$9N+!bKljW3RYXWih~lQy=ur?Mk>(kJ z4-()VIzxF;jf1a@`#z!fOZ|FV!;s{@VvPI7&`ULZAxKl|g2wkv60p5s#e%Ev$#)B$ z&327U8mv6rf6N<`I!_i9Jewb*%+IDEkm4G}#jdFneb<1`D?A8iErjTKMG2gJ*Pv>b zXa+sxHp(kj6jNH3+%5t2k+|n1beKT)5wNg#rl(2x?}JB%YA=g>f@72_ocCP9~k#-A99Ca{N9{~fkN6GBHet5 zbh*=D5AdZHE+VZWzV>;`1efqC_7j~&`2u3kz#OTmCqMI1#j1*mGXX^T_-0~avOhUEGa$+x z%}EGyw9tE{z?ZDh378CUo}4K!%+H|~LsN;hKfTIB^@W>6aRk=>Z42*YdUCagc#$`IXTCOZgP$th^?C@d>t{UY?@v-6_O?z>GN6s$}Hrp4c57nHXZ+UP# z0OojTv1ER6xOoFF4wlI;4mZ<_gTtv_9B!X`afXI#2pMLC`8xX|r74hVgdZ7c3QQua z`qMESgMma0cew4*W$1^`c?tIWSEIK&(=7PHMJIAK7AG0Y?V9s@6` z;VOzHPA5#|7{3RLK;G2HtK@}h76Bh_raD8WnZc^5x;cJ;)DyF9hI^xtToeE*@%9WC67gsZ*o_!KWX^x*!&sTXQ z%6YooJ4ZeLe?RrhKk*H37-^hD0meKrlyDi6yhhfU-M1;Erde}}&qF6~kMpWwIt-(qG+C?8M zAETH97X%9eR)pYgFBG>~P-7QA8xXhRv?k1eXI&2a-TdX;I6Nh;)-BcCj@9 zwf32_8%#H;4>LCaGHXQm8{5#3m1`yQ?ZKrvY8x67hV6Y+*W30ErYbw571$r!HN^(G z zR`+xQ5xb(U*Ft!dQN2`4E(q=QY5~3n;n`GGevEr?JR(a8e`Mnv!}b*{J^c|EMBA5E zln$G<@i2!V{Sg;LZVTp}D=s(aJEz_YLGedi5`4~Dog+iOW`>hw27C{Lv+0lcngihM ziPpPL^qidnT$^xYAl-1!tpKJKNu+Sw z1_|+E{5X-}g-Z%Qa*w8qc1=m5x@4&ofFf3W=zKjTiRzL7qiGrwYwkOH&-aKe+Y&zP zH|RF0&S=_ufJ|X0;pr(%Lu*0AIDGj4`Iq({;7flG`0+}T_wmS;*C#)z&DXMN%ibvy z>)9FsXRu+wTll5wCuYB}Nqlw20K<{2Cfq5RW^yF?w1p$s$&?~pb*Gt9aeU*1nJK-5 z$yBoN>2#3j%}tUU$$X~kCq$$vQ`{K?j7(t_IY6M!G;%w3*vXWh?l@D5Of#U7C6HmJ zw8}K=fZn+OvK`0Y5W6CdsFIHog?LSaCL@@*R;F|E>a2(tJ5>&&n@7!Z^z`2P>;IqQ7f0qv`P01L-q_Th zz;q1c3Rs0h`?@c=YFihySw9d&nn3}!AxMMhf@P#U_$rOHCj@B^UGRkJvQ&@z zI52r%To6rY%I$?V3#rv*`b|C_MNkPnnbU!llUzycn#Rxt59<>~2QmQyqH-GTydt$z z5d_J+7StbRrb3CV=D=C?$vgT^3_7%fUj4H{# za(~4ofm9=H0@qY9R6Zdo`nn_#ZS0eTBp})>C{~jB{#e@&yxf%{210VwzS87{ZSz8+ zI(%!hqxNq_k5mk5p++J-SYtGGnLZG-TGIaPP`1Kh%;8iTo!i(1(biBBrr&-3Z8 ztN)7u9W%t~1|d#w6?_1!04F_fP_bh2ENl8oxela@?5zm>I;V?dj-gwZdS?8TT*Qsf zDx7wUTG-fKW%Rh;*E8?uTfX?azvtJYP*iDY^Qpg6|D8VuWF;cw_ z*_3hH96Ml?m%vdY3;;$+2yya?DX7NxCqmF@q<}GlIm?1{B7DUivO8j*513g<-yjR7 zkeW=RKm{^T21$2&j47g~`6lltBWggAn-x<)%~}*7!W2X{s11{=bkPa*7?VVR&@3rV zgrCk45w-2y0d@8jU4xu1!itEa<$TuQMXyghCq0lmKLTn_$!!}@W6hNGVBN@)37b=M zF(cvT#MX}4&01eD%y$}PI2ZuAd7>mBcWdt?(7*y@ge!CX_r zR1dVCj(`i6hAB+O6pVR*Rn-wFf`BRrO87V(gTL%FBT1G=(Q!{i!_*iCzcfq%lcSkv zJ1Ur1Is$%-sbOkkOc5bSN1zCnhN&$qy_n(BU6Kp#S!o|Q^V9+P#+oc ztT+~1Gc`4O4recM|Ftn82DeQcqH zykwD?TR^Z-lEzbW3%1_uQarvc#k5;AyA-$MQvA-p_|AXhJ0iEB4e{<$SbIDfalz-r zR+&*c6YEhTorxykZ4H=f+ALo&sG`s@qj=5`q{(u@;7dZZt35&?NSC4ru3#}4IPYLC z)vkviHIED0u7%D-%z99Ys7p})rxe5dCloYJ(BS&i{GoUxke79k$sy7&_La> zq}{pQWo_>?D*1r$C$4UK0+=i<|Hs{T=~6OkROnHncB*VB$*w6DIP4mX(F%0+rPzuD z&fU_T0A-p{pQJmf+H$lbE)H0>!w>`YTFrSSO!gAgtE8b~IlVky9lnoEU>7bo)%HBk zruy+U)zfa#Y^ra^RDa8fpP&O|oLkUA zg(W~!tM;5A&(9D%uUOTpk*pVV+hGOlW+Rxf1Yo9QFR_g27T%7$V#X5eP=J7GqoCUK zESRwbyDetdiy+ebZ9%=l5`dotyJmL?e$pIcgC*F5p#}Z~hLs8LOx|~cCD^lxT59yt zavYMQ23ResCEqd7*UYqr??F#78AVHx8S_muyOZ{kz_z8qP6EuVDv zbv@oA=AL#9*rBTX0<>Wj=u120!;W4jcW&1}N6oZbG#lRAF}(Ya z9NzeWv38Bg^>>WNBa^4p-#caXT;MIEu~8GY5HQNix&98c8QUneP_LnH2v+rXpaI3E zVN$2DiiKdVzZY849eCn3rVPQV{tn(_McyCQ7^W>rI?nNWYKefK%F}*Euz@lpqx8QV zY1cRc?N4*MM7L|RWL1CPgW*G}>W~yp)C?!6>hGs5@(5fLmtGK-m1IUo08WoKSU3pa zY3||#^6lAS9HUAC_0V2*iHZE&jSW_?2Y@`*7fF~~ToQ=LlO89E7@alq9V+cFfIJE) zvO1%Di}tG(IhnBc6J|NKd#~=%61+bm30l_nICIkhnuP)75Xp__G2;0UqvvOY&R)fa z>|rL`Gs;Z|QlyaMWSdTX-$&gj`XlOl1fD^+Bb}k!0eIAHZ>Pyg4f&>wM7_k9OG3^%3ZrAO&UH|#lf9P{RK9=v; zAJ-gC!88V@GsC(?@ZID)hMFvLz61TFY!QV20S}-}5#)TwU^hn2cMLXJn^>XN9}V^Lwer$M|NUDtAj3<3mW#9X-x?|SR4vjEPzJfvWJtrkLAHs?Dl1!G9I$LBGmPV{yb@GJ zs_c<+_@&?TEsx)ei=H<5#uhljeOxU#MVDK3d!T2l{`gw;X}4&$>bGOn|G}I8{!{;N zSamHabpQuwwu3Rkw5z2~lFD^*+Kp2D1|O3NavpFle%F8lG}=v@pOTRY!jhY-{?rk^ zYYanV+Fx)4#tLd*!C=y=7eRpj;81WZ%1QVq@`|+TE(i*Do#TgsivSMtS<|Y!AZP@% zBNBNULvF^dE5HF(WRn065)5P-{3po_I5;Zb0q_$TVW>#UBuT68dxxK;yvQIaz!;bM znoBc-OM?GPxwv+#mG~5KLe~oEi|JI;7_of$!tH9;go}v$nf#~rA$k&nC<$_+jYei?(P9~m|khjsuHVTP>1#ARLr40{j&@3___eoZqe)l+>Q(I+rRbK ze&&xwJw>_59LQkIWGMNsSbryZ=5`_-Crba!8)srly8OPPO_ITiKoTmD!M=jQmYkkZ zGwzpbg%Te2Xz^=SlrX^s;Zq=CjulsH8T*@eO&6f-dJC8%%`j*dgeE5o(gkqAJ&F#3 zPe2hxn2fR@T>uw^5$2drWB@pnxj9C00g5CT(Gi40a!m?{`ub=agPo3bhEftUbSlYF zbFBuIWIpz(fEh?(?td$v&|>I+TL)#2TkoET*w-(%8@%OVy?rh3F$7N)z73n67w%cS z<35~|saH%~lpcrszs#9PQd%!9IHJJLf?4EP)>465#Kc}ZMr3KGY^Ds#=J<*E;7@sWph0H+p2w=_hNH0+DBlDB2 zDGXKBai(W_QOHODG_tXPY47QwUH~=BN0t34s8uz4mjaaiaDmYQz#=iT1o&(FE-MPd zt`b=O*`&)<87^qG=Cp@e7^>s}18u!M-uRn6%J^IP8hni9IBMA!mx}JDYWn~0d1^aZQOcsy=>E+3N|m1riA7rNv0 zu%&j*^fberTcLSK9#TNETgmh|FZLkdM|za$Kftb9$@Dy9auKGS@p@u{y|qRAagMr4 z#aotVr16c)Q+nPjvY!ReX5=Xy@QM5g{3*r3fUwq|#CY1`lYi&`#y5SRD21nV$U_p& z`7qdU07jZ~TCPvj!77)a1IOX>6&6ytLDLR}N;6sb+xD=eZkP5Cu1y?YLgx&ldm4;% z3-(cuDKFPJ4C+F~{)z6X+LA|xBy~J2q0-Ddyk!py$BXEsz_Bn1Xj@UQ!ey#GByPw3 z7Y8&pwfD~?glt1b0OGun1CYdEwWcIpY)u1|!1C``hO~|=q)8XwJ6?CS{NUwQ7_&=` zT0eD+GKqEW-%*34?wNkx6mvvlqJMM$taxX-sI~9d#`!UQDqurbY$K1~bAYLQb+xzA zdv5Xk-#&X6e>2NB15iMAcY12OM6u|x1fbY+dujElQr7)soh*kqmCVTUZRLbi$e;FS z{^KwBzRxH_FEkEsv;GK?4ha1u``O8;i{aO_9*oeDZ!C=0;V9+moPHF2YJ$M~)2)Ue zexZGio&u<|{@@&>hK4Cd=VY5wOgYjgP*Nu^A_Or&s!gb;6e)sD_H9rQVE#5iEBe%q z5mf<8(XXJ@p-lc0C>uO)7awzWm19Pu^ z+eEbOBLz}zs=f{N5U4^=ryq>u);q~` ziKO!HWDpc*fXd^08=@70HpiLO1qT ze%n`n+kX*mtR_j@;t3j46&QkE*G?`srJSEQf>BHHtE;8Wxbn1Xjb9xs$E1WaoYH}E z4E2|-kMJ2NQaZ*cp1|j>bJ!`}O!5t4Y>^rUnzO}wQfK*!Xx!BDJz%WX!5Jr$lFeua z9w_is#goAbk2q<*bEK~gl?wQdhVZfTToB+mF|wH4h`EJR`y5wM)N{|Ufa;Qut zMR%7(!@o|JCZfD^oJkWcFb%*M`M@F27PK<%cDVE<XAAG8%4 zZigF8x49~SpbAkHz!c??+~~RhMmIb|Oc)ki;)c^%&h6z43oe1!h@&2-(;{wkG+eqI zoq7&XD-|XP1)!>tO|d9({<58RD9Dw#{+#_*6~F*(sS8MT7_&WcLRDH%WsR`hE5=G)%7qqM1md-t)0hqF|yu!-7P z*~C&iu%eXK{QFpJx6XeU+}Z6$Mw3@(GPT&j5>L6S8WZrc96ifze0$I)Pb@Ij zv;oW2nvzcRi-e@KWX@0MGE%>uNb#F6R&?=SM64k-& zt0`dtyRA}bTB#2mEZd=j;o{YtFhH?XHb{KH1k;r5^m}QX3L8MwOJ#$^-^;(RZ4f~$ zQ0w7C02EW%@DUJ;^XVg%HsdQ}0SyzRp)sOZV2a<0Q)md#$)AVSwo}tcg$nextW~dp z7y~0S>lH<@*oxRSM{C3917@NwcRl%TQT!%&ggQZqhJu)w*{mpvzpOY=yA#FlCv(1b zH>#=ht+zsdQCs|=Z~gfqe`pT*l$BEa{3aAryK=MRW|PvXvgSU9|BH&qa)G3Bc`1=a zSyjj+HEW?%kP=yCPZkUll@jUjdGhf|Z!PFJ^VDYYYFA36Lt#-XkydMx5~FftMN1?l z)YTd_A1P)~3~F6bGwJwiM^>+nE1~ILeV+C6uJyiq z-?R6*-@Vs&>5zyobhi;qx(Xq_un&P?mw&iGd|@AQ zKVTfUG3;$peI|=~4dbQCH5rL-ZiXz%p6YW=`_u?x+VZVgV(REizI^e4_l8QMI^s5Rgm@I*{9z8md$m z1UQ*d4$G#{f1=c4#j41O5|Q-?A;Qx9R+1zVt*j~DBukg8P1B_Vd|86_BRG}96N{W1 zWU#1G)0(-+xj*b+8hD=Fs&_$Ek#oO2uC(y9UiTP}-&JDg~_aS83RdH$VI<|jjSlXk)(lqFcFigmd z&kw`w`MoJ!J#G0RUH#{N!duwKi{keWhuAB3V-`nuwGgIodI=`S$OGP69Q;~G* zQgR)If$l0)z zJ7*ifZ-9lU$N*w!SIpUleHsQL&UQ`>GdXo9}98jtd#f%I_f5=9@ zKl}AcNj^aY*3XLM8)QsSI8XerSIBj!f(!>*w^yd(`#!XOKdC)2>u`S7T^%iWnx;r) zhq*K5I~G>$LnJ;zzYl#H*ZFfn^%@JR_gONGoiJoJIRll3`f@1oQ)KzGn{1+sTh zY8hzkYz9doNtSCF7_7!=pg1-_+V_x?1y*Y|zHhsD zAV%3OZZX`m@x2-Uh(`aT8A$Ml|J&~V2S$zW@xZYUjM&-jR@(AGZN z!ke9@a<(~u%J@2v08=@Q@h4WSjPI7*2efO)H70}B=a`M}5tJB`quhh=t~G$!_~H}+ zh7cB?;67R_<>&5WG* z>i_viK6xEQQhi@e#MKB|E1RHz7B@&}^)Vj+V8S-noa5>k;ceVKIb6=BJ)8v;4O>H; zO}iBgkc>w&etYQJw0Ac0db2%g+7Z$#?-jf9wWes-*K6dbG9!I-gnxq`xN} zP^US>0cATvUY00xPc9SAXZ15l>p&bI4fJOXmlWJnoR0oHjv+zGGri${`msq+(^xzDC4!oE!%#JlGd5R?=59p}igO~}@O zzTxlcE5h5?ir(lTUPMqD#ccZ%d#OBqMGWj?xP$B^^bQq#J~Wl53m#WT1O+@t4A)^C zkp&qSxgaL?Qq!?RsS|SdEXcU12%^}B6)6av*sLgVkxTBu#4RaS@V!ZSGA}90(&>#SaChXbD3Z&i(x{*) zY(r&|B#oO(9`GYc8yC*gMmZ(P>ISWNP&rRre0!eN9a?W;qVlT-y$dhC9J^n z1dEs@uf=evy=ag#s^Fa|!g(SZ1+GFv5OQ5}xSpze4ME9uui?t78xsH9oQiDf{!<4I zw<=y$c2@C%hDs{F=`p2FKL>-Y)A*83KexwJkbh}^=;_L)t@+rb_AuEFBLJQU*r2pO zY+BVGri^Xrf~f$~{&bPjru;l^Q|`k;fyvHqqzk-XGa5w~F0ID(FV6TNe^U@nMQk-X=~rhwj(xZm6oM+@eHCwu3p zms}90kUbIT_F96zUu>d%g_w~RtO%};tRhkn>4HX(n#u(&^rzt3nv7#bsw5XY**ZsU zRRl#%@z6t^bM(xMDip+$ z6%~pwbf*1fI}9g+WI17Z8j6X_w>E|O$Updszx>m$i20SuzTx>uHC=R}nlS zKc#~GvEcGM8+XvjvEbB)WyMp|zNrsg5d25rqF|a4^&cwE%)um{oYNL5S2MCDm|?8h zLt6tHR(M z)OF^n&i^ubD)}D$Q5UDDd`oj+kvui!J3YuFQ@+!aJTkjGJ<1q;0-eSvmgHWVIQE;5 zD@xBYnT{#RN3@+`1IhF-FYpXA$&0vYwU=6F_2{Li8C;z>ET?=QK*QM116Pf0ztRzH z`;rcZq;M6+n$sUrF!)-15Y)bIk*kLk684Zn!n)4Y`kqM(oFBeNc>t%Z(|btg>6Kxa zIiw_0mLcam!gf56#ZAkByEq5fI-(>6=sJ%v0_@%l5~P7E&NB!=Ygaquh@9lbnY@=| z%2h-UfqH*##|gJ=#UNAO;`_*ejLip^nJeKK-Q@Jj`|zT+FqUQL35brNnS7H(%bW*{ z&QTj?*=*u>D9H*PJMNp6&r&FM3qmLWRCJ7rPA`JqnskZW+=k#QX5esLIXKU@##lX2b+RFRzWED1J7y zuQpoE1dVPNhu%BXGgg%6+XMkug&zcm2pukhaLqmQVncjI*becW5W~s{3o9@A_vs4e3z7n@9YUH~@Eeh;KoK*Qq*!@B&mAY;@n_$Fc&A-6 z-=H0isbn#@B6_UVn)wdlHDvXkw-R#zTFHEiEK`nz1Q>}mrJjDJ>K-A_AP6LIP&Wfg zN3Fd2l4D~!RVrKda6XH#32tFE`u;B*+iCyeRKi14GhvA1lOFJviHBXsw0{vgHgPU_ zd{5(U=IPbhoWeq7D}zmziq7tnwdX&K!Hnm5J4bF&o4%O)WUr@B_V@gqPx1CKG{3V!f}!_gZ9))FL23EaAwe)y5LF53|dncJk6Mq@w}%QGnm&XYl6E8 z2sa?11T)FUUAmg+J+aE&MjKpSC zTn^psK!A(f_b9F$OyPQt>(0{YaWORFWkrnfv7!rx8a0Qe5qH5IC|MD@3$9$UI{6%> zj^mPh3g%p?lKHd8fOryYrumk(T#m&#atIGdIfF% z#u*fX)GIECG99Oib4A7|)B+($z2bt#ZA{PvSOX!L@x#F+I(-jb}=0QtP|qZp$nwA{b0YkuKK!qf5@p zC3T`LegU^!&Cc>%OEa*FjI00=}6+#2zGzo|2N3}|5*-WY!s68LN zVP6-zgeh^w6q!p^wkK2lFA;j_F<8J#CZzN6|W5_Z61QJ+7y%{rf}15_eSXnowyaC;wwTwW=ed-7 z6q3_kG#~@>izZOQc+s#~5QP4sJtCG+ULCBFUo>u}7Y(?@>P6%B83Fv2UbIsvL!pmO zpfTxvPM|X#%bq}Qn+5Dh>_C)W)pyr})$!2_kAkQ;q=^cAe}lSw)prMh8YRc=wEv!{ zytMz8sJnc^e9e=80~lSkKhC#;1$O75^rW*qPNxO!5&2eiBVf*bbvoOvK;z>2kBe>9 zdJlnihkX9qZn4diS%IG0RwzXX)cbQgo$z6?%_zm~Zn3TW!!4Cx`u$`(?T_=#_kRnN zV%5H}rS;GI*B+&S7-KnoyuAE#Uw^H#iEnrAVD9em+uh3i&E35x9^Vn-;R@+Kwu&&k zQEs|0H(5mu(BV#Qiyvq*EbwlE4p-m%>OcGbh<`O1;awOdN!kga2U6(p!&)L0zN0Q! zn6mJU3SV^2`tsonK~M7|Y^7_L1^L#x;9<999+}YfPGEXjkdLPe!to8v#eM$?LwPbUrd#~k8CqIt?TUlG_wSwq=q6& z=3w0 zy5eTrdI=3d0agMdhN*)gx`nDh#6qygy6_?dBD;3hR~|l5ep9xt6&*S+G)Jz;v^c3q8Bn zfytF5AH<>-ad5;RBH1gv)&a(4u zzj~R-87FjmIH75`s5LP&*!OzCz7OB`J)iip;J?rYR5#P!&NvtTavi> z93_L`hu(saibfT&ORsrdLSHaFq&9jeB+*ss6xfX? zz*>Xrh8Tu-roR|#D`JwR2}IJSyr!N<5rPYZ`SMgULnG|G{&bVEA4cBmg;mm}MwvwS zCfF%#_nV4YrY+wZ6viz7+OI$V_5WwYELz6&@}LzpA45Al`s0WuK&yRSsjr#L;oGB- z#;PB>BdNM_?VrE0ubBH3tUPF6p>RTF=z_;BOB+bLa25xZLSB)|&;^m6A%2dd#86>r z1gQ**AT*l_u8vT4^@>!6F1X*Ukm%u|Nru!2QW?4+rk=1%0a>X+SY%(Z3RHJKlzxUC zPNF`xfF^xSj9aDJon{D9!qV z>dKAfgvK&Hsz1H&(JKv9#inzg84OwU%c15*UC@yjp}jAD`0FjErk zETL>$&OMyT(SEwy<$@RW#f1ga`gj{Av z>I6#eq~S5*s);6=Z~--xg?^~v$g*!8H~`elIq^^d286lBKRHi900Y7Z6P7d04Ci2s zpD(r2@`ah|OXDK=Ui6dPHwLQ+{XQ1(F#M&tuUfg$Ky-l?8D@d`+%FAj7SFwMVz?yc zKImf#?n_O*C&~0cFVIB9Y(9<-`m^@QSDWZ-c1Qr|dBSM7=b6HKoF|)kbWQ8(Nk>m{ z{lXA9c&rXOnKm9P{7UJeUhKC=wiF?ax!zgBO022nB0Eov__gvS=rh`D*TRrX?m@4j z5A|BS-Z=WShZ^t`FE^Hl8vkZFb)ZkmCx57gS*tuk`MGMIDfJxt4>b`l&dV|MP!sZU zgAes7w2PF@l0PST;^cs8yc+%_@JQ>7HlYJop-lv^l&g4r$iidepaP(liUZ2Q36%po zGN@o9aGbQipjXlfL!d%i$aWkb=o$>biTwenz`L3Em)ATl7&}zw-+*@jwjtV-)r-aE z-fNUHD=#PSZCbA7!~%M#s>0qhCzil*(g_QCC7m$vI@Rwd+wuDWT~ncrfItD0Ro-9f zvT?yeW)=H`bgcS0>uiZao!p;idIV4)PoCVzw?O*%<`a)Aut)U*urR;@xiH`@3Jdbo z%6$b9m6ZYVN!8;j@lu$xN;^g*U+yN_Hm0=JdaM5dSxy+(3ZNOW&IJ+Eddr1qhen* zC5)2FM?Z&vit>uNgu&{Hn5k~Z%0r#=&n1jV; zUl@+bwnmTek;vD>A@+Rz+&NjrDySY*v4U)euV0rQG>PRN_{Uo3up~_0a33M!2_m1(@`cOT{B7{NWJ2M=&IilEf7sq;t zu>F(gJckrqvuHUn*QQ0qHiEiz4M=xgW{J+E+u(4{fb^FvAbqYj-d?rQw9H5lHGAkc z< zyx0mgoK})qWtRjYKRE~_Dc3YSUoqk+7Enwcr~%aU)wWsxt=9vgy9^;S8*?g~Ds5KU zHgYqw!-n)=;C7j8t>b9Cnnd8@Cfonc%@Nnz9AThLdpZFd0!GQp3@9Wto9v|6U7^v; zmLD-1y-td%G%<_`rJ?b6Yh?M>CK0sxXTJaYUitDdeG2}Or9>v=bfMRu6-L&lX@Vok z3hNYp)~6?_T5|Ou$_xXFH|tXjchYL}jtyeXIc9xoyp*J7SfhebUrg4gJ5bpaHOYEJ zzUr(`%P6WH5Et#wQ&kVp1ZOlc2w@bo=?`9`PtlSF)I7E13RBLigO6%SRZK?pKy{+( z=t?n+u4VeMti^(MXsr08(nC>46| zrJGMr)B$>)t$TS=%Vl+l6o?HCf^urzr<+xW-Lg}fUeri?rO&JmyUhyUi#yg??%8i{ zQ%nW>tw3*J1cYPYGIe-T6Ibf+geo3Ya#RDN6pB)E=uQFdC>BlC%$PH%QfK4HdGP#S zsHymrzvt)pX6O?olKVGjYB>4l4pIl)SrzuocYo#IPSgPkx$|7J0q_VNH16{KQb;`m zN7vwS!8Wa+Sd7`!gl~ZOsKR_jFyxV$OOTGe4no1(C{zc|GeE8sjLdMXNRO_ps9pI; zAp;bsDdZ5O3Ufgl;)WHmbPI@-B845Aqe3N|1#IMGPa-nWO0|V7w`H&6&|1o{C85{J z!2mcUfVnNix5DG@R#;3V!9J)t_OzzpuF7e41R`V2RXebBR4s}gD-cJ=?>415Rd%N# zCyj2JqQvyrx8nVIG~E83qvPw1j;~A|V2}1lMA{2@=S24HC9Mp1=xo+FJElAwJ6>4J>L%#lX4)qc7 z`Xv1Eu&AiFmWvAW&Qwx@%(sZq;L}zPyw!tQCKGv=IQhhs;`1=&35v-8ysL2=b} zFeDn`j@nue|I(;&Lr`3G69m-<+SZgG)KaA(D6YB*!e3STV6bgq-cWsLan=3M7>bM) zfg0Z&M62X;6j$9>Ty3>u{UR(KFP8jBD9oWxJVwfU3^?|4xBNAB z%io8dzfvX3UYXgk51{xw0C>5Okw+I#0}o%9Dfo5v*s1uhXczAd-?BG3p#! z*pmN>$iTQEJ#nk(e&sx>E> zYB6tW2S*ZbNiuVG>b@vOG={RZ!_C*SIYp+}XcxAB@Gj-Ojp2*ayV&QG8MoDK5F$ZE z4noAuOhG_PPmHMJ9I!ds$-#t^qK_|fsc40JGy!DL^C_9Ytz z*aC3xJ2**-OGWcpMV6kF|*hijn3E;dd~7E*<@Mf&;ja(`nd z-Rk*Y-QD{#Y-@D(Y_n)NF<+H`hh%j9;l2#_gZ(ecS#w{;UFe*)M>uSxL^&Q%%$5`( z@JG6HdfF|TE$Piz()az?H+;^6hh-c%gR|N zc}=Qv*33fk<_B+n>g`X7!4$hBhNv)K^(|@7qN(M2q)f6N6A%&ma5_7jP3dLkG)ycd z`enGe$1$Q(Mzz=0Z2T zKKP~|fB&n)(khCl#dnq|%!dKkrj#WezgYNIm&+cNr4)@_@Dv(TvUw&5E>mV?1&t~B zIoW?a=~gd8yqGrm3|R*TiqUmsVBodwP$gP5uGA) zsiE~?)&I^x>uavn(26O&%5=MF0KXZ7IbBa*JKfi`TQr-}>9^>dQtkJA$q)aPL?)on z1`k>d=r<(n7*G3zJO-L5V4K zbkQ2!1tQoT(GgG|y24*|JFSUn$}H8bHd=bN z!?FBZ-JRnGWZArOV79dF*Cj^{xdy9wb~?i55+1uH537CyR$NcvR+tCej$7*)Zj2M4 z%>zrWb70ABL$Icj3D|2flIw1563GO%ulSq~|C3QNf#XKUEP&=$u%bD)S0w@W_&>=O z0{pJ;wnd(Jx2aG2X~i{_7L{5E<|H8IX@gpydIjM3w)LO-)K`qOqA(xSaC(L&E*Nyl z2OmZoho(MtK`^%QVxatXZbd?n`qTw?I7LK7BxhO5IPH8fqECyYOy;KKYKu1G`m!r> zmf%4K;8*QP99;BiS+fu!WAc^Sha_3uokD~R#BWbB-=6(;!(n{X`y0djrZubkv)*g` zhF&>?I=kd#9j)593Aj{yTCfEIH{G_GxjFcWAg7MBbcbD}EA=qLa9I@|N^_Y z>h4X@yo|zJ2aIUmUcfeCd1~v4%MU5`SN+JV77xc1JE-MBGRf)=C`(!`lU2t_dag=y zbSv%GMMr}{3tS)QXg}NHL_dXSVC?6~W~J^FJy*KJxOpe&xhlmDw57B^?K*`&WPP0# zdv}VSt5)opct!(>xa?Z7pXBFC>3N@>3MD+m&z0}aqw;g9j%yS!)s=p6Liwsduf8Pz z?34X$LrJ$9otRYitvJf9gFHLRrR$5zyXrcFHmh`oLs@j#WIm$8m17l-~ z!vtL{oeZV$RBukujdxr1e#Zy?(p$cMO!by@KMA^8s;c~QpVZM=^`6wx*=IX!AWEN_ zlS^C5uXLXf%NOUHOkK6RnpN+94_+AY7vT-Io@G|O`{l;iw6IINVx@XdY5hv|o>cpl z>OD!zSozea6@R`vNTFz<1MR*x^EN5|>h^4b_g9~KWxakbd1X&o)vwLJ0*Q{O)8dJ$ z;>{@a(CKHWY(2;@){YD2|Ay3i{+^$QWa8f_k($a(VP{A{+wCei^yDGSm z=LtnE^TCp$PVcoi&G5)wQNQFh^LPF0-}wHYdih8P|4FTv-~E$}i22n&$%vRW^GQ9L zHSwhd08``)_8n%+{H)#?3FcMQ55BaYO_cP@C#K<#xwA+)pVg$ z18g2#b_xaFS#|0@NM)J0)->l<; zlW@0};Tk!f&pIyXVYi@3X#Cl%H;VAtoBB!q{iteg^cUO``DbJp3lDh*|%LhkhTzQZI|YB|A=}<`f1?EFw@!kf@X+`4buLsY`k~~X6p-(28Y(OOsa|eeCTX_I{-XY zaPJHOv0}EqyUhV~2v#NS+0-h+SgqMZ$vWlMs04h;^K7cxxTf_#MGcdv%yf}E6JWUZ zYIEK**z=BnJ?&8)(bOM-+Tri}z^DCS;v?2JJ;$q;*5ExM9#A>JkQq6yebw|>cZW4e zNgxQ4GbK6owBFir5k^QzW zNG0Zi$JMbD_!^kkdky1MVlLR>VugGCu<8WomJdxORs_*nEu$#F*w!1nCn1DOVrnMG`4ymy|K4l_YD2E{SX*h#jFA@l$mg-`Q8=Gp|*RSvBw?s)F+G zmoYsiUD>8#biW*klrY9)751e+7C0g(Z+jh4WR6=|l6V2Am*BDn4>aB{)Xz@QkK@Y;)EMMyw~Ktk(q>;RtMs-}6#n@hqMVCbUQN zT#GlgrZ8>!R$CJ#{<^pP$)Ep6QB%-`dYb02MG;H^Gt|my_A2C5%1UHy; zB*}^ptTYV*3qU52)i@k_uY1-sR^{ZOK^fevm^BS@7aE=M?-zYsIYO?7)2h0T4Bu((V>c~!e*w59UbHs3a5yP}w)Y_oAI&nSKiT8AG z{ef4GB2!O|K+M&N8^Avuj+)qmDaH8Ox{Q&l6NlB-0jEoWu;!veu1*}b2l7{;LeTYx zT+@zQ5*Ft9#4uXgaTg4-xYA=q37dVUN(^*4VqO%raR^F$?1E*W3u?d`hJ>J~L_aph-8dxlD0K3d6AEmA z9+gN9d;%W0p-TnQzY#U@nO~N#@^PI^5GZI*K-G zV2(v~`?XJ3-KuMw^0VsH^P8{Qn5w92`_Lc#GSv4P3OGLLX$}pF(WOB`Zy)k8Vt<}l z%0dl9S#t;M^>@JfT5rk~eDtSdw6($HH zYNTl07K1-(K~d#?Xkci?9Ymf?k~MinQROCxj(<{}krYh_WMn~6<$h?S?8Ox&^EZt{ z2tJ~glDAtG!+3LktC~VJ#3x;ANF}Lmxt&)0IURo8>G0HIB-wI(GT~rKvb;E$_2vYn zA-$mXX5N@i(vuxoen=;M)(?K=AOEGuoU3wc-OIZ%FK}gTsBSM__vEm9IW{lQxkFgh zYklR~z1(rC5l8l+4gj5WnR~g@@kbEk=%7ZhaxXz}LWm(dTYdgfT#c5n++{_rN05WZ z4LUg6H7obB&}so|NKTu|5zX%9;jkuK7K#gNURjo$q-0gU)niquG4x;Dvn)qn-Kf#u{L?l14|56w>TH8Wk7pfSMKN$vq~E)BI#Xh9O082Oz10A>C4vFj2kYG!QHQh=4#B)}A9xz6;tsUxB5f4xbM_Y9YlUJ?z6$ z!$5%mVboNHHIh%Q*=xSORvA6F8ieY$&r%_oxN(j^t~&xbKWlBz?TFt$+q&ua#%rfz zo_337TQ~g{okPd`?ceqKXC}0}v3ZSg(FoPiNd_a@x>8v^MNC9n=Yo@2=1x*{e+EIblCl3b{!BoD!8?Q;vra}Vo=$Ijwc854rIvnp(|9( z;ebA2LFDWJ1g<1UV*tAANlh90bYP@t&v94hJ0tRYA|;zeXp72&Jy^ujF^38hArqgb zL>9I5>D1V)!>&<>eXMZ;{lX7D_q+a5Xt@2JXr7Xikge5Yd(4fHlsFoCrCkRJ1pUf1 zoNWONM5-Hx`yI09c!RT`q$GUB)lQpb31qjtKv_{z5+(?g1#7Ly3m|i@mC-n~q$Erb zEy4u6qu`0wBVs-Bp(P~|hqe;xy4$tqC@BdSRKjAch%RLo7xIeKaDLSwwxrJFIc^29 z6N1zAR_K^O&a0}aMz7znyxNutL_aC*7NM#?PF zRoYAcBe1TB4i$J)?NBxKwCE9_%J)@M51)|S)WhwRK1Wj!aHMg;zq6o0S_mhbxr9c(Si5HgIXoZII3ONjY(9g|bOsKpvsP@-mWcfD!CS2o(zUAwF z?#Bapr+!82b`_#B#*SJ|3jSc$LX`p?Qn?lN=m~V=E3^wvQjgIryIm*! zGb$xNbhq9U^oOeB0c0qmLgE~=+m*=F(0RCc@Io*{cI9v@P# zjbP<=0rv6eU}S45@(WMoXYlIUqvyL5~O`=crn+cg@RfgH4w14S?p!JJsfN7N-=2VmK9$MkGn39M4 zo>2(Lve<~^T@FOZt>zR>m^2quS*1C9>}K=iiOhHa#lJVq^zI&<&Gc@g4x7FOqPpIk zpmv#VcHJ|gc6*uW3CoLCw|8u0`5~?TsxSP*-}-xBS*l;UP*1BD0xUE`wi+|*jg#ZY zb1;3_LamDq9vDBKwR&OqJ???RgVxC5dDiMNPzZx86xL_I3f$pJt8bP^#R{VUN3EV$ zOm`lyT}KlI9LoxeH-cHK@Aq5IuVd2+P|%fTg9gq7Sm?Ml9f*e2)=<9x7DkgVed>oGsI-cH|`R>G+pjFo!A5v-cU5p882SZ&=SF4)rJJG5lBY&ye*^#E+ z9MF&1M{d5BOTy)Mv=ccD(d#lPRw?_>~<;W?5ObG5M!QTvgj~ z!T*c5L8{vN2dX%sb_aGri^YfM(Mncc7EV+$7nl-+am;zmi5C9>kh>|4et>E0YJwjW zM%f2>fSG^kw*Ns)_rXW2&p*V+UhXpo@&mDcbrJ~YUEB^>##%glaM4e~@*n%@U0L$d z|KR~r^3~k{{|h%&G9~tqE#Rinxx&+kBvJ2?NDue|L#e&p=-7J`WayW#X>2K2^Vs#g zX_*IBBsKQ~NhFpOL1JI=JkvA2fCCD23(pgdOZ#TaEDT?>gMhpZ9V%<>V{zz znS6`|ddqm8Tyq27mgeaB9)VK^<8}Cop+r>wO4DAPi~7aEroA{9<;B6jC2C4}j`5|Z zz~aS$oWD5yc=ZC1cfv4w}T^f_Y_zjfKf zQrlzd#^Yi;Xt6@H!#WX8%~x*@R@HUh{OmK&NDNRW*1Y-Yw?6f*1t;6EB2-?WBKXWx zFNjlkiQMGXSrD4CdX^&;;0NCNWncH&z$d9aXnn~&+JDVOL?3XYb(13ZnTN;;t@|!w z(&LXE2+@?p%yMOA_ONzIjX&0{R^(Y=24^FEOWEnSisz&_eq@*zUs^a!V@}$zyG8wCu&o6Zkg(QQx^6?rSEue(Z5u_5%MhV{C zc_<{DZ`QH!^taGaO{xXe%VzfQf z@#Q?I=HXCC~^-yIMm?G-Ax$0h#n4fv_cAQL!~ zhAGsq;PXwd+D z#@C`Fr|8k^lF?JB5tyzp?Jqnqc_^22qWLw~nrOc5K_*kxqrEDjR|mE4kb3;g55Dhj z{J&%5G<)!y!}o!ng#V?%Zg8qdxMP$mYGoKt0h+Bzq7W3n-UI;~hs(o2YK19tP(7LW zMU1PjxJIwK2qMUDR}@d**<7|@HK*GJG^CAth(n7@?}uIiye)zog|6pAi-T=~m;k%e z6;W4I|14INsL0O|sBy_|pb#gx(~2HOtgoNs3S+AzV}kjw^j|AU2|QgAi5)ph^csm@ zT1iTX<)^tuDNxo#dXS2=lFWApc@ma2NsY5L{7+nvWT0#lmDDx6i11@>;73%qXR^vo z&ZD`f)PSnJYNUJCjC}=b|6-|yheK?%;n2n>*{zqPxDmJYg!Y}mI@b@@iGX;5dK9Fj zJ=P=Yv4@nL0Xeq^$eDJFS_?K8@~)?l_n}w+{lEUQ2$F_rGtmXb`5~~`81ABo-C)BM zJ7Y|fy940(B51Rmf@!j*%`{GBtO$&&#sIORcmcLzw_F1R%j63Z^BRDJpm+f;2vuFXLFTezWgk?({1jWqO zht>pzOLpj15J@;U3IdK}OCirT@kLi=7$nn$7l|pPNN{2fa)t_M=29s11ZYO#(Q6|YGm>1L2$PakKr zFUTZ$Pa#b<%DVfxMtQ>Wk}4bFBMi3P>A)qy_S%)1ez8l04ICNeyheXIw>7*-UztCz||2(P;EqvLp z!0oAV8wPW=k~t*8^THp9LCLbmQ>vYk9z3lWT}do>G|Gbb%{BMyM_=NxRmnXj@HXd} zZx11n_>IsKfjG1mx>fgQ2T|TgG*kD3yd1M0FmXhz)e(cywcMP}GvA^7ds>@alFYXV z2p0X7Fb`hJ_xTp767p+D!kQ_BGRKRXn;`1u#Krhy2BQ8y+sR=o6QlcJm zxQkBLJGV}udtJGo&J%6zUnoW4G>$qihG?v-I;lVuvO?dH_%rQ*Ong-sRw~ahS+boh zKwAliR<10VRDSaTYYV28^K^VEG$)e@fvPYn+i`pp!hz^a`=h#96=@()?JwK0f8U^` zs>OZ$T2Qug==ZjZ2a?;vZ;4{ZGfz{O+Q;KEjNS83z4IN5Qv1c$gLk~`t#4NXF!|2Q zz4ccwT)gFt&&7T(Jd5q8-t=7TjPI_UzReU_ocyHZ#>8~Q}a6yNo%E2@t44DF`_O6G_d9=;jsxE4_RuL?;f%Uu#f zjEK3w^9gT`zkhx{#WQqCIB|-MJ&K#uNTx@5vBww@z5DC6)iTo2)3dxl20?*{$Rvno zSUo4{VO}61Ldsu0^+zjvq&3siyx47bCb?ePn4%<^9_Iy0H*kiz=4Rb*|4q;H0zJyg z#k1>{4U^ZIPbolw8LY_rDh~_5fTx~Pd|hg+BTp$rtEZIP`6&&gq8<3!pVCw4#Muda zBqxyI$P9!b*s%ig0F7Dult6Q4bRf~56*_PP9ofPm0lwT+a8iN)B`3Op11fwnoM56O zD<>EN)d|aXIKeQYbTbx$U$0N9xH zf#$s;DbRy1;(e;J2m)113hcU}i;tQI$NAQfcJF!Z7RJg)KXV-s0*)oGH) zt`r~?SoyZQS7|H>Ne8smU8dpruU`HAKeY;I&m}qwIY_*{e5NqusBwsMWEbRWXtHm^ ztK<%oGREd+qE1M`>|!Tx7|?9zOhXqMlgU{yIm00L^6&}-vpmO89Cc)e%s3fmHKZbBjrs27bsqGt^A*gdPXYQ z*_{Jehboj25yYpwYB}Thy7@#2WK2C%YE~J^fzk*tBVxu1uyyW4vcV~mkoPnq3BkNX z_G4sb$@n;3<<&tOta1I45K%*%R&qH|a zld>%|3T&A{#;A;*-&|8M$4m``6$%uI6?3!@q@D2c6^9psIa-Ly(jF;%D3cskR2hyS z?Zm*2MleSUm|}~9l7toLEf9?thpwW96(EwDVef?OKF1$b9Vn)6AY@U7j#x6+Ho*Vs z<2O*=YD1M=+km9c-`KDmQU^7;wt;+t&)=}|f7N;BU=9@vlI=^NgszEZ&FcQ__Dai- z>oIhWU(u*$Rz2MB(h?n~Uxt#_?eQFs%AJc<)V-ji?L&)jLR+`V?)n(a!*EWBUryr|kPYBcYOx#8wK0Vb>WP0x&D}`%9yw6i*FcRq5vXZ=T|* z0jz3{VNYXK^XcK^Wb?1SRp)1UVyx~;ROgj_IxkE zUX@D}cNDIhh;8-)ZqEytc8l7mcSew24}$cyuX^(5k{6&{1U-h89Xl~#ywLF`W-im5 z(9Ss9#~x%z(mc4|VqOvKVHTvBalsDEDFWj}|DKQ%WI;xzE{F~tKrMM_#IjnDw6EZR z&UU1ghyvbOJTMq+||U@&G0!`6-dG z?fvGGIdIA2YH8#A_InvGS{* @g{UX4PUcr5tzdeI(Ov z(d-3i7toYjbWX+e6F&V9{kDJbijh8&U8x^{6`U#RoSPp;8zC&!zD&<1*Y$%PI$Htx zK~TKhU=&B4pw9W}nB^sir~1(J0&IP9->j4+2ZJ?Q{1UwY7ql6;$c0?0BzjrZJ{h(W7QN>d3;j`42UDXdP8rS}rws=w14~&V9 zk|6zrCz5kTmZIk9^aA`hGD0XyV)$e$nePvWhHJ+I8mrqMNg_L6a}T%1 zex+5v&QaZ=?a_Yt^%|U<&3WcqgnEp18LfQsN!&~6n)Ra}k1JA;;;w^=w5_rTm9 z9U4`GfK(Rh9dc=5EwzNSKe~3S1_21v2jq4nCDBs5l&r@mY^y{F{r3xBI==V`#m24S<5#@X>hG#KgN~fjxI5 zBdKnWwLca~m^lF`9JV_#@zv2qRr+}XS0)JQ!;zyv^DW1klJ0j&w13ERi4hOd)={mQ zo?x^Ua@scfvoLnmSa$u2&U}odtuP)VY-S2qY+mDIqz!~>Zu^fB+710N;>Sk@1)OLm z?6FGEr~pxWm2uCuRw$d2t!qrTn&Rv$$cq}wwcUrb)m8J2blW9gq3OQUZpEiV+0eiS_Zh9mf|}CN|NE1L^P;j z_!ga#?mFBDIY^mpKu<#Z9#v(aw-8e%T$rtfGIT=swav@F)eg*Z+}UB$>x4B*5hfniC)ao~RVB0$=d9i?_Y~ zZ5PkJ30QJEb1v*)@RsN?_@VdUg@nI?6~WG>UPuV$(oNT)Y(x)r z54>qx>!4Qi6`{`HJaa|1b~Wcn1?PewaWpzd%%N&mr0Oez%MF^+=p4)Z`TDBs(haUc z(k6%X20v6I$wqEp(?)~P;U*DtBS`>9k;FJz@#w(dkDN>+NsrDYj|d0kJon&cQ77KM z!jCQQX zTx^h^CrHV0uaSz`gVdwGMU(tV_36f$F*PYR%R;(sjvZK_H5NOsV91SGQkfg{vG>(ppYYoo=IhQ&q1Fp2n(YfKI45`nc z-f~g~x+|Z5;~6&8XOi|ymm@z<@lZf)h7`~Fz!Q7S!ys0O!E6k#)G9D-d78VtJgvrO ze9M8%2%T9s)vd_@pC zVpIUL!`lw!?>ghmhsXs1hhP~Wf~X!)A65{2!v2aR66cb9*`e>Dm&E3yRwTPlwJ{_G zV^OV1t5GCRbyU}_0;gK4#cKGP`%^vD!ZP5J*U?nn>h7d=EhpYGQ0BZ*XzH}zxp)Az z1VimydU7|=Z0$PQ{{kd9w+ftRE1IN09n@lwI9(BYP*GmMubU##W)u73wLM?4+w$is z_De%8i5N9fM5Kl&$42>a2anq#YOAR%hU1+QzFN#wp0~;b4ewjxSHrKDeNTq5mxroT z3f0$+C75=LTH`n)3a^aBEfDAgBnXBq!;I_PKI!2c!g?A^X%cwi`*5d@#^f$^uzX@^0P)fO*44 zSPhhab1~wj`o$=_Gug5aHk>)mQ40;epI1XV{d9bF0ycAmw# zLcyVbp7{oq;mkO?;1jB&=Q{+nCAk}Mo*3-T$1Nn!3O4D6sDF@1J6m5xU5hrz)ZKC$ zx_B?yv5D1zVO05Du1V;^r7YGK#iEu`RWfuhkYwA3D5W)yRI|ym={KdPNE3l#H8nv= zvvc4@PdH`Rl|?%uHJV^Njxhi}}Fr!pQvI(%?#5RJYTb9ejhx9`E>)`2+OALQA~0lVsMK{m5c{n><%5_ z8t5O95m@!pmy<+`BivV%7Vtn1v1+Fr9@wfu5~?2L5+9m1(<8kAKzOHXf&yC$8|fiP z&om@aPZD1frI6l(l^*H^ym9Nb1#y!`0%^_kRD&yf4*)KT5b(D9>A951TYv5nx(PI#>E405LR8sX%P)F;|mDsU4kcY1(+~g7Dig?j!IicN-(@|hbUQVK~gq*?o z?$h-XDq6X@3gW1C$iJTgLec@xrO^gib#}7>64_SQFU;5J{>gS+@K%sEaeT0DgZw&O zFa)aKU$$fa2FN4ju0YO!R2(~p*S;l@c0tbI+btk+0+kWuOwx6L%5))2s0=n;n41zW zmzB5GfhMCc2y!S{yB}keQKcxCw&D!5SHtTcK(KR-p*;SF|noXv8Yw?LnCa2>>Zv7eW5M zMR2>-(hu;whHmr~rz!2Mvc)$jE=ijnaEF3_8>b?FHSl#=H_J6kOqNmGF|q!EsbN*_pyE7Sp;yo&Z3J&=|9Q}}BopVbGP6R>2*>kID^q|)j2)eKbHzs?f$ zNVH|RV&1>ecP)u_x5yZOl*v|AIa}IUlJ;qc3u;>;KTUb14;)K*euQsNV!U`&B0pZ~ zy4X9Jc5d48ZFI`F`se=HkN(0>1_B9vPjI(9)vH^0#V~drJ{C~*8}w0&=VV{mTkV3! z&5BqY2rdtTXh(TQR$M}mZ?y{^7<$obLyxosOj(dO*9E)PO4;qZ<3TVxS&*8!2ttpD zABeQD`My@_gYObL2-f0QD;=YGW%w` zJ=OtaDOELqJ$raq@mg)gJ=&(l5bihR6%qHgtVsLjD+VtMQf@6Im3qttgKh=gJ}n}Z z3d;q9MP;kZXBjR`T~-7OiwY7v?aw1>&Lx9JWyAVoeKq1Vx+L;COvW&F+V;3!Dsq$^ zh6$taM@cl^wQEXDVZWs{5kqpXBQo*@RS4T4M_F^uTRzWGA3zOrpDb0q@s-u+azB)J zL+PHms%Zb>;~{csQI{KFxNiJ}$VjrJI}(&?wWEbaI)uAFPk_+-4XW?2qgpR_Iw|}Ua50^fGS~7 zgOWTRp;2-zFtU7WzZhG*>p%R9pZ`Zj2jCP+3WNgsdPh!}Z?|ndmf)uh^y~C(%5fuQ zY?Ks8i%UlMvZ_rmP3#oVkOfoL2T%UaW>Ky{H6iLE&XKyeoa2huz+^OnkTw}j-Rpu_ z4~-WTL}9VbMW^&OQ5i<{0Q%|EI8}L&nBF#o|Jk*e$>Y6LH;t#PnXq=XqpHqX_{+>l zQD3?qzH?#!dsST*`*Eey5D4TJDyJVo!|9-<)p~gJ&&e*RmP}EGD3u&lNyg#QD5IxD zp*Eo8BDX9>j}+y|c2a^kU$mB#+&GnJmE4h1NZy~h?$}?9bK2xP8p%|IfKbWjD=~X9 zMzjnwo*4~)TV67cJ7Y4z`n!C?Wem#MW_%=W5e<;_7wShirk>ciS7qD!uvzY44TkzL z?|VnMp8t>E|KV4EFec*Y`{Ic@H!H_A0uSls0BuuiR4a88{n1X(iv&>nq-D09$ZSb=(1#z|V zXrQp$8|z#dQ$$4C%7Kb{dyW#w_|+T`A((w8>@XjDG(e!q3A0w_FX!s-$=S;}3XpZ& z8v0)|muCVD!zRWjU(x@@H$$H&)wiXv4ibMYk;R&A={4F?G()7GA>mqrXA&txSlT=g zc!EDqGv+VsCCbs&c+b*KSf09b;_?*DR)XQeC;a+fc;)K?b*`^stKoKYTiYp+S3zFS zZEa`iY>iI(NgYvx`sLi#mV=D86r#xS6jcCmb52yzg9xD@QiWkR4J=1gmutpM6;)B) zNDK7Iwzm8DwSZp=Oo=eNxYu(ZTjg8Sg6ho)diPP(`dkURy-JYX@Da<~n#S9Tae3U2 z<^byd`G<>#UlZCS469tqJ5!Hdenvtpj;3@CCxpqwzP zZI4h=U`2jKpxLu4S|QYJ?vEmh?C6}Fpj<16t$ULB4&hhS?CznE-1rrZhMAYSEE*lI z>N*rdH~r!yPrr)f0M!1apPJP#hMD^1JCZ+oTxtLCOpBHi_sWI*?@;I&|3)bUp;nD5 z!Dk9W=bkpYzBwV>B$UPbNEuwd;jyXd<5HEvCY0d$30-@RM~q!B%$)s^+w(`J-J;na zxtX4}AN$kq|LT7h30=Jztv?cO#%5#lUfe)5{s`#B)E@z5n<6hlFdIDdooNrwzB@>_ zPB!7$AK7iuEJO>Au{}cbo&~c%5)8_Kf3~K+vUb}QkeOKVxI~9uV?ir_1Sw=(44ema z;+*nFfOTPE7J{p;?_~%&(LDmpig!u;P}vO|wX`%jYVP`6W%1qGEbd93GF>Jc*vTf) z&7=PflNg`;JO3Ns41J>1X;V7qbI;3SOsS2gEI@Ku5%x>v)YH3D!~SVHyk}-7EKj}f zj{k@iZ%qWVxljH65C6meU`)N0aO<#SV3FU-M!n%{Q5{f5Jw2G!Tf{ujUiEvf)Ry*x zJr<7tdM~USm#Me#``4t;uFo;6w{ZKRFI&M%y%nz?wC;7JH>!)&lTOWr@?!H%R@I`F zCH;I7py4XQ|LQIuaevtqJ9H=l}0t8I(2H6hxw+CuN zFH4mO)KD+MGiVFb{sMxF!?TyA^2XcDscfhHalTdW3_$G_7oyIcZcZ z-aLM+E8)!VteW}ipZGh!@J|BYdr8puXq4NgwLXdrTJuevIW@3zQ`(cjvwRv2VZUyZzoz9t&I ziSNb1G0myBW~yrf0Y?sEu8F)?Z_Nx^=nflF74d?O!Z(}mj=aWxbo9e(*ATD<7$()6 zX9ZhWt$FQ4BhbQR$;rA{RcH8yqLSqwF&06;Y@9<^y8PRCX1bpN=WOG7Nu=mXuAyz~ z`3yC%jEnBo<-ME>QTM`E#cZ57mFCPSncT$zP2Kk2NG2w$>NXJ}+9>JDxo8wnx;xE< zIMsi&7xD`JiW_5S(u8UqD_Ty^-n`#)?$zE(in_&`T1` zt}R_3f_!s|pc29(>P63^)*eET-hd0DP(WH53L>}OT(u~6mqgnOem_X!ck0ua(}!?L z@aSbfXj)t61jhHA=_+42GXIHpZaH4kLQO*3C4dtm?6&%HMXmQ59|TQ@ua0zv)0 zDc=5_XWPGq(e_KWbz@GF-(Hg36w#R$Y%bbgPy6N%@Bi~Z^4`(#&M|=u@7f-j96$us zJ;FPB0XcxE!n-wz&lm@;*E07c3+C{lP~9`UtHTFnqK$||XjVby1p})Nh<(+dp22*@ z5pfdUS)XSJR^eTty2s$cHg2L9;D-)OAlfrq@L(O@6{>r%F7$#ndI4q4LUq5Q2Uh=* z;sq4R9Nx77uy_G3S;pvr(yl*OHs78^bq`j%_UQHf*(l8n1Nu4;JlkJT+ud+JsM)<@ zct>wRR~_k#QlX)Ox*p%dyW|OU)7*w|CFbz%zL3vG?hKH>8^;8mUs$)-WyBy|IpaTV z`Js&e6My$>p8M5n)78=LIiP~GGB~M%vo1K9VautwlPWl;;`XRdYL^IlGg~c~bv1xm zO1p?r)V;pYtgA5|lnp$R;_4@^SkQEBi376Ll!+_UL|Pq~bv5Q_=|CqmhGLM|30>VC zjv58Rkc#ceX0OuKF=u7K_*AL5)7B^7AN2d!pp_x(lW!2H!)(w>&-zT(>Y*y@iMW?! z>{J7v+q-Ydy$$z9w0#aD`8jlSe3~LtOQ+P`Ks?w~Er9wtU3KWS;-d?-V)&EZl~ksX z?W9|QuckA^mcqs6-fPZo#Yd{Jnj?;Qt}4@v;I%;@i9$#^KBx#P?t&49$$r%aD9I?Z z2>)cN^rm+lmEQDiH-+Pi%G_W4yQla6<4^j{d++!ig&0svMLi&kmtYR-HKt?NRn$}m zN5z@MwD5oNXc!@i6`$ZJQ}25LcZZ?a!nurul~E0bAoWTS1Rt2@wg8u{%dIvo!ttf6 zC1>Q3Y7qQn%{k^uFEka|U65BpUa`gWN{-uB1g=)A3ng6p9w$5qx>pdaR>xk z16hFEkz@Bju%o(9IStWty{zJuF)A!9yTf@}drG!QK!O z{WC>TU6)Kx;klx-S_Q14wJKubB2A?I{2(SqJy-5Lph@i`BH>JtT&`I7Ljn@~-7UUA zOr%R1y$&R|>)z9(MQD9W7JG0!Xi{?vEJE{?WDN2G?}oYu;3?|Q52uOrqXW{-k|!Q0 zkY)C+C_T&zWTz33;))O*_rO+KthVz+F^#Bd@ar7A-m9r)X_s8?Ia7|3V6m>QX!=76 zR%CC;Di7%Zi?TeGpgPXDD{T5h%8%(G#RC42^5b22NZ;sBW$!7y-*#0gMkrO(dRDfb zuL1P#IcZLs#Y_{*HmGyVqMEj3R?j6~mbS07KZuMgatkmi;~@|9g={Ccf^T(ff@T>> zoLCOLz3^i9U=S}?ZFpc(t}a-% zs~;@?e)@P7w?==hvWaiO>Wr3p5U`_f4c~&Kxom>c8JlGPT=LugCeEWQrF_o5SydMc z0dkqE7Cp*zjQs8C&ypUKD+~4+A@Gz*I~3DnHnF;l+#Vs5&|S+EHm;+y;W%Qg91_FW z9;9g}^Az#^Z63NS%sb3e#M5kdLM=b--}y5?^Cv!`IN(Y+>5cP7KM9|fphQyhu9rP( zUTVQ2h)G-UMo9L%kqUTb+@%BVf|$3!!Zx5ysLIk6%7S#jU9fE(r2P_H2Pr zVa=RQEhtHHmxMyoqz}fDTZ$%*EncNd0%~B~#c-{(IFKZXfpW>+27E2*RU$`U9eujL z1gCr0sdv9UF%-MUUqeLwU)@7G;l{gY1xJGXt^y=sn2P2;{1gn76png)ni2%m(x);S zaPZSZy*O;qA7Kx*App~@NKZ9fY*4q!Gq}=`_xWKJXWVvN99A3fNAqa~fKAIzY0dOp zFN{rwAi%8+4NQ{h!Cqh%v-0QffG+7hG7K&K=*091W&(hWzGQl|F-K;D2||1a5FBW= zB#tiKUcRQ0kwS8-K|{WFp#^hEyeghgEW%)9^rbB z8Q_|T=&$+`TpBdU6Nq4-eF?C`z)LVKOt3WznO)h=F9D93Ujl9_GA|zH_;jV0;EiqI zP+THbG{A5f{-FBz$pP-A$s{+Gc0d47!H;G1dUC*JJ2{Y#IynWCKQjE8lT%K6?0kgM z@g-o1^JfH5!M|lRNIHMnj{TbwkWN}j%ZY!Ve!q=`(h-mJCZ}MUNEN_9pmxBy9ml7e zTtzJBPENrvlbl!OUDCA5fm|3S)}*edpi(q~8+Uy_#0+CH2w21ltaMJ(kp0l3!#8 zkgHc9swFC$q(0K+=w8K&Ln-*2)JOW$Qt*=9uQThS2P_4Twpc}sg0eygbaS6q%7fyF zTkS(YKdWJh;~aBR{{XTK1RpS~Z~*D2#ER3Mb-3!Z_3ANb-d(%^`3KKsW^W*Tge3P; z;Mbkow<`bX8rru~T`?6gVE?3@!VP9+@P_%lrLJfXd1%)vzm+v>ChWT{fMb=0v)oUy z1J#)htM-zIGlti)FA{K$s}SqM;39hn1>WWG#^&4MWjVJ&+ih-Q!G&?yIj2=u_xI#yf`wO#(9hIR-?=2Z1&Nep4g%~C&B3K;>yltsBJVFGx1CLswXg1tT5L7Q4K==- zZT+&BQW)wQp)GH0csB0?Une_{1cDx_d$HzJx&ZQ1PX^z_@>5o>rIAbXch<5Axdppv zsn#Cp6clQaI)Xx!jWG!%qDbW8&T4{I_)*&bT#kFpU(Hc8IquIwCrQ(H9G{l8%0i5= zQMNth;<^$ugmE+oTrH>7QZD*wh`7&FcMd@yY zrlLM7jieg}jL146-hKI$zbY#=GNyl8C?jCzrhl&7xfTcFu~SliKoQNs#B~P~x29+= z%?F8#t|f9NCrYj+XD1@HR7Wy>k8%zeuB@!*fZ=+Y*8b?*zWI~>Y6J|5*!O_J@%y_Y zr`aLXbvzz>Go@(oT=0m&fKrb~E!(Qd_LT(;E{MkV75rhWh(UO)wB?x|GCNCUCUY0Z3h;yl-2=xZhEkNDBiu%RToKxWFs^Emo>wq7l

    J6B0NP4n^W9g*D^d z!IHdYFOtYh_}!?s5sZ{p+z!f3zFv;}4;ib*6P10xsmY{8%P_AO-J_#Oy=I`#De|lJs&e z3RIE#1eB?3`+JqLw(t3OPR9R@63eRnTrT=HEf-y@_LDj~VpZnU{ujOZWuJMxO*0xU z!3|?8r`?W#j(4JY{y8cZYiFZbnw*K{194X|3Ndpx;d;SOmqu*g5K3yX@9Ky9$aM9k_^yd;$g({f&3q5yOob zz5_Ag~pnlHhUb#79Yx^$F|V8N(W%} za`Ztm(ed3EZl3-2-4+R9kp#?NKHASeC;mc~>__s{@Dkt_l(60Um*6751Q+=wz`vPa z`*89S;LDkp0RL()0Y5Ii1o*yq3Ai0!0-Q&D3AlN~FTqP!z6ELMd8luYzCnS7I;qc) z_JUytIBi8`Df$l<1rf6Cc}MnUj_mIe?T4tS)XLaQMB_-G}KCnD}o7c;P6u|w>W z#D2|VXwAsp)1*vG60Y0cdj2bcXS9UjS zdMxP2hd{kUJYe5$`q(SGJ8b#_8iPQ&Kezq-`+n0$7H;2c`r`LPpxnRw{XH67Be9s9 zi}&58FIdPBDEFUSuy{K9c;z&-UM<^v=QDk<{`VeW3&lgm;^ii;b>75M{TRZB2Ux6! z+R5?6gT;dtxrz5Ye);7$9>4O)>kORzMu0Dykt{f6$<52My3d*{{VKVMU&(xa@w!&~I`pg&F>n zu5|9VI@3jd!0Nj~AAZeUnU~8aJa=hBc)$zGy8_bKs=GqzQ~;y9@)mv6-xcyJ{)K11 z>5sqQWix7{4eLu58<_y@KoY;EJ@{(kRkgylie3QqvI!38Mg=E;?iWa3m198#WP;#y zvuhOu`$5=aam6C0Xb<$5fhQnyS2_!2Oo90(WQ4)1zhhG}lGculO-X{S@_sQz0sSSn+7}Uz2;T8@EsiqYEeJLpCm~5+-dWwUdZMWbOY=%uCLYm2ZfB+L!HE#3@1$vc9LorHv!CZ%m ztm%2Te$6Hpqug7uJayp8Z72zI7#r(0)Cf6_lUTvL(_e+a|GFn*bwjxUB5sgVv45lEl4Wx)s17<;W ziDdSpNy4M1j>UPRBuOLD@QdR8Nn@NSxlLo7f@HiwJBu&T=TOLD#d*d%l*xMtb72$W ztvssXsSZ`0ZUarB%%`X(PAXnE?v%fq)V;BOcdrLZm!1yB7Nrl%{0X-Ol^IAODT0%P z^|Gyf6C(?4926oWfg(aE1#kdU0X!4|{DHEUxI+~R3Yy@W6QLaP4Zc5Mi6e88%20+~ z{^QsoHbW?4zaw4#5&EJiF&rP^%_+SND!ZVUCmRB6A}~MQ5TuvmR6}s3H-EEj<~1my z%F6N46=yo{$>$I$`Q`cXTa1*hj)vA$qu)5oVcjjNjp>=?a5F52|MyEi_=#WWEC;2i zPr4GeJ&X-(IB|GK>xi2bpB=WJ5MShNA?vfzbeJErC&V_dOlR_nNNK6ox6JeYPCYrpjC=N*yOic}t z{Oxv=&WY-fBuQ9plGPyz#F-9>|Ll`Yty-(p>(Fhm58_dqHwX1*px0ntU283ckOzzZ zxk>a-@q2#uZ{|KxBGl8G4zs_AsLt(wZ?OHZH26g~&SyoVOh@;Zwim!H<2ngNUPq0%l5ei+ltS+r>b@3peX1!+o65J^^~cnKncTF-biu1Hgw1u>lmAubIc(STBr zrZfwJ5+RkUyF-@>Z~f3TrCD%5u2srUG4h!qW;`@aX%+kZ~CN6ty0FVp_9hH9WcB?>i75iHu=x#tE9oQAYeK{%E0}dRAy3x znII_7oOg~P1iNN3b(0#*1jiA2gzr3h{75e1sxs3|7eOXw(zuKo%vRi?#$8t&(PvW> zQ~C-&h8ipj9x&iu4voLez{EpSgJnSw!6j9LmOPUmBO1&kacC$_{2ERjFN-pdYB0X) zq7Os?TxRkII7~r{VXJipQq(7Dw@mw3$9=NBhN)5$+J~JCAT~SLoYJ!I?C+e6{~M*2 z;sbD>0zG_##21Yh9;U8KP3QfN%KMx)H^|LtC!{^v)2posl6=*(BvgPR&dso$6z_&d zd2`qz4wZX~irl)iI+o;aP&udbWx$e}o+r(*9X+v+K+G6HeySclDTFskr0NLs69#0` zu6#&^Pn9KmnF@esZ;Kp5Eg7EY%?|IoI4p-9u2Ug-;!|2tlz3m|fdPeR=_w^6$*k!r za*{1NeM*&_l)k^bji+>poFuud0Fa9Hh_3OIjSHBf$da~Yh>H4ZDoteZHfRhs7-jw!}SwxRJa@yHWSENMYmoEl*i|` zAHNg!lix6qn?M$d2Nbl(od@g?_n(lXz~Cu<|1okD&Nm|ZBu5p_3)oJ@`O9`V|B@>u zJVkFYs8`E;8IY4Gozw%!Nyr{pFJBZhV3QhEP%La>DbTLm@nJV#@UFr?EFP?IWHR$4 zvsN5RV9aRV?3AK%OfD}t2c8$uyKbDxNv~~Qe)Y9SZ$5g>FLu6&ezoa2uDL7oa(ThI zyTV;k?SkP2XL8cFf9>#*|Kr^J1#pVYJcwTTx%mqUGtSLlQucnZjxoki_Xz0%-&wd} ze?7$TPxhVt^>5}rQEIv3^4$F8G!}sbDel4uDIohSsJIL-Kim*pU7&vEl@Q#y4oARZ zqHNP{mMpez`8hb|FMjAt{+(xB@hh%V1IoEB4HM%)Cgmka08kAmgKH{`tsUac8r3ZT zWssqSjf6HRLk-qOK=}X)m=K)ME^Aaw#1CBn$_O116~kqOi&$gX5l{vQL&ymE(Y{HL zk*~;mpSJGr+a9DQ3L=WGLGK7Cr?fBy(eJprJO!W(ydBN(iF%{!*F=)8wjF%eGz{`Z za)M?H#E>Pyt0d39rBFCu+XocYw_vZuS$`K4Lw7mD@lkrs)zd)df z4`ti;uRH2XG;9GON7w^x@Q|(u+7_s{>4EkL;byhzy5;Az>6?G-`FH;oXS%3buEA|I znk5euL_CmDRrgs;tj)C27JBt>Ne&@n4+L0wUtKUDg3u=5e25^3PBkW1fZIR>0SB{t z{Ft~Qz9R399XjKd;{gd+C~9&nP-_ym~IETj?)mK3-|GD9iPxjCDxB6N(^$4j1pMvQh3>vW-I2XRyt%FAVXk2y*dxYYL!zPwKAU%uO zP|*>u@;=~E6dfTE))#)TR@Hc(MYan+wj3n|zG#sr6Gu1r(Y51SH(x(>loZZ4CZ^yy zBd&0tSmcu^Vh;HYl|C8xXL>9IXqurP-2DJy(fcZ<#zY<&mLQ>LGM z!C(L2vmXqVn1Ui2xR!YSpy?m9Mbn5NPvadg0iXpl!M%ZN=*cd7&k*`!#@Yda%WZTbQl54&xsW^8;^=dgh406;y<=zIQ;xI|vQgOpQ zu!+RQSCs+!5ZXkUFxf=XH7e)rmcJEJm7fMkP`C$n8U-kQ<4mH}tJ6oz1|XltT)PRE zBj5U^KlT~TAMga9LK+rD8{F%VG_qrw;7ebn1fL0Flqg%e5R&d>vZq6?>VsrK3%Ai! zCe2=WC_l61BtJlj?5__=?rqu96CbMm@JWIENsz!Poii)bcCe$JM?Vep@;1N zJ}<*<7mScJIN{nH6A%7!i|PHgSMUJtO|r1QWaJQ|orD4BY>6$FD@X>SoFnJp1&cL| zrzvr2+A%=qNW+#qTG;oPF+kf0weOe?`&NzMq5V_am$|o-deJO%i4K5yqiPRm%>m7z z+TY4N%cgy2f9GWU-zXKZ^yH8Q6oPSh>}Cgrwmc#l3An-l0VgFohv+2Th%hxeBb@PLN_|JaR&w9F0gLTu*1`2vhunrNRXsPs7(#=_r zmGJ_FcIYKq2}XnyHR%X6iJ4-c7}JFjxQqzr0FPecLog~*Cm268?^Hf?+h`UL6~B_w zj4MWjGxe51k5!D*tf5fqW?OL&yN>vf;A#U*v^+=ZW)nol6BR-d)3BJa6qM*EOD+Ms zqMJ=}5!n^;g-I?#yP}&-@=35=#aBZuVOC}GLBH;jGA)yoQw5v;#bVaE@n)_7{gWPK z68&22I~THCH()V0r~ZrL!ZZKkG<99W(0pmLXA5;3-gc;4T;0$ulbe)yL+4h!qesLg)r+%MAwq%%x3sYPPbGoY=2xKS{g zeQz0x_Hwl&5fs6F@CaFbOF(febfUOoH2dHXAskZME46@8Fq(Z}y&M`q;ISKO*Q7A} z!=C-pY4$rXB};2wnEh7Dbh#o%98`j(*+;#iFqF`!e%jWZoXc#Yac}`W5t~i?qQdMC zJ*W2pdBGfl)D$r-LLfEXow4C8Z29F|)MA!DNJ26@`tGo2u_m*`?1WTF#``l^r&hRS zLQM6`z6$hI55Rex!HEb14Z^<~IrGv2wM(!x-8Bf3bKZm=&gf4rOuqabdKD>n!waQN zGt9eyS5YTt&nT$)2_cB&b``aSO-bxn5chx_)$4?5=|wpE4d-++eZeq!x!TW;?dH1xAEMs~X&=uM%8f zf`C(r0zhy$057GzaY6bGSO|k>`S@0CJ)}>=rNJ>H{NhTMJh;t`BLkdYrmYO7RS@9bV=z`3|X1qI^ zEHz1VF%rK4kngmuaJ)YdU{^w1O|)58!kYc|Repn;ZfOQmY_`div(JJW_1TPR`YP9b z+-Xk`JFnb|_u=K}T}15E#?%M`r-pCkM^^u@LWRwdu)lNx!_&Um!HLGRiBfl@((7ka z0Z_e_n>3)3Jup%3RNwUQyl+4_)agrmJbEk*C?1dSz;D3ZfQQPXZ%65N6(zL;ZaO;L zN<6)iPHd-=;ep?vbvR~(;Xy)iKpGZi4-zI2g$I6vv0|xLN#CT-{y{Q4@OGY9b2vy@ zR&ky|A8nwx(kt8`9aa5yg`d+eg7XbMgx}7_I<-R5Os`$}9^rzFgg zi!P=IF@aw+NYP|)d4^|mk^Kxx1xI-=xF zEHwcB$URmcV6mZSkg|BN)x-lf$;hT{fp{=4x7dnSw8rb!dZdB7|bIxxN>y-_Bs5Rm(%u8fC$pfNjx7pb{)FTTj6D&17t5k*f6sEE?_AKc~cOy|@N*Ws0M(a5}A4*RtyHp0Fvc_FjyPShduXK=X=p|Rz-S0U0ikg+^N+|awm|{sb22-wN z7`L4O#!o6*nQ&#m|0ry?hA(b=W!sJI6LV#7zR`Ch`4v98JBvq(=Os+{)6vUg#-6$C zYYlHtZn~o89O}U~3>`4&mpH!RBv-fl#M2H%;rL~UCzdlTCjp788EFZsQ3F}i+Au_) zOHe+-x4Sj7>FBasiN&cZ=*;lAJBCM6fk$ppt;Wv`kDFn5Jp5J9{zpIR(Sibm7A+*W z5N>hG)Sy3dns)ChBUNQ?ec{PaFrmeT={l#cW+kB1JRC=Q+SFQBMb zpeO)#L2c5K=t)MH7bm$L6T8GOq#6s8Y|(s%l0(x7LB7bp1n>n*aiSqEB_>)(Uzdgk=O+v*`t3FC>fmV-u;6#FLuPc#&dq0mT6riVI8^|}MT~VhrikhSAhGYJ zhsAgPPuy*p(O+3}4ML(dmxt7?@g&MXlu|&-nh+^=i0;D^GxDX0mb(vRLsPY9K_t2~iH30lT8Qj|d}~Z_XhtTY;iMxmr&YTyD5~8g zM?_!}vk{G|f3~RhEUBbL@Sn{;i2E8DU-;zV2VZkEQ`uZw`qfZqo{=BdxREuL^Wecq=ar+GLH=b4YrmP>?%vP zplFCy#|+1bBm+E?9Busch&G@KL8Pzni^TGn^kDwFMm2XkPRjj%0MMge6y}rnO=TG(`m9e9 z6v@{~dL&*87wAY;`x7VWkz~dzoe>}==?lc`$P^Ba&M1=XNO~78sAQo7GGAw){7Op!mVE?fc_`#p|b!S9XE*YUNFQi!{9fau4wBfaWOz$Pu3#f-XePf9Jxa0ASOE zxhc_QUU`t)Vw;7ho%8lN)#~2%eB$1ct5?XaUY$O4HnRCNrmIIe`q_W;oBzY#`W0Rz zqpH;)l%#0c!W$e{+TNZlw@O>%rkG$FiAf{fV@hNx7#%qf+svB7PpkxkLA#HkZ^{GP z4;a{035I(JyjpI{Mk{BB(~U z+o#Sze(1u__NKcyhx*v1pWSac`;43@>$nrvjDGfR+>_Ntql*TJ)fFoG7GO3V$*z=S zQ-t#F2zqb#5l%BF-wAp&_414RgL!}{a@fP&k87?oy4mEK=X@$YQW-DxjbTO8hnnD) z>2y;v9W%eWS0K{KKd|gJeTWo1v!wR7I+bcOSyX#V>%Zp$nP-X7oPw!HDB<=&;)RTf zAO{m(d*on{?+Kl2s!i-mE=Q($6^eHOKuK?m>)v%~;&YDX?s7EiZczWO>p)t~Zg@I*Qel_m=TrK@6YoP{86TA~81Zq7LN z48CC$5n@kT@X^7rLl0U20zsf^YCZkX)HEiDq!1|;WFfHmB2A(TN{%L-BY13dfffv7{H2BT|Pdj(*>YY zUUAJ~B}oJTiaYE;IhjaGNdjTws&9OxgCSPo$Txr4R~*YDhzk(R+-RDnx< zv-DWmdJ$&CkOfwFv)5vWJ`yhghDePWZ4>AfN>mVVLQUb@>1JH&ZPjb>>;A^?{{Am? z2BgjrG6rOx!iA(0Tncy!pew4bOWBnIO&)JZ>Hu?J(*U#bjp5Zl}`{0COY&-j+OSVAKIID7}jC>9mLi z$==IFm-z<%Zy7NMwjR8ixd^|qM|p8BX8&DRMvYrJ!OTMVI@^U%UdWWL7?nF26r%t$ z0V*J43(|o03wLgc!Y^Xtl_ekLX%_H5D*l) zbPlOF3{U19sOPYP9$DFQ-LFofXAols!nou9Smra-f6ws$&BFhwKbB{FBU1&zltixj zEn}-<^OnK?70c7!nc6twz0{_WS>10N$3Op5AL}iQ1S_%#DZxYN03u^b*s`Qad>KQI zD$q3-lg*&B0kwkGN+Vankxm-MR}7#PU|g#@n}Km7=(=wkYD}KvbQn(rUBTf~;uD50 zCwiD4I)bja<*7Ukj55`NE*L;Xa96E74G{4JDhxvr(0Ih^)0%M#KL$_{#>WcOTJ+%; zWo-w)wz|~7q)BM7YfJ__lL%-@TPD@{6x6EC=*DWU9I85x6ra_|9s;t0Yb3eD7=V>P zjUs^|o{@Jf4#F^ry#D z>0v#hk*-e{Pnfpd|9i8^)H#LsWB=dx-v8lK{8%Zqj~*QZPV>{8#sWXKBsE;yk2M<0 zQ9ssbEXVxVOk;r`TYGbbADd|`dv#Caua17K(^z)ojaQyz;m6{=NnYPHAh?3Yf@If( z@KXA*Mq|O4t%+oDcQTCyy1eG)6n<=`u^`MyX+?M8rB2-L_|9l72lS;X!-s1tX3@1z z?8hdp{M6D|6842#DG9Uf*^j+hKlZ7nvE05V`+Yy~1Hba!?#U`zWS(roj_wZ%Q3LA- zf?q@U1=bHoEhPoIu7>antY3#fvh#GGeB*R5AQ=VLkB*p%^<(UwDkB$+SU&)FG8dT9 zwMGjr7_t5Vn1?0>P=ln3-324okG?W#Bp`|`Ye6dZv>ORV$=?BZPd$njjFu|DD6zO5+G@}DsFxdvok zpg?6ny>|6)(%wgvdWZ$D&SJ~G?pBf4neEs}$5o8CIngwHsI8YR% z5r+$kVOOLN6zLW*4h2V8SqV5?Ffw=Vk0}2}=tjw=0@;t6R<)>3L!zlw3po#)n~l}| ztfn_t^v;asi6KQcz1$00P}=D z{+WN}H@(wga}9D#g&BM>fLAWH`l!WBJp~LDsF{>IFwo&qqpxhD4Y*)LSJ1!&Ee9xw zLC-Z_Q=lv8yCD8Fa&^+6Hu4@zbOm5Z0xlBs#19?O6{HE1W|G-rS2HQccCQ#W2rAZ;{t?!U*w=tM(uD1xH-xBKlf0G!6*Z(9GIc}HjV`Iy^f$Lep!%Fqjcc@`E_@r+ znX>Xl3H4ZHVu-f=1+smPOrD0wBt5pbrB2r^Kc`NA-OK;aFTd(gk1jM(r>I~P&|}vR z(w;6VmiWR3Vmb@aOH~!sX?FAolaz0E305DP4tNapE`~DIh;gA#(Yr<+y>2H%tKvCE zb-EwAbYXs`|SIhN|Q$8btfedB(@ zb(bup9UQ7}D{MN@+Mq4t!B19ws*C2(8B>3v7^7Nqhb`#LQz>e3!5K9=Ip|d~Cu+Pi z@8W6TU8D#3RE>AWV(t!$S$B(St8vC+ZU&3_@s}QbZ72!Th{!B&ijP>2jd39`fA}*g zzm+75p%N{r;S$L76CPv4Vo;sSK!%lG+ez_w#9~m6PG&so*3lAIEKoWt# zhOmv2xKNrVwk40+Q`#l9K{MrG`bFX}qT*P$k0m=wt1A0kqPvS(%*y<(wv@KN{heFK z|BX_>Vk}swgNSoU*t1|roJ>e>;B+_e`Q6(7Bi(N zI;zR>g(=n6aWs6W4ycSuG^K7KVO=!tGn=A8Y}&f-W(v_&Q@Y;np^r-FwSld=*9Lm7tn9VH?ktyTB>B-zp4vcZ*pfe< z+PKWjp8a)GH}~n7`P1rid$ZBYRj1{W45!eB-|XmWY?wewDJS-UExi{B@@-9wN27N~ zUkp}3Iq(MhS;|MBDR;c-go$TClAp}3r}k9F}JV^9pX)k(_Xg!!xlvYphOgyTP1i#)A! z^X1MBTaNS`#s!PFLm#hPM{{o2^2(#l@g}psZJkSSi(WTTo3W`onf*BV_hg5MXqM)ecB>16Pl)) z%p{2^M>;khVaFvr;?k4(*0lAMKPygRrhbf$z> zDU(7A$#{DbsZ*u|Nmt=QGTt9N5uE@xxSG;|zK|53Fa09i7kX%%pQ-ie@p5X(pZ#|5 z?B&9vgHkob>8Kf2pK2T1(WHa`-5@ok4q}MAq`O2aiHly{;U>#m4qBEu=X&YV$d0=7 z?wE9%?4!GmvEdht-I zL2-3Z8~^ens=V~^uyv?FhFRzC>(w;t7eDZ3wpQg=uU)+NrT1Y-`irk!jYl80_f*Ub z-fNGs*Ng9d-^1Kp2j>N;)w~^5MSk+D%3aSXgR5^*v+5Q#TXLU1_WK{U-{nq;=5H)z zV4356W0WSei>Y()G<#XlW&)xyZR*0FzDlicvLNac5GVo=>9!L=8a)$ql9T}WF=?$S z0~187ite!te7Ef+4<3{CLuOOWWc8_&Y(*zYH7JFaU^ab)9}|=HPLe`9`}*>TQ({Z9 z0#_nwq$|+azWg<1vL1O2O>AK5P0bW2xlgF!OOo4!4fttF9B)U*bRTxpN6d}-nF*%7rH8}_wP6=)he2tp!CQgY-I-3hq!zPmP z7CD>ibV@_^6Ku#$9&t0J!>Wgn@F?A=otVl&P@#-V)u@!i2?dy-d)+m~Yg&8)=LA!M z-5FuLzKj=0D+S+yS&m`~j!bfj?hYTfVD6uEuAp?T@#7P4IK}%w2wQkxaCVkkxJt|$ z&Y$i|*pw10_gn{t%C)&rt zAQkIsu{flX-iryMUgPQ^mDE=z2zCN9|Cq7^l7Jfg^i`^hH$iwy>}`)ge7m2Ff@8#P zf{UXk>AhsZrOA^tjV8D_c#__W2`5hJc1|?c{Hm;Wu1YlTn>4xZ%0%-{ySU;#AJ|t3XidR{hUirt905Mg!{w=81xR; z9xwCuPBdTn6g@ak20161yP^SqCo11jeA)KE7kOkvx*msf8g7wAI47F#<+-F8Xn*6i z7cX9a{Kn&m?lY>tFnnJ(0IKJG4Sm0A*PI&Qu7bxe<^&#Jyy>|AD~~T6%)cl5+2P83 z0R%%Tq1cNjDmX;`k=%OY)r-gPmW+k`v!P=4-Cg0X{2h5${xZF~`H(Z0*S{;S@6&Qu=)(TyS6_PWzwj0i z>I>IVxKGc;C?AEslhcftIY!~=Yyfx|?gGZNf=ouo!Uba#jvk`g&<*M))h4?jUD&jB zAMU75qB(#@r*4G{7Ew6-Y(VME?<5B%F$&*dYA%Qk(r!MX^S)vcg`AlI++=y<+uDn#ZHG6XERk+9<2vdw;FGLjn#U)j9t&eYNXIR8ZPa6k zqS)R63J-^_e@xV4yLL!|NVC?0g&sroHGYKXc}%XXjxD*+bQM4*-edB(Xgp4iEYDJ& z(JYA?XyG&iI+z$42{lbJwGHJDYEprI_j`JhkbZheS6k$n{zhGWra0f=6!0_#HWKd54Q^4`U|&PvvUD-8d{ zlZpTNH*=pTx$G2fH<9U}K4PFPDplA457>r=#9HHNg6CNf9TcW4QiO-9ro)&?}1yM*q{7q~`q zhq+Taq#j)ss=7agSkiL_r{9`Npi@+x;VH~rZrCtW3CqyLR$Q!vmCz(hE|tQn%1hZ% zx85k4JuyY8-nsYV)a}HIKs~H(yVp-sCMIsd`sqHWdi-(0e3Z(Xu95LwC_Od1Ko>F= zb8e~hG_+J&r`4~G#jIPtHX6i~Kjm-zonQJ2=cQ|ToMACmESKuBPtmUJ^X)ICwzH?@*Xk35vo?f((pQGve5_peQ_BvBkh}SrM&l>T?wT zJ_&*lj^b*;85G@#=O_x#4vk}{8n{6)V6>^Zx3tv1fU0f zMP|y!pRQ^Dbe_O$l*j;@%jD6}DdI^I0x*_1I^rgQwtUT_;S$xHsN5M2xqr6+*yzra z(zjaKs#q>Te}d>q4Fn3`(ub;B!UYQ7^k8Ewa-S%618z*A+h2g}dyW|N_(-YbF`$x1 z)B_~tmirFi9Go=ckA-S6AY-Ln5s}?C^;~Ae`}vc4UZlK_6wcv-6#=4L9*?L%Oy6IF zI-XSIsld%SqPoL~N)^zNTT~mzb3}DB5!JiC{T)B>;bUED*?bkYL0FO)gHFsX5&l!s z+DeuV4cKKOi14N^0iy*oLFBYk|LHBJ16M23!7#y8!_7u6&16M77$%4r^CY8#;0Atn zy&@fqEZAYxu?g12XH-Hah-x>Mxsx(S-=+N3R8J;|5KWOr1Z$HjK5;NiGR>yrl82NQ zN?+kZN|yx9euQw(A5giwY~T zV-Ywk?OI(@Ml%n)hYTdLgx&VuGn0b&5^0>c2~x2Y_ZR2WPZ&EyyNddH0Ik7H!%aA) zzFu(MfP>2AaWgFqZcfjyqzCqh4Vc7VXES|wW_sN%I-BX+sqp&Y@BI8v`&G`#P(Z=z z(=%R&2u`i<3BYG!5~MX?hDzX*6ZT;E0epgJUMAb*)wlA9Zf`AZ$4MPU0ek`=M3Bo7 zNT|4~R*ZQ*U=?gf1GCn3U~!a(797A14bVdb$6Y_E%#bL;KuT-;PR2@|IV^$CmY^(+ zFFN_E<@f7T0G}W@a3%>P8}Rgsvpi~i)!f|@@M)$}4}uAQY8U6Hrkb$*b!+OM>^uAG z-^_iYQ~*BB0NY>0$7kU4wD?|VJHhbEYwCtqqJOkof*Ud1!_;$CZBMB|7 zB@9kA%4-Q~>5V$Fd~Hw&ivIQg_9I{YuRH01jTE*o7$~Y+$iAY)M{ze)Pbun`zDhBR z2_95yHkk0)Z)-tbMia~2n6Of0lSD@TdDMUdkLlXp>jJ+r6 zf1#_DXb)eJ%FqNcY)N6(p~tYbYDFqT6GYJ&NOo9p2ZXRbM=C=T#P>`J&OAZ2e35b-nPhMmO<%8K@%43Qt7CAS#t?pXlDd)6q09}EFxiG6?B_Wg0e;&KvK z0&{_H*7mSC9ly3$^8vPiUaLLY-K#gTFTtb%(8UV~M^{^WQ?9dM_7Re-2g9>IhK-{Q~Ef&cnk?*5Lf`Ls?RRcxNh((ToK8n{;cPj{d9%2oBHR*u{${lomU?zk#HyDFUfM2X;^&j4d*|NQ3t z^V2n6dXR5RkMPUF4nUwiJ~{7uJ*35$G#nEHnPLf|5N1H9AXJ*CWD(s)L7;0cRY z4{vM2HxNJ~rL#j9UwEa}iULR^L7)c28Ccga02sWJ7 z1dW=x8lf8qz*4Lk@6KV|G6Fz6gQ7g@+d@(z&9vswO3@ov0~#4EBE`epKJqjr=pF4p_9qCa(~>!mI9OrE&QZc@?+RE0f;dzo2e=PHq> zp-LpZ*QYA$a~9+7vKZ@bQEl+fWP_U_8+_=Kf96l$+=OxOi90hmoL0#)cjE$Xyx`Pw8g53+yZ$6s%b zC56X^Q!AsOe8@A{9{_eN_~s z`ozoRbz5i1>1Ri(JdC-FO}j(X&_}Ak`V7GK-a~ zW)Bib#6y=^tW-72HURaZV-^eZlUXK%^ib1@d5uY*B8#={b;=?-Wy?86!6J+01+L9j z+C>(UF^iQ8T#+P_ZqoouAtp1k1c(5@2D4`7Wm<1G3D_g zCMAghr4dgOxhvW1*lrWDAPe)p%RZ7~yTy z6{mB}a@Rz~OV@LQN!oa}1anZ=EIy5~K6$g-qHTpp_UzVFzFUgl=&P_%zIYgJmVX{xOh+LmX6wOw2%xB`3A*UI!2Wp^_1Rc56|<@X~|AH zPq?kA)hR-OiDY=lH+UWikD%cpaFklFD80(o?0fbffm7U)<5*rLojGk+34_Q)vmj?H zu5SEAN(xSrP%SL+$C}{XOpYE>wVOjjs8^3(RhzGSaW2Xi2b=YagU0fP>t6lhK*(Mk ze!L77WLVN5U4HaO++PL%WRJi7^>6%>yc$@_UL1b(Rnxa#C4TJ1dFg5pgCn*ITA>y0M$m8Qf=cs7ck$mU-gXYJ3>lJ7aD0zU~4*R2*IYOz- zL+n82D|nD-c3$q!?XbV_7Q_Di=A_>0Qb!(^1C22j+V}W51ue3Z_t>vr-){Oip%tyz zd%T1G`yo)Azij&f`+n0i=tS}z6?^xd?VC+s6xu_e+@ITF|J|mKtk5>lC^=jGXJD=%;zP$GUTkw8zn1W4Q z>%57j`a=j)9$>Knq|nUse6V=10#nNU%5&pyZ&*%bDQ8Kt;Iy%MA-8&U`r2z&k8(ug zzvlP-_OJQrV^s-2n+d{zN`vBJwRgsuRYWOmar8%;E7EZq7caXD@`1{NBSxiDJEPP< zs6kPXZ(bHWpg*_@cIdEDuEc( ztf{zECfKH;iR9F}J=R=GC6G>EN)EBbIKacs~ zNW0V0`|mz#Zq>NnVo|alAkE0oK+4J=($)!6FD!(!zaGHNeWKK~=mF7D=Fy&u9&Wzq zLBoT$xG4FY(zv^nMpAS~{HC)}z8$0dm;T-#dHKGFp~@~Zqip0%G_=w#9I(l0C`1 zgzjcoy9`535JmQEl|?=p0#Pw{erOtH6CBYIKmywlV6)aNx*&~m7Hm+Lo{VxLA-JFz zWs}?kKvtuSTArFQEJoQRGZ_vg=V>ZRSd);GNutu6E&-^D$PTHY50Z45OcKE~s%+>y z%ryy%w+CGVv^yGl#YzK#c+~}ww>81fU{3;iF0B;Y7n1P?0b$lj3_B192~iZ1#U0v% zbE2KpY}9S|Me!Elx2L{vo#xtn&7-ah&AYk~Q$n129c}tP{k>c)d9OSOT_I66(#9*2 zO3`D^J25Jls2gb`?q$>sT?4JpGSp!%4gXfBBAdF$S}Jkfb`0r-wg8Q#x;ucz+A_pZ zMnvFu+fEcnV}x}{KP&pIDU|3ar)&pUQ~IL$`4eU@AcZ5<@{-dD%SqzKV9^J-TBx0O zV6y-h1tyq*EzwdXJ@rRSHsqmChOshf;lqodkb zm0giApJe?iJsee@s<)i)GhFw=h|0|OnY9b6=+g0{ezLBks$Zo z^vuS1H14v@RIbO}NO$OvbJ7HM&}Ps9poZoo4@~skwuyYwZqneh70pVz)0(A7>fhC` z0Qx`$zh0iKe%UnhI()eF@ZOgCUAO$4`u#7z;8XwdKkn7^N(wXengD5C1n^a)MSM=tIfQI_!> zseVn+dz{QLrm22S&_^!f(CW0Oukd52eofFvE~24TEhtWVmdqm;$FZv^(*+yZM=l~_ zs(<$tBNuy=#Z*^Fcu011AGwG=Vl@w2)UQeAk&9qBr_!DD6@I!n?IsC>o7%RFxTVF> zS1W4OYwkL`mwB1=v~e`dZ#I?6zpfv{e#Xg&j0`;tyyf)a$y-Fl)0jDx>dYt+boC6; z`CLr@G!)aPNBdM=eFpmO4(MBVi)wp!<}Tk1cljUrjeqKMzRP_Ejs30$`hdXH*jR*; z_N@VZ$kVB%bBHD)N$7Z)fWB_p?0z2T!z>-ObG~B4ksRnlr+YPCE?B@w8R+W}K#7%e z!H6O`(1&(3b&LObpsyi|rh>2-vZVu{=}!Xs;1VDOSV=NuGf4;fwzxvIZJGtjpaB&|AnygeD{0|z&?cJ^y@1<;4_1rwMt-XI71P(U@2EbdSS`cS_%k&L&< zfj%(c%d2$MW1$JJy%pWQR&UG7L6DU!yi6MrvO5EP|37RH&a}KEplkRZ_(*|<8V`-H6s()?|*P*|A?QT0`B0;OdNTQ{LN~Ng81V*cX zA|%F8I*>SnKQTp$L4_J)f&_~ILPEfpWKtDONSh73|E<6J6^ApDmqlukd=cWNKYA$O09}$y}g*2@o;KY0BqZHj2RCQ zmSY+dN_Bg@dKD_M0<+9GM0hnhoqBvYsjO=*W{N-;Vp z%?yOQ_Go7d;e2Cf2Wx)Ei-h!h-IPm0ENsfp<4IdM23H|{F3<1@J>9FBO{VnGnbL8$ zXfmZYV@m(r*SzoZ!wA1qFx)Mg9>tV8AXQ#eL#_gSE49h(0{?3SrFLtAs~uU62n_oOeAFNUVH z9vW3(TnwN=z`v*|bwR1!mP4a@%DzdWUa)p^jtPbf!h7vy0q?oYP>v^=V7MrrqPoB} zfoyKCTXdzJCK4pDTG!*lC_L&uT{dfL*b6`ei?TFaLg-P4$3zJY`IE`I|tu z_{kJAZ4HzI`TB_k>iSur&WE;?2X->kZ`}X7*HzI!Y27m8Zqa0>Z^lgjn&0wM58n3H zx4Z?jQs_2o>ykyh)+t#~JmC0V1N#9>5W6{PrcDqG8L)gXs$kwKgaqZQQsXzl+S{^0 ze~AL3T##nk1bu4NdLdmS@z6BWCg@YEIwa)9TKS5Mcuml!Rv{5i0~lANnKnT%oGD`i zC`1HB8wF{mP0+_%0prB{augIZZIV9UYPFY~b&~WOKwrV;TOppN@lBG<*Vi?DzST-x zqa>N`j?cH6mk|JE5mxT$McJC*b+P$YXenxsp6*YbZ?#y92bYeXZjfQ%y5&+pV@WdJ zp>3USg>nJ?qa+z`kmFnlf`z1!bYV3l_5KHmzHi}SUgbbII)s2Agi z1>No^C)7=Gk~ZBoNZA8`%zU+oI1?u%`amvro%jVk9b=k^JQMB(4SnL-98F*-$k#z+ zis=mr45be7d_*sok10+mfpNpRe2%D!s5gLi&l*w1sZ%k50gUfi)EGj#;t34>d1?X! zn+#$qo55JnZ+YA&&*;hZjKdT7X&IZjQ{39D4<7k!DFrV3;IQ zB23%>e2&S=?d3=U!z4GDU}Q`n(wpo* z8Xwi7H%7CyrPFDtuAt16T zuyZL`y5zX`06b%{WdfV!=?CLk{nUF8@TGaT{vKf8hkOsPLVORn8QudNG`$Dhewg>* z7)M$=dR6fM(UIk&8oSqoL@j&1=X8I;k%Z$J_6N~bs)XG%$C}KB_2ZLcEpd#kBoptR zyKD!~l`pw(t~ye*h2?}ZE&P7qKuf=$lBk6pz%hme14o*)Gx_ncLp)%QV{QM4Io7~Y z7u!$Bv6eXM*8G9O10&n%2aA`ZVNVSN^w+XYaHIuA363-&Yp$ed*aSaa-NaI0Yx5xD z4(aEhC2CZ0=jg#w%u%V&2AT~M_gNJ@!I4(w>VmJo`uyc<_g=qu|MEiMF}Th6zs2nG zE`5r+v7g_n``R%M?fP=u_2s+RRq~|ukl4Bik;1*pH*mD)Ulq{oEB7wrchA57jhP*8 zV7K~J+KVSD#bICd{^kAG?_b_~QLaZW?ULtJCF?>-P%cC+VDw#K1X1mK?-z51yJ5L{ z!b3kTci~x=d!n~!++E?WypFmnuj~{4uCT53=f3*q{{C~0k=8o3)@C?umx!Q^~mAdP-llIaiLE?k~uDBv}^vbl^_^YSBDzx0eG36D3Q%OB(TXUR02X9V7ic8N@hB%{N zn3XSMpyJ^|*zd_;JnC+-1`)Co@@jlm3{HjeB$`L@>b=YNzVQ5u?{lw4Eb*-ow}@8T zRN9GKMA5H(?KPKrMBupKljuj73sVrc(!5|t_ z-3u3#c+Zem>aZXiGQevnfsFyGFxyU0O+$2r5;7OTnlb9SMIrt1LrZLED`J4EB>FJg zugB&{4P+P7Aq^wG06d)H+4zb~?U*1EOB^Cawj=l#lGbuTaWASQU{Xi|iR4`2&L(-% z7}xAwFvMz@&l;BJ%bctex@GiF(XjKgf8(FV$YkkI1GJaR{=!U5Am-}_F$b#~USOD6 zfjC>NKSGDocG{b8Kr_am@Nwwy6z!J~vp!xYbU62|;eJu)b>E{=|KZ4fj1IqcGh6h` ziCaWF^*C;k+E$6*^dDFX=cm%Ko*w{AW%CA&oI)Xm_jvw&)n*RCfTh^r!z7M zz0Rk^jsmPSlM;;LwJsVDF7?5>=9WVYm~22YA;;0B%4L#!40Y7n6(~>I97|?LSpZ-y zc?k*Ub8}dV;*pm?DM-!}<#AcQO#_rDJ~l;7QT#PV@fZUcUVAtY_;tg4m1dJ6e=HS@buh}ib;5e};`kBE z(|VX5Ik7xNqj6;X^mm_o+s7ZAQtV~!Hb)k%3NuBypb|fs>Zr3-(DYFPEf{v6+0@~BsgW}Q^#&aO&IR*?cKcSYd}hRL|R#~?{&5c=q7p@ z(xlkI%qykw4cIeiDh?}l^r1*HbB}J>wn;WAb_`cnI|b5a zqKY4;5ZWUD|g;yH7IDE?#YT6v38zr_Y zn&gCTd;c!Rl1rmqv6nTI+gLJE=o~;>1N6!k4BYC@`-(Yrg>0D!!aB6)7*khJkJ?rb z&Vj->Xe*A=RPKM-oI_O}1-+&lD~GaCiSa*IHuA~-+5R?Phoe9%74_7PD1=rj3hA}5 zysc<|hgSA~qf{WwGi_vlp_Qg#RS8>k4a2H}PGZ^A9zXITOOBG*#IWQjiB0ffZU>TG0@HK+62p=`m}X!^f$>0q(o)XEuw;)QOa(EVLOlYH zv0_-VSunW?AYCBI57I6#=F+eR?=%h=eu1Q2Sw{DTTC`|;XyTvBG z@-LR|iUFOj0B(45Y3#3lah>@zJ&Pf(cz2nGJ{330+@?0jLx3S8IUI94b?cn~S&!+~ zb4N<1THA|oM~7fnM=EcQb)?E_lOq-2x=&c)Zrm-J9I5dTrgQKyxBiX)9+lKrlgvSBhe7t}!`MOSIR~TJ08dGy`R~CmQN4(4~TpK~AzK7#*n{ zrgoR)CCGA{6{DH%&{I%KP5mllw9;F2G3tsS?`ti8GScWI6!!@5m@*B_v=}n1z--rS zHO2|(z%(!xHo4`KMw-@1tXBG<*3%8MZfDvc{kLe0>pEtH`B%lxZJR%2NaM;wGX8Ir z3;>ydg4P6(xqg6*x3k=^EgiA^L)NJN>^uJJ@4fGdQe}-bj9=l9Wn-}RW_IgM=?o}e zl^T@^`ryR@K6dIb7d*npP90`~K2Pxk&AN|C9AHnU4zm@HQk_$Wncz{TbLub?^ofQ; zMCa6DCV8CboVhTQd>8_8QAVer`JzKq@^rFR<<7WRJuD1Ns7#UTrV`l*({#~$| zO7zEUb?X_eDuw1g3423xTo1VqmAQ@GfPz%dJH+-mBt+9NDDtG9lQGq-XEBz|W}=6W{uA%`jBop_8a?QAHH#j~tTm8}VmQ`&(3}mpSb;y3uMAypGZ(LYCgld? z95^&oDMpwnfq)-cN|ZK6(fGLD95X3VXyuGv&ZN|az{4{sfe%E<6~rlkrVu}qB&C2{ zej+TzaxW<>Fdi9_hi6ivwNNmWK%5ln6#LarMmcJwGsS{XjflCWr7aSebQZS;A|9}Q zSj@wZR)NJw1L|EEwj``{h~3;ytl&%H9tQ6Vv?IYY0p~(L>FLhH&?hc_te%UglKy4+ zQD-2A(|O(CiWBzUbZ5e24(bh3)ZlJ&yfzc$Ns=C>t%*cGC#5R9mBDY4q>E{iNISDT zwUoYZ& zCL|_&IPE|6YW+QffW2q@Xmx=8dxk?Dm?BV??15-WZBo~(v?l(Zk=x{o@7Xb0lZK^4 zSx16j3O+pCWM|pXG_^az=9Bj*Du@QfFSRPJSwCWN&JYy1QLFK*$(^fNdDo+ z2kQv9KjQJ5>tE;VUki;&*dN>>DUgCdK7Ve9TNyjm3*wE&PfDERIAee4dYP}`CXmUjR#g0HV2;$e)LbD6z9MOV~ z7&+h8GDduF*%7SBH@EBt_X|sj;A+)NPtk;%AZqpm{-VVaV`LRD;D?SmqHeWe=3E2| zj?V@8(AtXVPeIFtG;OYX0|;Y|2(7Bxq_Lf^0Ka3>-dB`7cU=-h5U}(>Zo>W4IzW(& zIU;1tkz2l$njGEQmI?(p2f`V@^5F`-T^z`VkdYmuIymWh~ z52?o`BZI7ZqIz^?hCIHapM1rr9;-6LEL8xJ@`_14nl9^r>!Ft&wO&+@uFBBAH%2|m z6qN$evXeZi%2bmSx1GvC6+!Ni_kYittkEG+$M`N!f(GkjZ>BE!Ct}oBc}~yOU=uR= z(T(~VcZ*spFd>t#2buf}Z~yX_f7eMqI~&ZkTkpycW=D)fKn;K=Oqn6Mwq2I?9v7q~ zEnDb60A-p8?v_G;8-bz>G)%B2w{Tz#2ctRj6=_LLFhH0WyI2^~eun4rJ2y_$qf?)IpK ztK_~!Vt$qhncRU+Pb2~3E%8v0jCW@b7CUi>z$#wGrUl7(dywzcL?KEcQUi&7ce5hfN|?LtgIuEtJIbIFEtt1H4KV0L2gCh_0kCj%Fue6? zfI%lZ7`62Y9C(H-_s4NA#yrG`4=nw*}r(5(W;F7TK);Lo;Lf@Bu8X(jEwI`>40P&NW(Vc!%_4; z4zoiA8#p>ICjrKH7A{UZlze~U^inxZ%h_IklWng*DWyW#AM|;toTjDgusOT>s)2^Ij2R>1W(nnYg#yRGXUO}z@Ghg~kmmj`9wQfuXLxh;o zov&lXK=nmvO-chMcM(ivsO2HMH{kb2Z}F(#AKm$*et&f5J0SXyh#PJajPCr=03qcI zk46Z|oj)ETM0eh~1U^xjGn11p4!2D*!yGf+E`LGZrrYJOkMuLg`~m!N_lQS3(dy>+ zz_k_fXXI^us#k{lnOi>P3(1&<_#?RIk4>$QP_*>9M=ILK;GX~R7k%@;_#SVh*1?39 zmMLQjfdbngH2`G_o-?oqGd?L_6@Zxu*6~Ce^aL_R5Cy5nP0$CpuQ$Sg90jSzO%Px( zTwq&_6_P8V+MNXNIhCd4PZF-}{N*@poL&XhOjhO-V1H9Ncl%un?mI1)ANvlwq{-vO|7gbQq6vKtzWT zP0=8CX907!1Q|p=baWWuPa54U2a#mK=rH=wvOTN4Sui?`b!Hjqh1+UybQpbP*>(w5 z;JjjT7=2_JIyiFv_oVJ>)YYQ6PJO6W&r1^R^Q0k8AX3zgLo*vsGIfATdyf5*9q4w&dleTkN{-Tns?{8p zqT79SsQ;dkt9^}F{>6GZ3$X)ru6%Q-zif;bI7X(Y4Go4e;LlBL4(C;^ZidaFJn-jw zbQ7}V(IHF5-J;ejo}jDO16}>5f9=12@KLwBiX(1&1cO zT@&1b)BwqCbe}C&1qV{TD#(&52(nrUYPJi67R@=*?wTN;V0Le_>kd4;jiA`wDv7Zi zIxBIvmhSC%*^-JjNzmGIehAt{fbs3EnS10mgyQn(>k`+LMQ}Z$Rc+0UcC|cI3yNCc zxui(M9ID}MNc2@|C|_LTqijo~_4RN3lOE+J81?m3hkNQ;^A5jz8uf7=m_%^SA;=L| z*_xTlsE_j#HRXw(%=$#qL|5(g`+lpMq9qfv4U zr;i88fra5VXxI-0$uPk&oOY6?`C80+3cP97i#rTFoRKtvYKJ~ol8kr9Nt)(c$*IQM zsHA}oxBXx|m)YEpW6%F)Emp2s3S4Y4+hVl=F6}`rqacljn zexTV(%!NQK9%o)u%%|5}VHE+9jF3oqoB|}0+io^{kWj!J&!I|uXhhpj{x`1|@XcTH z<^S|)0-QP|ZofenVh+V)aKA_JDnJGdhAHi)9%#nk9z_bw#$@ceSWB8dM!6}tUvgRv z1y@T+(?`J=+;`oafHcOqI!!YQ#^4?kG!cQTU}-n?bEFsGvG9tcL9ya~**nJ++@qF& zixI&x;l)?X!Toy6`YQ-rZl4<)uRalBC&%; zA^j>wK{bL@k0w|F9RP%hnuse>J(}Pi4dggStcV$!%v44}sz(z;cy(kwW>Z)ErH410o%$GOpMa9#R(+^=Oi)Nm4x$I;I2H;z>%7WRja|7M2)% z)?ALLN0Y2*VH7?kjqGcVQje-n`qfF*m?f!sT^dsKXVI58MiJ&IQ=WDJY_w`};s<+% zjd6*mb17kUh+?=M!WO>8VN((s7R3Ot5ngftHeh^giZKBji1^?`Y>oJzf)P_+#V)Ioj?=<7=4p>c9`p1f=mbK}27`V8E>HF&nT2x6}BVAn5Tq6A)nr z7&#R!S-vWfiwPd*#GvstNgpu`_6*IC*^(zss#>X`vWb3k061Zml7HQP$tT@!2>K}B zTpE?93txg1BQ7|ws0fdd@spu+h%d~D+F!W56CnH=0pSAq243py2%w#A6gteZ~i2fju6-YTX_KbN+Vw%bj=%z0XWAxFQ%W8U*I zGJfbr7np3m; zM&t2`z^^xuvzz$!u9064WsLChql|%H7hsFpcM9McGVX7lAmmSc{JVbg15VvO43sTX zKG=5(po$bE3-$~-1*sWX2d1}^ZUuf4p_ueC4c$qdDOj2<8fDnt5e22LWGgD@28TwK zq7|g#w-v#D%0W%6Dx=nd6(e4hir)mm0T0G@5nM@CISTULo8YzsQ9Uy$dtgO(~2#L8A)IooHl9>zbw-ilPr;tZaMW zDGV&WsXtE*M6r1#Lr~tE6JgGT*&WX#j&7G%FW>vZ`(`gc|LUP&=w{$ zIjf?x&oP=i4m}aL1o$nE5@B@n#ZEyG z^Nn1L+r>X~?2ss<2&+XJiN+}>Q4 zq~R<01>6pXwDBuwNzd{taK15_PQN0hw*`k5?Rt~m=G*M2qduzHb%cN6Wk>jjU$+b4 zAAE?ZQ|I-2_b)G`!xTv^5LCW=mpY|z01Vf)1C&Bcu{YCKKmUO3v zT`}{)Ng418f|DTrx;Y6Pg#b4|lfp8CCA`@18N#8=h~i1<1@5oaw%5Wz zrD1}bC1|ij5Y1?sYVZ~5B2>WzssP$DwgSf$<6{@3CaHorw3LaFa}dk#f+x*iH5zK& zn&wwAB2|PR?7zmm=-y8Z*wVt^eid{-i~J1mVE{o2F{vg!T;0PV0jA1>SlGi9gKC;0 z$)}*P4Y~pKOu22Xk%avl^CCgIAD%II%59&3NRe;@$*-DQr+rVkZ3v){6^_qsw`X)4 zeM^5Fw{D2(u2SPHkFAG)5+Yhw_NCH@+DmPZP0Nm3zD>(ArTFRp!9V`>-+T_Zr8j>$ z2N$G_g^D>fZl;bYz3oE;weAzIYrnnSu)x`UHOt$coe>-4!pbT6Q&vQV2kooRri!lO%IN zCJDNQB~&Xh?Fv;-k}4F=%C(cst91Q$q>%F*}zA)r#@w4jKl^w&pjrN zr`vN<$psmfsY7d%dP|cRI z(7wkMPN&Z6M-_h3XEUd2Ho^UJN$zpNPe2nR`muaf@;)XAun0>oa3V0*p~Y*+4K6SU zFpn#=0&1twB>0L6ezGLs1uG)?(LQe=Kk^6lwYz)|gi<%?4z$mbu1}%Hn$rX?X*03kWqnT*R z3qgL9=nyU*q>>UgPnDo92p97sR|aw=z8Mvn|E|2!Lw`0+IGr({VWE=;&AnxQs^!;a~fBC{CkD zf^7g#1w9O8CtKhe3R(3fnxV5zd)D{eUecHTAU*f2jG`zPINRWDl&B1DTl2_4GCc4z zR6jBBiaMd|06ELou2rgXo`e_TiXgebxTsb#Jn%E5l{ex7?m-CMlMMCeS+@oXTh_$u z){~6)2m=_lk_E?=^?+`Iim_|=UrD-+nkx!Q3D>^UR~T-Xs2cx0&wMd2^NWd1^RDWP ziGMrvVqW5HG)L*giwV*6Vsbmam^ey&F}Zo-#e_(FF}WFDOst<9_K&=nFIePjZ>0Ub zb!W8zd@IC)tJRFbiQ}|ZqOLs_Ty19RorCsawz~DqYCZ#LSKL7!xU@qI7gyWaDgv`s z>)9#=uMohC753-0`#Y=sY!!p`RX1Cub|?ts{kiS?uW`OK4F)V*?PhCy?-vY#w7+co z{`kSta?9B|#?tHcY@KoeYk8;ra@O5!y$Q!(FJ|l19|eJYd~W;k*Eru;z+P`=>lD00 zAn(s@-+wcotr02J>tAnYn{@pUNc+on*dON`5d-V}Y?BK38&QmY!Lsf9ulYRrraoRZ zd!WCTZ9e_fCO8YX?qG|0+u+pL#BW_UvD79`^|^z^HekoAaO*oq50>23m+rm%a%MsH z3dDw89NLxFQEsQA9d3Q1-xa3C-u2&p!#{kdBkeSZvxIuhlB{;bp(9rcFnrFE zDD=MyZb8x}=@d+CU_w16b7E052g!69rrnyFIjp*zqyi>I?i zG}0WJw#NiJ4CR3HgcaA@9)N&K)&voD0J0~Z+kVgdS-copqbdkKP7TsHbE*+cHFsQV znf%AO8%gq{L!@y-NjKH7W%vXCwfg?<`&dY#OFe-IH*;{xUsw(P?>+O0N8uR+KW8EA z+J12HU(6yuY958DKs^ey5w4)xnk5CpW|B_&3KFIExY_Oll=46+ z3uHMU-D?=}ucs3;L6!&dD%;Q}p3%|DTRRp5ImHuDdf?Y%>VjDQl)6BZTuL3tw#WKN z2BH$+sR#D>rPPIH3q5rp+rB?iO?0Je>H<(X35%I21rJ`w0UsvM=+SvbMeUrpMa&FDlJtpP z=1@Z=Q(WWG{h#~NJO9FS=b-z0a2eWOi@A?dqQy+Z#z>XX25=PJf0Pm}W*YXuj7VO9 z$Q7Etm=}nd1|*|d`jIvODZWI(m}zicNAMN1N)rWRrlImW0$*PyVU!<)9tYK?{XS0Y zxLZisDK44N{Z8x%$zJw?iQBs77H*7YPWtqd34pWT>wH_VK2a*ZGc+oP|#IAjG?nDi=`x0Vs)iPT>sr((PB{k+*_d6FBse6?g zQuRn4bjCwt-h-doCB8Gi#Qrv?(rh+9gJn_dFS02u^ibLXg9&b-gS~z~_z=nFY8^G$ z{Kn=!Y`@>b@(2K)geS{=4me5Y_&nlIyU(CMPxTqF36ChW0)&@a*kkIqAi;+>6gJ1Y z7v)huVY`^uejK(-YaCQSwcK&wOoy}Uwc?@m4&V1^ik*#xmbK5tjWL~R*G?HQ?iNk% z#m!I#yzw*ZFMiSSy$V*a#7luL-1cFg#eO;9L#lG2ZYbT8_PmBR=lVl)ab zUzNm*32r!@MV$dd(TnYoZy{(0e`5r?IGQ5KBg{=rXNLU(-?A#Inz9Kb{33iJm zVY`U`7iza7=8En`6$JiQ5GZI~kP$jjP}~cX#0XD4Hs)?h`$CeG(y?6*xEXM8QZlV% zh)$9Wbz$WEIXs8ggN{HtBJnp@EWWL2gayReWmL;(SHSJ7LB6UnMl!)apGO%`d;*8* zHkLUY6)r&W1zcMG;c}Q1$V|We9a`D{jZ#P(SV6^1zx{;^&~`6OlmYTy2N#Ch!RFH$ z4V&`LnhWq`Q3i}~Drko6y?1jvn6qdt7R$yTeCAu8y7aO!ynJMxmr!}~LdzE1!wuC9 zvnc@JX%wJ>RLfG2DuPhP8YltxCMehaZ5NcgVuH)LD$x$OyLLqZEScaM=uzqtByvh~ zv#%(3t_bcH$YjbnlIcz24;K_|TLe+i)~R7=Ljc(s0~Hq(ZEJ@HxL0c6Kqz8pS{4*- zTLgi(Bie9{2{_7y7FSelTO`r^ z(N-QOQN@VDF^yFJ!PSszxS>k3t~#7yjSCJe+B@hW89xt79L=J_zNB6-RgWDYg5VDYM2+=aINQ4p(D9?(kqn3(HsM|cEVGMFsL?+#8zCRgQ5@JyCu73O` zZ7K#is6+XAOdZ}qjE^Mr`B~KR9G}ogQYLg*hbxg+XD93=@yAK`jg`XHgeq>0%RX2Q!$nZ+xR|#X5x({f>wwvS7;6RWcPq zyc)rrMFj&WE%bJv-!WxTE162SYzEKdHb$o@0dlK7$CO1imJ);$rKzS|XD^GoS+Q4! z?ScItGG$RKO9_TK_fTb0U$&nTJ(PsxOFII6@Ge+ z&tRdG{hhL?&#=_|$&f`I8&8#2{Dk+?nnuP`+uyYNjWaKfX{FNCx*;jh?SpIwYTZDv z$fWp)BFVrMBfuD#I7+=?D^|76iKCiwDPNWBl?iUQz_?001qSXGY(lkO1<~&$DI|0z z6$(vXk!rmPV)lXwLL+5p6XPipbncC%Hgm_Trdl^a=iXT1hiX=oc&bWP@(nPA&`8B4 zGi<1`Z=mC=z3v1XV&x9M5E>Qm+qXZZsC7Gfo!;85*wEe_J>DL6p-FKJ$-_PriDuW< ztka^wYhE&9<*TcKY=UYI1E9mdMqG_5uWk{z20WY@3S&kqO7Gkij! zPT}BV36OM@-cGJdNJ~hEO>+T8!GO$$kTAyo+R+oniJEKpiH@ z%O)nXBVbg&q2>32WV|~_qN5FoIc*f*m<9F=IvKh#mJxG&c{r~t*4+h96~1&iSp7Dt z(l0Et>plY~u&PTignkjZ=KpI~ZoY0dDSbT0JC(eypC#KK>+534F6&Ju|H);^9(Ri- zlRw@oI|oPm2mkrIAN`$=o&wY3TfjKls5P2=4{z6N$wj)EQkHD9d?C8s_XLy4x1Ja@ z7Z*k+(>kLJpV$etJXfW_9Ak+N+cvd`*NE&q9Jo#tn5h*-ftp^#lx?qC(hc-2t+`tb z^XaEz!xOT-DA>-OrUJqko%P5<+Oa9ftLbK@Apfh+`*rX5mCnMZxl9Wstaz~<%LK4l zZwbI)2@1F`IIFRC5la{7@7D+cG+(`PNUSU&)Br0I++V=dg&rxc7%NL17~r(11+*GN zi6|H=OK65;6odK-PqGnYC}CH<1NZ|zIt7LV-k5^st7y&}AEFEJ&NR=f= z4h~Qtq{>nUT!Lch!Pu{Wl5%3KfTi2B1!kJz3Gn&N;DM96R~6#L0}DAWYVbier}FJ( z{bYmQscVDEzIae`jre%!&^&Ui6;`J}w`K=T6{U*@Xx`(xc2J8>>h?|3@*mXL_`SnZ zJ_8{sx>WF}Vcceq#zN@V%8izVh&%i5I-ZM~&)lc@G;or)8 z2D!nEKK|X_Gky&38TPgJj2{cFp}aYejPDsY!+Qql^qz71rr)z89BJqiMaskxPs*7V z5LdmvQ)vbD9niTUH@!~F1j`!0)9zi!w)+!3jy3RJJyMP}oNpvGBgb0ujzF50&!5|V z{`kS-CMnnORMs9EP=J9mE&P7qKugOhiJI>KN;hzh#U1$mh2IZ5qyx4bYpX}gu?9}G zHK8%aF$az{aIVGk=MNOmzxRX1%hAWn+{-)qYt>DB3j#OmA>y~-W3$b|pjMQHFsM~w zv=o6stqOBf`lsv4%H(F7$&sw&SCu%+nYdV0saS_9X#F;)mB3J zuC-f;yH5OQuiUL&P<~gKed*u5qj!b7O#Enbon*(yic!&Le)iix_Ip30^0{dLi$z7X z8)mTwEStI(xb)nQlG}>*bu>E2FckDq)_~tF%CK~}@J)v^0+z)6suWmUkiLi=dS6GQ zgTJ0$OKh5{F2&$1P2Rk!TGu+Dl*BfQD~#M zQ!p;&E53`P13Vx@5UWCsD7HCb?8g-ewNoTYCi#0*pztG!MNAue1OuF1~E>6#)aJ1Abd9dvv zTSir$P~|iBy0(29A<7A`ecSJhY*TU_bwa7Tp0XWWw}+jZ-uTL#o1R=B@ePCP)A)+; zW`O^9*NLt(d?Baj?fKgDS;F}@?c>r`WG>7d#DY+^=jYzaOX-Gju-}PZMQWl>F4Hgt z3~i@r&=bqIbrD*b_x|apS;qK<93x816T1`Z+b?V5WdXt@o| zL&S?>wWZVsRty0zV*EL)^F(#8zyOiM?U0jzXKN!e!4K66Qiauvsp+pBz>Lj`)L15N-Ge0y(yf)n4cA><)e;z|GLDnrrqIsn$&pZ7>VP zC}Hdco!EG2s`V(?}-CN7svE1S35ljMpMHdFT+Bus@#^cim7> zKqIUlo}IKQ>nIh6;5y27*dON`vun5f?EGJ3H>N?`bx>DJ;Je((# zK+koY==~lYz2CT7)Vkjj68UINX^v2;-joz$ZWmZ6ALvvYPS8&zg*A0_;;Cdi! zb$;GuoCiG_&+&lKYiD&BcZ(+DIsO)%gXvxU<&S;dYtHJRo=iVb>~wG^EtDpXEMz(q zDDL-V__7PeKoP`o%+}$RMtG-5D;JD`BAQAIBR`sUHBsn-F;E2K6Gw3(tXttz6aU3P zaf_xL!Ig1j=dnN$NF;W>fct2LcG+e{8qabf1o&t~iE)%AQRwF=L4ir47{X(3fU(kE zqZp)0G-Z;=QtG@O6u1f^DXdA=StSw0qjE)xCh$Wwrz!bAlSG3P>ua0a-F~s_Nygi= zLH1UDqi%)P=d|Y8F>(!8)oK?Akhuf$caSq*CHlR+XFCYhKe-O7TRq<#KwGtCz(;5! zT8U7D$!w{bU@{Y1EL?)KY%J;%;9?3+392dQa4RW=zwgiTQ3~N9kboz$?M5BNAcWju zf0Ub&k8`4WBoV$Zr$3K}&{A7E-wP-&z_}sx*y_u;dUQH{_eMbw|7*iDLfpy z43t0yLzkhV%5dm1u;Os&GEgfS4qXO{5W}I%Kn-Frbb%)7l^}*gm-8zSRW%rHv8_H# zp$mX$1C*Up=ztsnSfAOFU8oXWR&IksB>u#(HMQE7QO zb|5>Fl$Onz$Mp85(x`)`$`zpie54g3Dy^fVAfwXqvh6_ALR4BCtJ?N^7M^=HDy^fb zo>VeElIuC?idEMueNY$1fidQSQON$&e~Vz4!7_x3WvI0Qt>wGHVMSLhUif}I%}}`* zaJ-~c;eLo#CZglTXE2rmT2Bq;`cc*%dX67lopX{a%$ES{UlGG7Rh&i2DUgETc*)OW z8g3fe`0DeQuibn7-u=r9LE-4Ifrt9^@<&s%0{D#x(U| z*L0c-GFU%CH(I!LD`BXH_bsE7xv!j9-51lU56`YXJfM2ac!zV+FPHEB=RPH*Uk(HS zJ2qq?Su(`%m~1IJc_ga>jA)^hE=I9{e9c6niq8%%WOG5ce++)L1<+PnlXf74Zi^hz zmKK^e_8axPouVzpC?s}WQn25`lx!&|V?>aFLfdH-ZE0=$2K)-dp3-Uq5HpAOw(u(e z9YNy0G2b}$eyB|`3;^hG^2<)cPkq$Pj~yE;x*Aji*x2 z*B5O*Q6d<2dtL4CKqN8^4>+EYk)l#C?0jis=n}$xR9%q1>Acgt*+g;%q?_lbU&^a; zKye%5saJ%#`AFtnzxD_I?Z4rXjDmD6{bD%!I*j!h+z?P+EfIbyU7aGA2l*ml!8ZJHW|C_s zRAWFBhgWgY-5R0ss3q+sJy)Slkg!Jw2`dWf#4Tc6k{Ui9(JgwjhgBc=(Qo^oe%j&B zN=;*iy|O?p04^3QgEm679Y*e?Rc#a8R~F07TKHcguM`ct2?lb?U2ohu4Z8`}_7_k| zO2s&?NW*S|mF!}_1sgT&+upu{^FhOIf*t8Qz zkzrL8+>)|`f*6lV!yZ?Z6lIm%OQc50KFg<6wN26~G`ppg{nMHZt4uO*Xzpc-Y?5SH zRV7iwk`Q&XF~eTIV)z$t&j!>TDha1W-Kij%?vImb(z|J{J>DQE(OlvdwUX%$A^WHR zbx?@()=al(iJ1@LC}BWF`(TQJv}=dYrBfn^esAx(z^_blzgZG+&wDfn1R-A$tZeu_ zS4;j%d_b0qe4ZyAC3R`MqsQaVMQOA=(9okhTF>R1t}oN#SNn@dt94AI?J^{&dxiG4 zuM(a}n))Q9F2R$M?chm0Y+vPqeU!ly5{i#-!XPzCo}6s^@mDzC2<}H4CNo;0FH`V< z?g?Uth<+4q9bZm=9!pR^{ge=(*xP&uTX55q!veGv%_jCm7Uq1nt1N%Qre8UZ9k+bz zGO!}|x&PQlUb+8Sl`23NYR%mS^iC3W(&T7(YQI-DcXe(#4MbJ|N)=FcBY`mSMC_zt zWS4bHRHq&K{!4Gps?0R?Lq}~2a|eY7-Gi*-aV};|@5aTDiy1Bc>Ch*YRLw%E(jg<5 zWT9*`M0E1+l(+u|(pC0VUAB?2WfNTaA`OiJiI^qNiu7f723?UKkmqnLeWqiJ%5M+W z7tnL@*9PyDX!__}1Xo~&Ck9>$1c7uDp6VzVU4dCQUun`7qgQ+0MRWx~4F-=!%nZ(= zeRw3sucwJ-F&rKDdb>k1IzMI-EnDTu9g$h4ltQUK$a2)00iaF#V) z!30JuKN-nVUIPNznseLDF9^mE54bk~3wsv97=Ez0oHq8EHNT^-jBUcW2O9xvj#7O{ zQezXpb+z?aYPAiN(5|Htt-G=oee0Fy z-yciR&%gg75U(FAPjf$e(6C6mTm9CGYR07O+-o%Aw@Z5Xy@}vU-R0nQwQXBoddv1!DzeTDvfFlAlVOUWJ#?&~fU6Uo?et~A;L#RF=v|fdZ==M4(mJah zOERz?t)MKBw~!^5l59wIkyt^&&!;5FsGvv+AxTQ4RW2Mwa}MI96Nmw7%`cQIDB8!! zS_`Y|GywJ!o%F8Mt(`|lJJhh{+skF3Z8}v%5tmmNjWnP}0_q;Ie^Tk_Z)I;Q`&tj> zX_*GXt>-U=pIiRUr}FhP*IGaU@;1Eez4A57D_5ykm#5kdNdg+V3-EYy_p~5lLKTE2 z=&1UDr86BiMQ;k!+PGWP=1QiH`|Ihr|4)AGvMzHVQI@u*{c~oQg?qjM@gUy)yd1Bu`psrjFDOH(mVx#kr7i zT@D?5$xzqz9$+e$n`i$-f%u<)Q7{?a{hS49>8&qgC(N#B>s8r;Vl5Q~z{`6pM#GE# zDB85DB+{Xi;g!K#u&3KOhUPTqm<%t7^ev|rO%=fMa-==T_|`iC7031qsxTAW?7(s^ zf|%jec3)71nP6n=DkaSF6`~Qw4kmcCag{2}1c6)tYK-TI#?`imhAPYiF*2y67E7`+ zUJO;33GP6KB8V#t`|4daV+RvNhpSdu(WKI&^%50klIRCSQV+@wYZv;=@)a&yVh58% z$yAH^d%&nP6bh2`22B#K65w;+QIl(u*)} z<8D!F-lp{8^`sYH_~ozti=Xk<>LREs(~EMJYuIrKUY0UdCI&Al%F(%ojB+{vTMtJ$ zTcr4;1lV<5FAo{hiyhEz0zT_7kho0-#wcfxDSYCcV8mW8Zi-P34#*KXge>;YF-AEc zK~sym-K~nhV42Qtw-&}Qya%k>hq(xF1qx^?FX?m;01cJ@dhIztf zl(78aMV`juyjC7c#Ah>ZZ|r%37%(8+x$|$PujtzH)>Yv$sOii zsv8+3!-G7-vq2mjW9I%tq6tb{Q%6rqbd4E6`H`2FBs{s0 zAq9ZWpy~A?#lOXIK^Le(t0R{mQb6+zoX(FYXH;I-12|@#-a~o>=-1|rr*S~%jRR_N zcZ#D6g#rNKQr?`CFJq#eK)b{%BiOb8+m~(6tYc6n;A~!*;AGFlX@6ppW;;4_g-Mdg z+&kQZaD54M@o8Wpltkx*WQp!ZvISyj*wRR`oouTFuPp@R`YKle(Z+$f?JHdi-x!G_%4FX!MTp|x3IY9y_NtRZv;&2SE{WVpcJD^n8qvp8+($l`Ue|Pjk2%(H;`LvxbXtcU zbHDQKq4KGH-6QG%y1cGe`wiqA?Rfa!PR#zgaT)qVsblGg#w&u`!eK6aptQZiT}?;^ z@W2dtJ(I-jvF5faD$RTyr2Uci$CI*9qJp$$sA9~vTTs)8<&c zY4lL6IN}j&f-oT;{brt2cuSQ?Mh0@rlf!>4PZpe`me7FzG+gK}J6jJ#eYUZOJ*imQ zaC!cu@*l7Gq)x6;FwNIHDRFX*Zr(LQ2^3mpD;t|JA#1+PL8njpF<0cv2H z2>A~+^)5)?#RPX~#h3aR#^9CGpbOGCVce}{Hgr6;lrO6!im-5nD7h>FLs*jvuuATcK2ym>e_8_rr7F3Su@{0jEn$tV zdD6X7m%0=s>DjpZf>u)Xd@LX8ynVWtWtuF{)Og-1^tft~dg@09AVf6C)L8l?5Jr{9 z){_sZPas;8ga(;Pj*pHoYTPZN8GanMDEI>A2^@Hs`tAUCc+z=z-}PI5@!j9+s3g4^ zty$l}2CKCm7=s%P2Y4M(q@Y>vQ2A>tVKVEeB$o0E;Ej!7H0yvv5rK&n1?I@yg_)w~ zo{eT5c?nWAz-FDAmy1dYRz!{H#Jt=s%2LJt!>?)1F`D)5a>sGP;wT8gQ9Q?F)Y z5|TIowA%SLHY8{v) zlqqS=cz@8kxv}%?O~{grQMp}6Xdm^B-fJvgqi2yUWG~0a{7Vr05>S$FG z6YteKJb$FIXI-p-Td0~)7gJB8@2&)2=0au&Z3L#@koHjC{>GuHz6L7@4lyWmcl#X z$47f4x^zRe2Lh?uBimtr85b4y2f!&!KnBl5-k;lHe-@L%CTl@nlfkbAl8f`(Lds)) zz~D^YOXdK0ENvmp`LPkyWXNANnc|CSrzMph~3Hm#dGYVmO?cJ zCUHaC$c~gg#v~L|2;JmW!zt-N1<{sG3Cg@Ry2l?1y_P1G7Htjq6 zJ0#=(MyXIXocb~Ez_UoOZFnBn-j5kj%;7Btidmc%{dPQxxje|{8s&tQ7;Xinm3W8- zlMjB?U;JI4JE2F}lFzo9s0=6cXw{6z6qS8ve_e6;C;QI+`Zq(LC>0c*MHu$idU5QX zn$V-Lt`mB+KCFixDSP<%_o_0!UwKF;h=d2Qq-l)tJi_I(Xc{Fy5SD9L8z=PW4WLKG zOWzno!kwOVa(b{usHq4OOo~lFP3z|4Lrf$GsSlrs*^aA$Nx$(ozw$+I@d~!uoHkfY z1A>!J@b4Bjw$mV+#|u zrrnX^E#7ykB=+QUS}&fds1#xftlfW zIX8-oIXYoIw@HqllpB?lddRKHb~rxH7tP)k36EU;S(H6e0SU|H+$er53_5acrI}^T zI3Pp|-`;qLHdTEeUDek-etWy}OjA?#Q{MDu@}}SN)1UXDFLzU@No+HPUR)Ct5R#Vw zbG0SNSXbO3Em(@VBwx*SMd~tJ5z{}(^B^_a<6y280i6^CMysupQoGrTz)6AcP0>%i zbBtiF4SH8$u31yW9K7ZnX$tF#i@gHd!7$N-u%)Um*3nEX@}ez-EmeItD-g%()yx}w z*ywDin5Wjfs@XkEAL}-)?ryf%m$KZah?I1wwM+C`DF8oj79Cu9NJf{=6-ayg+e##C z?8K&Xs^m&L8q6v^_pm9xsu0fDnBt&HU>WJ+mCO%OZ{pq52p5wmvzq@u}n zK?ap3xJ9Rv)C$s)nji+)X@?>l{T^izEvX4^fJYMBjNx}Obvz!Lmed46R;x`~ zdkme^=(Bu=HQ`u?0rGqw=ZDbl_ch`p( z@}u#jLpVH8vuwg!jaLKqMu`_feA))22x!jytNww8LZQwa|F#40$Kt8 z#Qh};<|o{6z=Asf0l4qaAQcZ66wE{hIqE*N!_O^r)~78x-We&#iudACyvsyxMV=-P4UT-5TA@wH0gSTXJvwYFfQl)D~ExgYz$xBSf?^7uptMw&e><0Co7 zlnE*fVgmG@mL<-drte~c$avBBUqDbtTSP(HQxn|5RzZ-Xoq#HAR-`>O!5SKEHpmV( zg0!b5i1tp>n9$Ga3OPyn3eg1ZsR?#y$3T)1hhA@m11z2+?WqZ3?iCv+Ff_B9BR80@ zn(>JVqPtufz;KQdA;cBMo|+^&Mm2A_0qaV8*-{oVNqB?Aabido*!Oyp^gm6~N5g{b zLg!SKuZW(BcW2|XVfO$*WTd{jIN{;pr z)auWr?x&wmbXb_Xz`qAvhA84bFL(QMQV#Y(3aM@RNP8)zPFL5eWD1|K)kpPQ5 zAe$8BNZb;l9BzmGWwM8#Khc({YFU2ZB$8n=9F85t#Y|Iz#Z)ereN1Wf_<>o+U5rQy}x5(=KnGSnL-bBJWq zx}elS5Ty}F`Kn}{s$gx#7e1rBBJaKlZkC8@s9MpCUxpX>im86Hmcf1~%hVA_F33A< zD`F6Xaw~#FsHveS)YAlUkLOUV2$>f<8B-Wr*HZlkqYV@sv7}MU7t_>ltQ~&Ek%3Wi zv z*OHSA>W(j{caXu(0~UY21}ksHO=+-k%MWR=UwZGe-}QdiV28k1M;dbE2U48K@je^+ zr3G#PvrGcl;HRrx9#&?ygefhjrMK0^&wS3K4}Lk(tvN4sa}mzvf_xz6NM1jVLjqnfzqfzTlPz9NTGM>?> zI#B~eMbU}sU?)()BDQ5QZ;1+`6NMO##XuEoVKr1ic8=gXVE-NjQ8jE=j80T_%P{w< z$Kx>ML~+SJu0}#*J2;Af#W9Jy0I)#=V8xG zJ6n9OV_W1DT=784A&k+8#QZ=}Hrb@svGApJb+uB@h>V)J$(GNg$?P^h_$z5!Iwt zl0f`C?bReR?Z)@&SrN65-s3MWzZ%Bn72_4%d($FeV1xBw_{M{c)?WKJ{%MSiEtz z%_))@A8an>x0H9znCD-;clq8Io`3Ou7jNAEy4PhLfpO8UFW&yHU-M~>#nGG5+V#pU z#?is8If{0Dvn1a)N*Q3-D)i)LV{)$m20Jr7h%Wyv=rS9#7414_F~X(5if9*ajcc^) zn>Bg_1c|!aHjsJ6;*=9X4Yq)GHfR+fzI10M5>868>$n6d#sb{~1Wh)r(XQ_|82!w1 z-0znqIp|lN>^kNw?G-SD3GEv51j*Pd(1CAMQLwPq`6oYVtu@>TC|C^zu2kREwc0T< zM~BGtM#%U88N;`DOm*eqF&4rMpjxI-=K4b!g|W!g<=~%Rm9MNk^e1$FFTprA%o(?Q zYf`8VzvRQ4uR5LBSyd3rx|dx!kl5ic`J9GHi5+c1Mxh3}q|Jro#LjA`r5`eLG%LpX z3wV_|i3>$#1fgy6DJFJyo0aNKKorZ^&k`jTb!b_bZh^Ug0cQWYD+=8 zU{c+xJ;z*sS!3p?lyunV)Lc!I*jaLt2EjYv4@r`v)uC#^YfbD}9;RqXo4aMjm7wM@ zb<2e9ucveTlYM7@{Tu(J*O3!DlQx|1@zAa3&`6Jfz41+y*!kOE^P~ULZ*{VLfUN<$ zHV06*i2Lo39R|i4Dnf``cR*WHa9$ESCWsD5`b-eq?8O0$g4B3baK$$ssUi;9R-m)5 zNR4NLaH)_+=89ldPY3ni|gpF$SD7bl_4#*-|Svq>8ZDxn;W3{;HVifk1lI8 z?iRH!{#5_Ip8EGMegD0G^Vhl4pz)SD4JG<=M*7{lpSnp|qxDuz9Y~wd0+bUiA=(7? z7=#c~U)n9p0Ge_zSkIU>@;nrRmfSENnA5%9^fCX#>B!If%J>Go{VvM%R|#)Q3~*l-_VY|(S3S;fga)$0X~c_rA^>+fEfc>V(5~RHl)}kg-i+VinAdC z_w`80Cz?q+Y!f3Nigf&~=C_nSBAzfG8*eN?TNhfR{QTJ_c1~NDkWKn>`tw*@)*VuD zbfphV5?JBAmM*RO?f5RN@^VZbLaiv=!r+@XW>#@{0;b@MyG4_Sa5HtKPkr|fe%2RW zpNDYF@JADL)SgEV0RF)v1eL5K_B?qA$IN;3 z5Ksd;VtI*>GaJ1*YQj>T$12xDgBaV5vD4#|Arim zdL0~$+^iXI&mISrUmbKYWdM+^Iod;bya@wKD%fB3HLR{xwo-CoC|%Ix1)9w!O)ya5 znjD13X^CNbw(6lr_dU)R_edh1(E${oLk2#`VJLn8iU%OBjm|aI( zyhRVLCJM?|FiDc=w%*(2oMYuFXr1{kNP|>YTqDs`30dj>h zC~cezN*SUE!fK)fz!fnPL4#lylrn^^xDiG))KkF;pv6xYlrn?~t`WiQ&j`$&+@N)=BMLKT7xSO1qkkSkTyeWT0-g z)&4f-Q8w*6`+HRx-;XN=PDy*Y?C(S$a3k~q0ap@UV1(sDE}-9zH?!q&KcE?n!T-v* zl5xwoAtiAoU;MRS_*Xvj6wHpJrBRqfsIdb(d*eq(hx;gcF8bR?(Q_#r+HE*ylr=>B z9}XQsaWNbM#El#T$Q$kfIB(7|hC>^4>k5Evk3?u2V8w9gC~z-^Lq~yoDPh{7p$K+@ zOhNmigDuQx!l4d4z@l3r*svgvQiN3($p_VP!=1W)4OsrA$6l}hJ_NawZ!WEp>4&_$ zMs!I}A(rq1wQ2tEJ@7#EFBIYgw7W*29RTs+9TyFVkvygW*?n-OUf%NH-;_!mxBQSw zynF8-e)IP|dXMj@BNsisqmEqk_`n^&$;p>C|rL`hfzPdF^(W&ZjkFP2|{leWn-CiZM zoBrDw1sPXD{>eN&`|IEMC;QI+`Zq(LC>1=uR?$r!-;H>D=VRx_xm}OJtNWop|4mQ- zOi*Ei*tzXecuN3a14pR3Rt3CZL95}o3}lB;Q#z+3E=_{gBDn7s%*=8{G+T?a<|~Q{ zD}p;9<}?i6FB)u?mch$zU>ijS*^=D!JmaB7g_RWniDO_5_iwQfg%bruh1sFk?Ea=8 z0D&!RPl8sv7*LHWehgjS^b_NXs=|sSz}4rwRTWBhwn8Q-tyyH?Ll4qYvr-^8T%E9g6Y;BU%hD=+qQU}y+a7TwhK(7NKX*-5J5;F3B|CoXvaE4+kmO!^LPV8jZe=M8M3RLkg8YmJc< z_3Sp5x+fJlnMUJpc~Xap#(Pj2&~&3D|S)e z9@4pfjG|9Xe-^!ppSuRgz_moWVjxjYOi2|2W(UHs<$NE3G+^+APw#}CvhB#19zQbx zu;&ndYSztN zJ~hF8w_+D6RL~q#uH@DB6{$~6(Ansbq-zDKPfake(J!Si6Aw*&YJzZa)!PHH2a-wD zDt>6{Qxin2topQD;puAx`4UvY)q=oGbcQW}WNZY*e>BN%zAY_rARSTb;KvqyYLZTG z->rqOIi98HQ4&)cj^F!-7H-HjDQKht&UZ8m&~`!z2_G%ZHZS4*`aJ zbBO_%zE@n8pZ%MmPn0;GpvDW0U$Evcv?3S$bq)U9Ux$O8BNBMM32CprooR=N)Yk|m z98etoctDAs!~Wb3=Bf>FWFSl2|3rX;^Cfl_5!QQVt6R^o!|$9<2XXVl=}2sB){_Ii z5iSKt0>3WLr!9gMQmRnChB{_V7sP&M4 zEAXAr(slc7585U zpDN|En#g7CjET>P-;9;=*?xo3dQ6dabLj3?(jU>q3=k;orQqbc-kM@s^p4N=yN$w( z05a_#Jv_fNko6$rAa(lnq6K?O>{p(E84*hYUBd8!(w|`u2a>+w37(bbjUyI1sTcaS zpZOSF=En$|@iD^Y084}4G5Iv+CadFw=O&E2!{YHVx@;aJZa>Up^um!8#YD>RP^1h? zVPSBeZH1(AN@_0~pKrCCvAA8GJhWUu^9Lsp0x1hF+wRq^)-$}mzW-`5!wVJnm+F!` zsn{VpsjF@Vog4OF%~okjAO!O9xgCy=^Nn8lYBO7<{@qpMB+It%j~^^92Ok$lt4^x^ zT6GiOt}s>*r0l!*bZ}DlvlXVx+2#u0BFseF_?+MNH{;4HxevB-B3pau-peoN76k{> zGI3sO9#zmfK~KrmzKDL`f;Kxys{=psIMOT8JI0}1>#Sn#s;wAoQmu!~U8=9#yNuu6 zyL7tDW6iCyn_Vq7!d^U4NrL$iL-PkaKVW(4B^^wc#a@!pcZI2*F?VHHuAcDFPs?3O zFA9HH?MAsZ>aI|_w4&&)yhZx!)_PhUIM|i6#Zrxb;lH`1#8w-b| z@hyTI0M8IGJqn`J1z4Z#u88(3zGMO9NT*AK>uZL`fjCfbHtck1-J0meVqgRdDp+xj zs!wz1=*I4W0Foj)D{}3MqO;83?Us9iW1~w|Xs6u&NKP94Ve$bIq=>3cbLi-#v1_;Z z+899GbG?F-hKb8-lCw%vOlkBDZc=d4ZiBZ_M^>?Yrv1&eHeN0W9F1UUlr@dMKCBsU z4;T>26)oq5T`@=oCym^(Ww_r0J-Y3+#~Xy<9=wzIl{WM$^RuDc2lm5*tqte}NaE+p zk|!NMb>+_>atJW>lFNUG+x?XV(xnuc<9+G~v!|6V1 zi7HHUwq7AHp-l!$OYXtBK+QZk2W?HADXX(;>JK~fSQ=K{o8ipk<#ARfOf_=P@Va4iN_XtJL)M5qA@pdLZ5DSj@imwbxuKna^Q| zh9=5j)4t>2@)V8B(++&aZ`gkP?QgB=3{C&Fr2wZXINj+}XbJ{+vZ-iFdJ)s+3I*X1 zPj)N?R3f9zoP^oDmt_sW38-dl#Y$uZAbh6)AQ$8#VuDUO1U#zhO&5%%fXX=p6p{>o zj)&$`VkY)Od<=M)lVhWYXEbBb@{ zbIQ%|oMJ(62V_J-x+Sh1+o9qDCr2s+;1`H-A)x02(4IsCJ#d=r+imBUp zggl)A19~+7ArZbGpZSuQ&VYSIdLdje1k(A-w(pN0EM88VUD0ewTuuU!kR55$AxNj3 zl@7uAMo=KrA<2P2RwAE2xBdLrbID=U-w)Z6b-I2Cr2S<(>@Vpb|NW8XSSL{ATH*!2 zVA=Nlo9q%WjW}9$U{>;L+2+$vt@*WSfjij3jP%;XhfV5K@m-j#S!ZO#c1qNf!lc8nxrn7(fSCbGaXK*)+}{Njn;jIQL(D?CM`O# zEr#@Yj^z74GfmH%nLX)me&Emj>9>APO*&}9gC!kmM~^q6E(*s-`KoC|_5M%BC)>hOBqOr?Nlg}opXiBz5G5Vx;?uZU-kw@*K%d8gm+q3IOupD@q&?;-XA#<9@m)=o z43++E0n7M8-U3p7JdpLpR~B!IskT)HNKWi4LzE=>&N^-{9uy8ncQNo!!%jxeIcPJ$ zRXfAKlDJWC%WMnkZMbX*EO5`;mqr_ziX`4CRBFUih11qPKH(up7KRlVk=%-RmmZ(+ zl+RG`1*BMtHb|MYYlg>shG`vIj6rUt*LA76>vEm|nBb|wgNCsoJvAUfWqCqpK+F){ z!i>pY@-_aTJ@>4JFlEic;La?}vSc6qF_&gCTXVl(=%g!PXN00GUORHwRTa$O*J5fq z&vU&MrlBMm@6iqpy8KFrcBRIWB!eD8t_t`uSrcOiTdko9^KwOia-oeAzdW!&Wt^a0 z(fF4PDVFe(VJp03*fJ-35MLwj0EFx%vkmKJzQ7-f{x{fow=ZzYvXbPP(MY9<|vMq##aYKh{i2`0vMbNkY%8 z<>mA1muGb;JM6!ktz)`qy_v1k^{<9)0~!*KrG4*N4|_Dy}fEWdL{b49lK^ivzjJ*h6Zbq8B`IBR|foA|BkCYIVPLamF7 zZGZ)+4Xt;M9&B_%=1S?Fe*UQMM&nvztfLzsFRl9;4Cc_THPqp*6Cmf6yOlZClW;Lj z=D%zW1BZUv5Z>^Iod7vZFaGcU_ka8k-sXi^ZIH8=sI<$`WgsAD0hkC3hWJh8tERcS zuz{gxx|^?CK`KOBaR;OYye9HHEDJ-9uSiQ_f~Z$2&IT#G9TRe~$(SnA1h?qYRt|~| zB{T@4UQR@x4Bw->Xo8)!g8_~sV3W8am8J<|pqzS(r~#uSmk&*CXo4WC;M_mtsMlJE ziz`Y@WRl$m?xIMp_CjlsB$;40$<1!dA#`iROyr!*2T#q_nDq&NP9#@C_>(o2kK*M5ep z>Kt(DdG<5G8kJcQviz;3NGn9@-qmY`&nmH3o558v_^RbY?55KL0SHt+jO)QuVT6R` zgYV_R-_R$X+0kq>Lqt}SBvusB{U41sgyT~RwHZV^41$C1zv?vy!G2hOzbjB$r2oooNKd-vb| z^Imw>bcK0FC3$hXkZu9_2V2!9Bx63Z(s;oE=*pj}|jo5;tn72dFaJ$by>}1#`Ce21fp3(l24f%s^x8 zkoXb)3ndya_#1FnAqj|5!^3#e=Bs@i>Z-4a)U)coVpMg}2sbjY(U8qm>DULByTB|b zC2|kf0d_Fadk1;m+%!MYcr_^?$;Y}Om&AD36feeeCW^PRoC$~j*?2xcV2~<@4|KlO zFVFu0MI9Z4$6-=Z-sF?DMm+8oO;-PACi4B@AN`zf{i)CJ8nJ-T1{#zY#v}i|-!glF zY^L|Ch}AE+dq7`VN$*{8nljh9}#xc>)V|5E#K@zV3JKmTR-F7f|fU<}3o zUKj-MxDjXrLE9FIv|;~({Oc@c?zgiPOf|98eilKQ>siVXi>ddxhnqp4;SmL}s)kJ% z&zhnhEdl%9E$K)*HUY{Z6)4nzhyD4(hr_RDG3Z0e1lB18ZQ3OscBiuT-E*}9>UNqn zo60*U(7;m4^z4Kxz`mG7~nih5gM^jUa z)K$glnd{ zF+q%cLrR%3Awk2@8fQ%ElZxu#tTt0YaS`Rv$1vg*F{<;h0 zpX@vP>)#B0qEyIqw~?^~X_MFR5MDzz?ZpvLoWL}pUT2}2u^940m|~^=5jcWmTdTt} zVWy{vvoE}_x*D(EyL|5p&%ZdtJNL?8`jNMv=4=I1y5y|E_#njQ(eN`YGt07pK~X_; zx3;!2njmle#{AdT07ef1fHfU<%fa)lRm&d24h=mF#n)N@j^`LX1OSVbi~`fwd-gnf z2uKy9>xfBXASPQ^B6f3;cPpPbPY$_xkpTm_F_iYiZF{tHrY;umMYkxe^x93QUacP#ZJKnALWa(ubj$fhqktD-vlHe+1 zvy4jSWW{I?Lxbn5N9^j4SiiD2T3A%iP9~KpWo`NZ)bmhyC?$ zhCUVd!`uyXLu?zNJE|42d;o;t@exxks*PFe#Epxfzz!b^&Ys(-wv_0JrWt>ktXAVC3?Bl=k zMSuMNddbo3$v7qlcF%vy+#4rPy$DDQS9HM=!f}o=o#sYA1peCCIX&+4xtzX(; zkNk137m4=K1UKj_(+U{^ijKkU)#t)hLbf;;KMm$tHAvl#88 z38M9lIcxMwVX`P=&v@0ek0!WT0%k3OxTv;4PXhER3GOW^l%r#<-zpZH-?oc6e@Qmf ztr2MFvsp6Hz@G>tuu6qe7P)oOj;nDl5btUq>j(YXJGuCU z{inv>%(-(8m|Y*u>!k-7qI1?m7{*)7bor+~OMx5lp-(*Nkd?P^*#06co-p3$h2>)~ z-u4*Yxh9NvS?}Du{D`Pqa?0bDZ*$5-8~xUge%JRbPpMn)H3nZwt3}fbjZO`zC2pW? zTg4OAZRjflLo)tvl;}rA_?>G>a~k4&9;d%Rv_npj z?`nk&KUqVZZ(e!+j(_ku-~ATP!002Ja{_#Y=5kz+%Cl@C zGY-E=T(NE;S|m;-ksG3|4ji7r*@Kv(u~Nc5clV!5wr%*HxeD8Fmt?; zBc+S5Ke7#RIU3HYgzliOh(u4oB+A$NN}$dWz8yacw>;M8`fmpZV_k1ffaR1=dLGwt zx2W}j9~IT^o4u^@mKUG>^e=vbaTP~N)?-=YXz3-DH5}#GFTGIT84%zo3?Y^^j-wE$ z^K8lECBW2vDoD+c0$CW(|)sOCj<~Bc;|W;h*Wi2 zV0;%PcL*VSND;a!_2`=w2d7AX0~nrSA?u{Spk}^AFrcHozTuA;01YltU(1F`-k9ClV@6XX#sV}rgAH>Hg z?BC8g0Uc4oiJs_e1a1QULpVNw8_AzJ0kn{&20tDBc?>8)+)3(w91&McPzb|RHqCSs z_q#f3f-C)GFZKMhKXU&oKizo_m1Mz6J%w@s1_HT4@*M6qD=`d_`Iu_N1f9(X9gLa> zD__BWN%&j{aAwjf5+3Q{^_uv<1+q9rF&HN;MkULF1ME< zk6ZpJlH8w6bjvYP%p=J7oJl(HY{gO1r=NSTCDU=YUpaX$2= zsDden^5n7`j=M!u4&`QgQ|5Pn&-ec^=Q+|EqWMFmq6p{qRVri$7$N&gQ&cpy4RrOG)6Hq_a7%G%Mt5o}KH7^&xdL3W&E&_2`$@_;P~I zBG#1r2^>R4fN6%|}yz1?))Z_}?X~u+*3OY{xKqJeEF)O)xB)Kh5 z{tUW8<;m^2Lf7OOmF3U%j7B7)XsYe4Ke2pk)rk)JzVG_NKmXxR8{I9rU3G1eH|C9< zm1n0Tlwv0$Rh}h-osOuMOCia1EwNj1_CmyfF<|D@7G@Eu)#SpC^4*k507=o6*-FrL`lAO&+z0HgkW zf|#%+55&`-GR8Mt0oUHeaKh5+7Xwx*shDx^DXmQGi*~(pGWO!NxYE;9jm3X=u!(^0 z{0Of+$R`v{ulaAR9vip(kRJO#zwB>)@Jn5fslIJJYy8@r1&7qn0C+L^ZhMf1sSA#2 z$Bn0xUAM(TUTBDITex5J+&bKOMf8Bzt@T>7;|8)cNn~x;lE`w`>A7dA$IQptEax^Y z1k_^b98c;JzHagw>bu<((&U>eVlQz02Y-L#R|8*%BRuAMS>{uP>kp39@G5E+)r((v zI}&;YjkBrPr7u&GkHxMYUK_eA6}tqV9A5nvuiRGm&Lu?=($}DRpbF0c@6fgd%^`Zq zH3weqtF#S2u^!O3yzAHg@M{k7Qx#;I!wff4*2LK%6#&veEx)|p38Xlh_~Zd0JQRh1 z5J(17M#1O-ZMQph1pt2r4mdjv(E~zhg1QKGa}0fNtM<_Y;+QBAMA5z}u&d^%7h{bc z7>L<(=b{G$PihH$Nx;BXMgql)i5?J+h>Im&0J-(-*B~zC17yeE?Eo#HBvR^q3_`>; zpj`)k9Itz;lYaf+C-s}EwS%oARaZp?rp`?CmCC;yBT#T%=%4i9k3{1YWB^8l3EVmQ zON|OsofLH*AI7MITBQS}m8b|LX^?kFYC<3gS?MI&Y9%KKN;9jOHo?!D{5k#(qPj%; zNQA;UR1l)mgVvLu$F-giMLaTpX+jm=y?p6nfQM;fYl1d4T+k^pO8Uu9`n;cyy!U6` z{Za2uI%R#gFvN|mjMf)Dpn$8xB_nQjdIPEk&63Pv+gr(uDqIkCzKx&oV5d`NwrY^?{y zSN$ldI1q6!>oe88y0TYvU#d^zj!5%~5~0s@z-MS(fC*K|H$3<}4SmxXRAJ~+2rsv= zF##b{*_e>i4x1zLB@Y8Y9I-rYhdJ#B432S44y9wh{RjT~#fLl{gI<1U6YHDOEzY$S z=6dusZWl!pmam|Xba}>2+C6SfKN%CrzC7WKpxiiDg~iNn-!x5+riNj zy@YvPJq4dM7{H^-xv~XINvpWUF)gQZ8)ydAil8tp4%?iTOonp?@cil4p>AI*U5A&H0O`>G>uh7Ck@TRG#Q3Po4g|ANj`r;vJ{5 z>?os?Pzf8fn*1`zIcvXl;>K(80VvKkK{U(1@iViQ~>Xd z1)N|oECFW+jDu*E@)dm1gfrNz)G6cSpH?u00-#31G3C&E1><`Nn^~DL@(A89&JnI? zFK?gXe8j@gw~VxbmdnxmNrhJ3tMoy&K~ZREuG8)84eGze40=_HQQ@fAu=0}cNEN~z z%7&`EMmG+wJS5})#wCMGI1v9%F5#2l5|-ELTpc#$%%`0By;tta+@&`+!SJ{KJD>mi zf5Af&eP0e&tWV|4F?}7jLQ~-ynz%trwp824hm-5kmU@HzKq@@iQWU;nYniS`D!k3> zM_anzk=+LN4B1|ZiZC7*J%01|%wcq+ErkU|2AqZuR=myY$DH|QL6jhE>0aUH(dZ^y zijJ;Q(Fegu`}?GvxtH`o-q^Y?5}K5gX1igj>0=N@PcnKsUerg*yjNL|x2MuKqN}dZ zR{C$0a^}dQv8#@q_T&j3X;}>gDzi{q`C<@YuR#Lroy=(6-yOdBAVcezyK9> z;eyorRZvIPA{*9Onbrj*zAda}y9K!;xgvro4UJrodf!&;_H%+EB~4%Sqzh8-n;_6x ztiB@G13D75BreEj!UXs8g=+IH`mQw~bV1SkRr3F5?_GdxTeI>YVuYe;?9c+SebAgl ziIU5%UhlPLi4o`qgXvg!XrW?hpWJ@j=A^m%9&TUSrc^>q3GzGtKgR#hx#yf~pS|}z_cFWc_FnU>G533*@4|2B)trhn&uhh*a4DAfEa7=G8l%YVFyx=%P zTSoxyf?e{saiseJu)$U`-<x_4-reHX-;5rG7}5Wr7u5uIkg z2(AUwDuO-m6U}}R#Ly5$AYt%zTj;0RcR_%ktb`7jWv|#yyl9aGsV4j<9{bcUwlfAQ zk~+T|l4yo)aSK&ho+~L>3G|xnHE+uDfPd<>T%pS@BNrykK4TBD^lIR*$UIR>ZTeIt zt5|*yef+O};nl1-amA9K}4?kKVQ-RHeaWu>!SQ(^6|EJZ{DpW#;FI0zLbmd zi&4Kjqi$+!!tYv)`t8sneZz16ouBz9BeAIo*j_K2Qd93+n3`1dyJqMr% zoYCpw*4_UOS$Y4?{|?E-zfo#D&Dv67NDV5LVA|Hs9(M(}6sv}L2q2{%y_~Wg&6C8! zfm5}NwPuy(oL)>#RmT484P}23W|O=b)IaxLKQ)$Hrd6c0KfL9{XVwJw=aX5(|_^bzUtq85R7$7ALy!CxA^0o!58pmzSEf~m8#hV z3xhBDH5hqhLB9Jg$jhlUr*3PBTl>n=tS%S~zIzbNH7iQZ$;e!T!FRh7lAcUYD>v8$ z3xn?l`(VW^C^aV+EDXLFZAhz-1*PU>g0Mp9$0sNO>_6=}YR$>;L0_L*_>?Lm2u2yn9PLJ72M(scTo zxP8PLkZpG@<;d#j`350bW+r6a$;^RDGT)(K@I|t|y+c1YzV*B2&Vnppv)}hI3-Y-6NkYdW zS*U;s&DX`T)zY}6CMqEbo2*#!Bq3sTynM!Rc#qKh@>q81fjZW zxM&ypPd>Q|?SGrE)AB=#QqRVluS59qaLpmVO|C9uA)0}2@Ifa?qZ%X`!4&7PJoTLX za|!Am*U88E9#W7%`v4ayIr-u_rXYjcp$|vrRB~oALWXOoM}3xWO+C(9en>rj@hkt4 z_r5v`ANpdo>ai#@bSoQSlfQx5k7!#@p>;)-84~e*mTxP)2j zRqC;5o~<)B#5szaXNR^53DpU6AoYq_J%-)^|7LyYNxeh85byP)rcRK)nVv=ID#riH z&dvX+=DJf=<0{Ah0(P~`qCAJ0N+PtRcj%Ud9|l`Fdluy~n)32p4_|or+~orqj0Ar~ z7>am-?PHhNjWAg1-I%5H^z%|VezM0*nFgCM8?9bnKmj)c3i#oF=ial+m?_O6A-ow* zQY~Yqs)W*Tl4=<<^|{YH@Y$K_tSm{YDkzbFHw7ijn5i6;AuE-fqh-vLHKG>ZTl^k} zxxMiG(=S_pNR83r52Z<94=>++;kW+ThnElHtLHD@@s@XntNYj>$$$Cp{l52ZB9*F$ zRWI5({Jz_7P+3C`8Fd%Q>t#qkQ!OqC*ELLQ$zy%SHfiMKAM>JdXnkeWGxnSvi1&fD` z28|V&N_D|K`apz;30y}44#~e-LPD23Ar*~dqZkH?kzOAIqeYiQJ#j5bSMYiEJ07Sy zT@phn-_c=dZ!JXD@8XdIU$O#t#ql9=|D)uD=@4yyx9W(8WcMlgfX zFt!%31gHj({ESaTI_?xhfs(cirH$tO28_04vX}}CjQa#KWbhW1r=Md6qoE*G3sQNy z;OHDEQJyY2ItZ!;qmdMfDjuq{_q!`F+UO{#28`x>$RO?1ij|!h?v4}~{5)|joumms zlj`t_ALh1H6mQ;9XqLX|>)?xdQN7Q9)&d*Jy>3B^C8ng9L=zVNj_9i+kvHE_*rz=NkSs*Mf0fFD9fw~!yH zC_2_^yViN-7|hhM`kt{?8SJz_z_Alu4=!NUdUh~#YyHq=Iw!n5b8AB|L!B`BM8~fK z2G@)WH-vzlBUs#&_Xa&PDD2ngnA3b9*z^?v+HC|YoD7#2)2eEt)xg4Sy}I z0w${WaAQ&g@v{`d8S(pfLh~7kwn^Ps)K2-Jsw|5A{6cltl$WYffKYLl{GX}5{tWV4 z>Cx$FL+iy|rnJC$d?Kf`*dsrolCJHG&Rc#+<9*=g{Q6tJ{v2Pl=fu>$=$7ap^+j9g zJvE*?JNvo5C@TG=*yW0S7n2&#S4@;({SFES@-7JY2WDP#Ma0OhN0xn2WG!^^UU%B| z21!-E=nA|E>fNf}n7!M|7ey1O(iH<7?qWFYUq2gAKi z0tV{+IyYF4HmC*^mKR;?tyB2)7eZg70A^!279Bn7k)`+Vsc^ z?@XT>c~Lsk(FUL((l|8loF6*c!{L5v$|3}LPm5sb4u`|5+9d>ew~L@Rhacfdwu02v zE?9cQ(NG}?(%iT&y4of8nD3;$;oyU>`^_hPPE{vqI-sh!n;m%(iceR+6<1T29&QJB z&EUh}Oa$dlV9sjzU{t~m(Rp89i@ z-ok-#cN`e=Zc%GE77XjnU|663(|_>Oz9)>Y0*c%Ijt+1M*ekTZF#`cJl$$Ihxoy1@ zNH%~X5`xDy{?`b~8|{Lq5sDyq8^y5Y6|43)PnUCCdE1R4fuOf-AZ;u;2bAG@f;7I~ zg97GtWLfxQV5T)7iAE3!7HvhcltYkK+Q-6#pd`0V5J_?+-vYIy73o4yliR-J{-iy6 zoJ^&uup}wTZT~sDJyP^Az6jWCs(O+XxSJmx#Z%$ugkfLTH)qmJtL5vL2$SiKjJOKl zeEXZOduZu`(f+2^7vI!|Hyil)X6O?o$IbMD*|hPA&XD&X`g3t1(a;|hcWY#3?t zmYIPUi+*<&-4xY?)3sRi+hMi(zF&It|M_>4MVD%+htpnV1yB+N1eUA8{;WVh`^pl6 zE(l&lj_xL{4ktv`rC_n>rLOJNDX1f~rC?D5CYb8l8>5zrLyJW>LAHoWl-z-Xsx5$v zMfXE@WOad|K6NnZ%{huiH$i|jY5Ivc+DR2UD#kkUto-WL3Z_&9iPUMxOQfOQPZC)M zEAuErXI@ep+FcUmd?|zNG1G);gi2B@x=DiJi1gtkZUMlw`8_ptigI&P5|pBq%z=t@ev9o0)0d)*$tI`1>0CzPNIJh05u`-y%@zGI#RtGz9mAA)M zX#~~&M&A@cwXHW{QhKHG#p>Uo)mQB`af=qKe>+zH=YHuw{8!Z7HZradtdi&$)=EeV zj=h~oq61XzT^jU{>YpQ!jdF$Xa|N;iBpv*oEO|X!t|oe<`9jTa3-xUbbq==qx%oPI z4**J-L0>|7rHd3tG1+l$Cp-QmGuixRYrpv1AAao*zb-(&`ck&~b5t!d4_e;kF>ROi z=cvlb`g2s{Wc@j+aI*f~KZd?3ia=lZro1OQp@?J!(kPGoRgrIGOr_kVZn3IfY9Ht> zDu*9V3|{1*jM5x$yy)b_5^$o06rjvPh?e9fXI{x?#Q-c_9gzrnHSaI+blRWWp;$D6 zi2wl|C2;uj{t`W>{kfg?$N5$~x@ev_hvJJiHLWIEFe-U)(oty#qa2L;dOlUHy;>9Q zWiJAlkyfNj>TmAE@~!vE#;s@m_HX;}PmX+xBDq|1I35$srGO5=PdXu|QTOpEe4z*) z(VHsJNem$z1Iv;-T+;sNjb@g;ey9QM{YhosjO$z zf_y?;kRUPv27nf^VaQe%5Ele}w+{O1puV&mWMAPN`IHnvOau|w89-$=M==ED?Q%){ zRvqKPER$loT=G0Gspy;G53ZU-{ZzE5$>T9Vsj4U=;cnDdk~SczDYT3>aHc;!*ul^S zehU6~Xyy1fN{yE~78urfc^2``lO7YL9eNDp-l!p-t;g(XolrDAJ+@>r?kgUAveO~+B~dV87Uhbe>`Xit$*YI5 z_nJ?DBIlYzjzrZ6-JuF4u*D8N=kp%E|ga!ObTlD8{Av9)dYR z-5pLG)PW>AuwHfMSHmPfN2pb;h$H1K7A60fIzbI{roeIZE4Dvd%F@23HC+x<7*z>s zOl49g3`CTDjCqy*!tW3jjP7x3G>=~{YP}pC@tl|+OkHXShpeZtNWxA@(8&hP_R)|M ztxJ}Ecr=OjK=U$lC?t7MM7&_F@7;5m=;4yEDJ(k1$b#+MSf|kqj*I zHBX4}1&wgn?wT%uNkc_5(;6w=j(mS>r*zx!dym?*zkb^*=x4hY2OZ}1kR`~zWFO|0 z0aO@JeESf4HFmVwWd7ldVEYw#>fDPTdT$tx}M2M=u zBkx9lTa`D1K)pY=V}A_dU~;A)5irwfi|-?EI5D|nEAy(-{vBs^21PzS|YHJjL1DswNJEZ^7u+>d?B$G_qOJ^+Kac+vDScvRwV zba314j>hfb*Q+&O&TWSVpSGo_GGD=Mx8W4B8Rl!J1CO%W;bZFOcwC{%Ddr2*b()il zbF9r*X?t4r`1fk_zh~&u z6U(M7jdYN*F|1VlaEVI%5+x44Ro^UBw3PK1@Mu zpN-mlp*c(da+tf^dx~oF1+p2fE$`X3TR%p?GsWWpZ;56W=4<1OE^(DA^F@9_IXcX& z&=$lmdI^}Xh|Dgh$pghQT@Ghyi}@qlLAN+%)ndMW)0nR%xqElX zUDIY~MzBkA_hyp2pYyHX^sYY`$z6qYx*KI6&!@n`0M-~{&JTxEi{}=f-GI^^0NwCq zD7cYAWM&nko%R)(wvcqe5a}Atv%cy8T$&sy?Fn2=qQ%1(7`4aH3aEK z`HILpJ4KqC^AMDHz*qF{@ooi{iDpHbXkYP&(WGLc0s59=Y5vs;MX)6S@&huC72Wj~ zHDH?kICc$A*Gmpb%w}$3{*ndoqZ^4b`Pp=0Wd&o;cL$YPbPO=Mh9G}XNS@^&6vKL1 zQ)Q^--Kw3XkpHLV`msV;U&{X$55PX;mNY$&YX`6++1C~avLMstr4HbVPy|QBDkM~C zQ(o8zV0HYmz8lhw2AqEhV39&Xuz!9%*WQZ>d(x}t&P}+^TYf0v`jh|OyT9)DPb1pa z+SvyKq(?!q8SsNSCtv@hB~MQH1T`-Byy;N7~Z*+-(gwT+}PR zBC?{W->^`9germ-$SYE>xZoZI8d(txjlvX^1*unD5E%{=L{6;57I$RR`)IUZZFPFqrUoE8o7$=TdEIFyuRM8~D zv7){DA6#jvf7A1wbg3bt??$=FX3=o=AyWFf{4KQhn-`TZyYO0T6sxrQr);=(Qie03 zqi-X94hMOn5s*()QVZ>5T)1!ND4EBejEnQF8X3EnFy3vhe`N2;g!?t5$G+qX-uEwl zO@yMl(6#j#yP8Ddfs?R@iOqTpbxtZgg%4@@*nR^PUe;rP4`GZq+#VpDdhnsF#}ap~ z57mtovmQfE{c2sC_1LJc&3fzrjc3~X)MzJ^Z!4TphuOcPzA^Hk)u9rK+BxHe8qd>#Lyp|bYAZ}>G(;Ua5$$|8c!>o@vjs-g+H9w}l zBb07etm0TFoIGq-Jp@aRV-MYll}ZG>NZ+;9&s=#egS{}&%(8Z~173aOTjx;!b)#{v zZVV*YLJ`O(*EIgO`C2x0tvd;NJ)t(>5-tU!uOnfA%YRE-`LR3r`?}-?qluc6)Eb$sxnIjA#}GnD zY6i*{xi>pB5HmP3i%q{*+NGXtZS1O=)S;Y=t}-t?Xcp}qltz6>CjQNW0e=PCzes^L z?a2{K!**nn$k2odivi>mq-9DsH^@tg zU6bLJ%M*t8nq0{CuwJ1n-}d&;e){vE7O$OAp11r^Cg#8VxPR$yf5rzFY^BEAy-bX1 z9d=OfdSfN4SRdd*LHuJ9n+=@s2)@oJmvKXQt$Ttw6BFZxFdet;Ap{YAn%+bdUb!g5W&nTC1Lr7A$Y40i%6XS*;soFLU zE}#J01TV0U8v@8u)oqf@cPGXT;d$vDoe#RNS;h^W0I6@U`W54bNNZSKq-!f?QE#eY zEnRatlC}wDnD%>uJHA{;d#L2w(ABN#6;+y4S6eCT=}OemE719Ev)KuYY|^#BrSB_U zE51t@7eN7pas{Rzwju2g?RpOAUl6&moL(N+)nL&{uRSmaxVop3nrSz&FY(=HmkRBT zIajeS5!KyARP%08YaAEU;mx29U-hN0`h}-nIptuKlJ)K+#Yx(9kC0vrEXCU1d0@o ze*0!fkEZ`3%!fd~44zN0F7(TR=}EpgtfgLxzC4f;)mLfWb9Y%kci7BDIc(a-=VPC9#XKq~Au;T6#}Au&|PCbaG9 z-s^k4lhlym1}V3H?9XPFv%E5?VWs`yl~ox4@vHI)^O;G<$N6RoGkAGtwPFgSE0$|J zGtuoh(OJ1;|6@9T^s?XuitD$Pm_EEx*-i&MTx@eI>K6T!l~=lzL|fXQ+iCyP#rBwv zzujMKD=f-Z(rRgcZm0cmzJw?^DfSkvs8t4KE7`WRKeyBVto_HPK3?t>-H1=~HYa(He#>1_aQE(Gs|W*L$4wXJCXX8{@2ZkTRSJFE!)Kn6 zWbx(OpMGB4jL3j62U4_l5%lculw4Wxh3B8XeAmMl9zJ*ZK#s)Z3YV6Qb!>F2d%a^^ zwW}noeOD&3?>+nUdvenH>GwQ%_j8~9f|3l6CbYSq|0FEZ?pD64(%?K%i5tp~hb}F5;aS@a(pxm|u5eeYC`3)+ zC4X1Q*zwxG_03=Zs{xQwB)X^N43`c+V`i@o{6}(EATLmMG<9aO)H~KJrc{C zAbklfSafUawzjisdUZyddT2Mwk0}g@15G`mF#XUtwl>zF&Y(TIacI$uCRj#7;#c>Y zdbAEvB*(gXM31@T=BNkXuh$@K%zZWH4VK@t1!SHDnEhsp(cO(CEw)RJclG4kGt$+A zqv!hr7?*mOF9AxjWtYu^?9( zJGiTt9la((NCD0e=t@rovnTCCb_rvpCd?RsIW$02*w^v$N84(QoYqiY3aQF?4!binQ2w6Bj)TinG%rsp@O3}_ zweSCpptn_gky`;n8!^kUK{Xn@O^8&P=?E@>27Xlr3!ptnt$-tzv^e7{7CJtR@fF^_ zydt%X3&t3LfuXx}id`_q0AT2@s-rkGt$+&xXs-+zoTc0L8}U(a!EU!x6#oI5t_7XZ z3b-J0Fr2Cm_Mp;L8iy7uP$YLaO_4mHy|THGQk)4%A65XIT6@VQC*YDn9lqK1SV<%& z;F7@|j**9q-}6PUd7BD(_#S;5mE^TFc2bNMj#JB}e{JcCLLDZdb8F#O>upuQo))@+ z;M`;L0d2iNWzWkEi0hEFwRmtPn56BxP9LYe0}~pOE`HXKca@>9!bXc#N-R|A^5O8N z#EllLlzm6T$E!oc=P%#!mUkj#ve5bYhuD7lt?!H=Qo^ZwD&`U3fK)#M95G_^Zc%H* z?&=otxE4d|$Cnu_?W@22b-(_eDOlPl+Drlthoy~y$Ryry)D?#RNo)X+*eEP*6dNYl zvN_l=Q6?@p1`QLR(9iKPkYN#{tc1WoEsruCB-1vXl~!YRt_kGf^M8$9mCgJ;KM%>o zzfq#k%ZC8giH-1Hpw>{Fb?wtjsZj;pMQRa_2gYZ_4nQPS!G$;`DY%gBWFK1l3N%_3 zTxckoj#sBr5gb(G@`zrmQmGO>XuiUKIlLH-XP&q#951s z#aswruLQ@sv5KZa(~uUbRM3VUwIYaCVEC{Zb(&QWI+(SnhMKGOgvE-I@i0ArNgP^r z1+!ico|;t<=8tkF2OzXvAMl!05P(%04`BG}=&*8te3ju1O@6(}>ynYOb39@kh{Pht zBO$W&ua;-cej8%BBayMdyRJ?`(2%cBjf~xbAJrtS+dD3h#3E%)z?E%Hkh4}tpC30o zdQfsbEas`=dyv^-AmJIGQqVK8AU=KY$df*g@bQ_lPWx!LST*_yeXNUKJ@^? z^{D^FLoG3!UEvG#VJhev9YOv3n;z?n6P^I603UV;ORIa~nLK@FE}%e+>W}S_tz>$z zFOcd}#vx=t45gW#g!E)zFqtV8VAPcR2<>EDFO1KZlfy9_r#*Ulwl5A_gzSu-QD-x7 zVH{m+Q1*+E-Ql}WNu^`V&vw?Ks6X$>V#K!qz^vxzisSV)Pg|D+f~1v9n&$$n!+1w| z^bJN9SCaGJ0@RF+pdPhldkgSynIoyZ1yR;k_X5wm^?c$Rf8P1g-U6%>|61Mx{_BhU z7L3%mdnIQH1e0IUOClmwR~5xkW3CMIMxL!O%(5Mp7FE#NvK8wD4?Zh(-eDAy%tDIw?6k=Hj)2enqzgwyf& ztjwkzv>03Mkk4OBw`u?GVppN$rSO{^E7^|gx7%IpvR{Z|@2>j&5I}_^9iQ82f2oPb z@ps#cU4>EDiEU5&b368z5`1jx<5ltysF>$UG``)TDR+ym(>J3|jc<3y*xcb;la0J*?4LBe8uA!887dc3+}Jx;+>`Z#WPT6 zCIguq##92d?1_XoA)oSBMZS^IBUr<(ZQRn#GJkGb7iVFqIxo^3h#ONCOTtWh2!ZoQ zRO*-;XdF2HOEYf+)nTfX0g+&K1mfWj>Nvb($(kY$iVG(&pgNt~PfpVwyqvS9ulvw{ z@TH#^9#!H?|u;D3}+bS2JG9_R_XfTqc9QJZ-7znTT|%(yPZ% zZR&cdK;BFn*Z=sTpYzcl7=Tp08LcfXUESRZaF)iZFjjP10ZilxNo!;fHqzBl*{N(x zqpKS>wQZ4NtmrFZy!J^A+G!)y$Jz2_TMEPHq@Ea=)0|_rrQo$Uem&G6+8>i`>7g`r zciY~I*_K96Hw0V9pt7aW(@o}(<|L~=@#yJB3t4T*=UZL1fS$+9S zg&8B-Jy88jFF2~7xVo$wtl@?-#znpq6f<|#UR|Zm>M$r93fCTI+7#x_zTykN>#u)D z#1oI5M37#L2`HCZs{&bk9xFc8*o z%%Z~SJyB7Q)CAa2qZROC^aQC7{Z}7$D*{r9xZFrHZ$32jp$no|3Y?_~9)$!t3yMB; zN%(t5W94H%WCM<5YTqS6fv?2UU!5hb^W&Gr@C%3jvN}UFGk!8zJ)d>lVoY{csMFLvi#`Fd)>hDtKs#F%Br(5hwIu z_9#GA1rIGJrv2IZmi8CqN*tf)j;dfZ(UjQ&WB9J71fhWR?HPvh#MMR7vnWqM>i5@B zp0?hEc3WVT+OW64Dtj%HFZTrDU;4`L`ROzfOW&8C5my7rx!41==>#g+8Rg#qvkF(rMcCwPBVzxVgTB8#H1NGHj zA;}H7E>L(73U&2NCE}&@ zro-gp>IQN6eF)!WC&k=&$zG-jkD|@NF<$!rKJ%$>c|+unz!;ADgTqI43mO(!PpO=+ z>Y%w9`^w;>F4(P4K)4}j0V$7+u18a#tVrceRqKMM%^FbvbdEyFSP%(8-T)WeqxVY> zeL%w)^j#LDsx5*W98*?A-baOQPKyAq|fWkXyT?NVqH0|dgU59i7~qA{n-{-)Qq_w3bF!k6<G89Mny=!cU&D&b!FE` z3#pJX1KLJLRk+TTugYX%E|mX^_2N_he&E0&sH>i$JT;EfUzF_)DqB&f*NLF)iJs7R zy$EWqI-R%tkUIUMkN%o_{~*#&y3p0?bhq#63uf#5g)Lbn5JlLy8~duKPC3GydiOvc zgj!W)b&C2k)e1oN+ZD3twP04Kn2w6{6jvn0b0e75=^nIJmad^wT(4NEQ;h6Et_XSx z9&W35vpPL(cIx6DSJYSaij_Kr4kecZRo~hw`f+_Kb$ZyIFuE34PFOHY$0y0GPQk53 zthh*uCmu@DQ00Di4v0H&i6D76^o*V46139Ujy^7(=Ad6xsnGar-pkli6p`T={vR7%m z@&++rUURl(k1%9TwQ9twhk=$)vn{Y=?6`w2k4nGVX0Uz4s`gKPEJ272ed4l9P?Zfc z>qwc2l0~p{9D|oo25T|_;E|Lj{2pLZE%Uz=<_^tYB<#J)axYQ3@f zD$$M0(7y<7R={X5{x12y5Tx06L8b=aNFcV{ta=@`H2W^NUr7@*M^vpXT#XfJ_Fb@B z!#;}b1#h+j>_Sjt2yO0Vkq0AC7yx%f)-zBKL7IJEalhr5Efus(Y6#No7s1mGFcJ|2 zOr%*+LVuUUuq(FLBlO>bU#*p-*>}kjd;`m3bH)AOlP0=YL~kpGg)X*L&EdH)c-_mJ ziXROPM7hd{W`llRnb@x}%U)3OzbGs%K)my?DB>BWcw1i6h_@vha6Z(|9@Zt^P8`lp zSUcIgTeQU6^8r2U)f}F*^@=6TKk;$@`xk$4v`Z^%sK?udPIn_2a`4~VEU=){H3po* zBs@aiEN`?4&gW!auNX%2&>XAC7}3rOS$r|Pz9M)+kRfMIU@P|Ym;}Y}njl6YpET6p zt|ddB1;z0Cj~OFx7Q^c+0%Yre)^b{pK7;*_*{J@*gzH-kfg_26!mz3Mv`k6{@egq9A=urRxT2aHWIi^8HbC zfJu%sLgza)&Ip}v(KsV?eUGls2rXU*%D3u$)U0ayT>{36kD&jWs|Vo><-$P{9^zRG ze_OB%;D@OqtSp|6fi zumG^PU}y1V-o&M4taElBf@zR*ouj#h;CaiphMh*~Yrf?_{ggj3$qYF*UN}dCqf>)( zGzeCZdCZUEgmqcXVz!icy z8tm3961`!?4aSyMq1&v0ENPq}vJcf0Rs$Ugnetcs9Qr9fT~AZZSvihD>3(_??~(wg zR>DJ(DTR=!URT2;)#%1siqiAK4Y}%e-J5s3dXfupt#lSQE8Gk^4Tp_7IS zQ&9IHzM~U*eqD7oV7Q%q)pa0-jeaycR&WpZdZF#Ljr3v<)RWl^p znzuFwR3OeLAvUUJXl-btnyiAr-OD-?oEMEvD?N}^5GaNX-ipdyd+4l!j_8yYFevb_ z=(VUdOQnL)sGyo5Tn&~)Nkt+hoUkg4dYDP4X>6$yGv2k_de-}0LQdTnyXzlJmYo<6S zEJ3TP7tq?xfY!e0(|+ye|DkCAy~3+5<_=zUt@vwm7wERlZr>IavbnSI6{rVoNM}&{ zz}eg#(S@eDLo}DQ&T{su;VLWo9nkI`7A>2*Fv;7kpun>M&0cjN%nogt;!U4kf!4gc z>j*-+_8cqF8aVaLcyCtL{u_RSCaTSG2KRa$e7%Fvakx-|!cn|DfqnT8`ia17sWL=M=+nthk#lBX|hboM%N~ zF4WzKGDOmX(3|0;MOKERRp3e)?pEx_flH4DpZ3ROWr*S~=ay4&Eo3{Pj~Zo&fCr%w zM+0@%+niLKq{&Uiq3#UkP?c;z!ILVk{VXW5iVH&D(dP+Lk=cEt}7G!@ud1? zn^1V@NtObqUQ|rFk{c?paUmSa_epx3{Pl=VaO(oAB-4Y8Nmm;i^@fsQ8%au^b6HdS zv6(Ex*th0MR?L;1Cn|k_mh(~Gpp4i`rf2yAxdls?ojN?LwX2{-9$#}y0Y0N}Q+kr= zX}$n5Yz?o14=Lyf+clGIzt{sOVGk)%iH471*?` z42H@Flou-eDD05;?+wDja3_2pgS@5&Vc~o+E}=|B-C__{g?hnctE#>$+fk9l10!T%s~x>LoLX>SzDV zYk%Y0KjZ^G05ep>$h67Om@T@-|0)&PM2Ds9i;kVvj%0@@CV>Kb)&^8nbXdy1+pc%$ zWJHKnHuq(BG8U=uO0q)~Wna{X+E6!{kf);T3)=EJ)SYh_#Cs_k9b3H-$7NFIh%(mL z>_I;|!#{Zv^uYkm1joD07_Bt|ZX5u5&lWajbDq|H`<@)eG;woBR>j5>hzE3?=4i?Q zvPM#1s^&8Q1^`}X`V5Hi+lN;nEbdvIwn%9}=^l?K5GyuorpNcdA72)@J&G`?Jco*d%!dCkb#h}ZnhX|4_P>KKK&EP{15sr7857EeM%N_y< z)4N6yJgpBxF2Bm8K%g=yvK=M`Ou~RHhe-mx%vn^!U~55_ciJCa-)F(a0a408P;`-l zz$KZ~-Y`$9z&QT~0fo_=o7{vBaQ8TRy!Al=v{%jaHr?(*SV<@dy- zwjx;;ss!bS$Pbu(S6Ij>mauoldlSx{V96FeR`5RO3~GI8{tv!J-Je&`btIuvBS zgl9_@WGyn2QcS@aT!$IlB zNUMJ@*P>Mr&l;ATp)O=Tm2EuU2s>Q_U2w(YdvwfXsmE%R9=>YwHQWvP#4DZig-#mD zfEy>{aV2q6bkeq7Sdu{)C9S|`z3W{ewcr}=5Z9neDK7rtEn095x5EkW{{QNSe&zdq zYsp+4QM20zt+{N0*1T|wA2*ghQbGC$H2by%M;%>|Qmw#nC(R0lAkDrDY90`_3XnVD z;DgVv2g8aq`$Z6m2f@-o&X5u=c%G@EJ}=fttxz!1lrKE+A20^~RaxkkWc1wTa=jie za&r$`lTw^1PE3Sp+NugyP_j!A_e_k3`!J&6;lt7l!R7-(d;+q~sl z%}8hd{qOskU;mg7E^oEYm~>~pOq>LXgSg({l9DlJxVrGhS8ra~TkV4T)7Atr5I}2t zA;`Dd1;KDlGD_rrP_x#2bO`chy5Q){YTh;%L>Yv0({yIFCKF>t-q9k66oK?9An=f3 zX!*zRX1gG=8YH7ee(BI3@kwXi1;OX-<1>#o1RxGA&U}&Vtp5Oxw>2sxNjmc`iJ~)_ zD8xkvy$T%fe3nvmc1Z+KHs$k(tKUlU#d1mTqH;|nh(PPvUUaEC7fH;J0NOw$zYtvt zz=3h9*;n_@)1~TLH$CN(I#mUFu7B36{H$W=hp-RtN}lsqXapXUTYwy(zh7HS#Qozq*T8Cs+_B*mnh)w zq5xAw6St_fbql)rX3)*Q|95}&=ly8(4C>8j{e`gZCrzwnu8v5g$kfAs8Rpw0O1GW(sx5Lu)=4zZf^A+ct3!!W9SXB|*L?Ko@$~YapJZ^X~ zz>V2sf0*xM3*nq&l!*^V(f0h9$kv-sOs!N)Xo+Vm{u~o+y|hE1k%q-)sxIQI@}$=Fq!{CUa5A@C!J6A6o+cR z`b{{Yx@~+sy3u-}@~@Y@bdU-^G2W=Z)k_*kO0t>xj4;0{e}4m0*5;*wmb?$mNkNAx zHY_~uXUY0r5K!2f2LyZm@*Qt^XYBX5!1{Gj!0 z&D)aqxtYArtG8eOzx=KsaZ%no&-*wxWOpFjH;`9*%<)vvmwjb*A{T@Waz@Of^aNyD zO4=Y7EaO^Hu@K;Q7Nm>dg2p2b@YDX(qt2v@;DX0B++4Bz$Ai(;*;hD6x(F_av8HUh z#ER(SY<~=01Q+aJQejacxZX;GU_M8>2rh_I(_VEnz)6dK78Do3B@b)%@Wb?C7o@Xl zB2aM=isWev=)6eorQlwz$*|oeQD;LJKP5pLN|&dSq>E4_<2(oEzvbIQR|hTvvTXqQ z_0F@pKbVvw6(um6TDr{+hs!Q$e?L0%d;CSnC}8S1-Hz%!^Bux%Va1=4JCFxu$w>z# za$nQk{O+QtA5v3x^?eijmwuq9UpRf2WV7s_u96)d6(>7AGQ3*(9gmLBr1Dqf%Hq>> z)=c33ku_6ve+mCL(K=UVap$kdgyhMe-x8Pc@q>BI(bMDebM9u!`4?Dd#QU8eJyQUh#2n_>tcm zG$^`IYcP@>xFKd_q~5i&x;vgMO10krJ(Cp@YWf;{?suHDnU#mKe%Wq_enz;KvpNET zkdP**qg|hCIU5Yj%u=ppbZYmeg{EhQL%=vVn=7tS z|2aTM@!*i$Z_u&bteFi)jO^S4ThU6^1_QIe)d=apv^e@KMI5@&hk>b%yCO=YBJ=-3 zy`@Fkjw|+CHG)YRQ!{)uJuF6bUM)SOcU6r$PB@mntaP&J-zHAXX}XrcS+a0R9rbWa zjlw3-shU=SGC-xUWjiS>OpHKYzqIdAf1gt|clc+u8vFLgh)VTiW2hA}gHV)M5}>wvNd-XKnrKJC&!r?T08?uD z;K~rGZEUSAw>alBI>>^YA3eRdUJXLf*Tf^&WA1q^m~ zV6b_&sEtpSq~OgY1wa39UHnI1JT;#gv>rBg83Bc2n1Z{3QG{(_EQAlc7+^gSi)YvZ zt|K;wLy{Fg6)TFtbHOos6mdvQu(&wnm#A4IG%4uk2o5ey;i2HxBbF0~q#WAEXAJPD zh(lt6qdY3=keK8sk%~GbE?EX>oX?~pCN7ON)a|ta#q4hbA`{c*c9ws`*2yPbV<;+9 zgX%^(gm0Ar!#gzxDs>A`;^yZwr%GLVi;)47>CK)Hn^6X07R$B8Vw7i5HoPd6I#ZSt zw`eiSx6`Njga77xzu{LS@v0tDKTD8CGPfbC7-f$Hot^;=NzyHqqsttIMmpiJ5w_?o zn4?faRs{8f+-5^5VB;3-(81%d$Dqt6tj;lL;&JGJz^>!(oF%}R80B#o8p)iq1R&F9 zj~HWrR5F_tt1JQX7p$sj>_3_%ILcsYjj5%htBR?acr|C1H3EzMH)v6-VrtaNs=HIj zAute|$=qtq>h=_J2uz%4Q!d(0X_p;oVJC+;_0m06mf#rV5IVCXZuwcUf9qny3Dg7%3I2{n_;EFv878*}wz(Qp^?Jo(|v_Hy$6=;5f zJ&P?`IsHsFuZlei0`>8^9mhwyy4sXCIF;Yhs}FAjR~N10;vuvAR&Nq7e}^f88O{#P zqicjSEh)=yulb~({(Il@p{1{P*a}$KgJMSnZIc6~VPI6<69-YT>3ckLahBV}oZ?lJ1ox z%Wp-uZ^8Jid0n-qm1MR8tR~tIKML_dXxGLHfNFuG8u`odcvshQQV*+Edw_s1g0Dvp zybM<~D2OdnzYw+tP!RZ6SI7MLs9v$)G{JuOu2OJo0>$ba$~5~2j}Ebio$#f%^5RhV zHr8nkKzuXwiPEW)$ZK={m)MkKMK2B=20HQXAy)w-SwFSeie4O6E3{Zsa>KF7jbwVj zFLb&FBu{uU>br-dCqL(+Ad&_pleW9qBSRuspAOSNdR30}j9+xXaw`WG=leD1selH3 z#xDS}VJ${H9r0iC^Qi4bCJEwuCP`q5klbkBZM8`*xn7;Db^(-Cd-UY#To`N%Yoa5r z^~lst`I=jf_Tzbitf0N}3OqH*J!lhfbeOyi%IDS5=e;*z^33lIHhTnD)q4YG&w=*_ z|LX4zKj!yF*+bQ%nD^db4}Wj?v3YN>zP~s8_}K5w2$!h#Unl1P7IqX{L+;AT8#s`k zJ9#q@sJsE$4sQSi)O?9bF&pHYdyw&Q@iop5rO z^9TF)uJQ&UQ18#}wEy8^w@<$xwB5Vv_wPjOr4#0M+F$6*GmyDs#i&%V9TpvGOH z!`{Dpqj!b7EcBu)?N8ui#mMEG|H}J6;my%~I}98=AZQRr3`ld({_yP$m>>NHhr8`D z3k5cwxNxBD8bK;B7aYX{Qq#NOD0+`7%>_r%d(>qv7(hGZlG<~mW^uti(o)iqwMXZe zIzjf;nY27~dy}ud$s2~X$bNL=Ip?p+N{x)V=|j*u^=2GV5od7;*xj6!<3N;#^X@O5 zWmGbtZdLPu2`fOdh*~rYi$we!Nl^tIv%U95z%VKcsIfpLpDh@YW1uOE-I1!hQxX9r zG1l1wBAxqa0;z!mN@6n%s$QL9)Ub(c&C3W*_bE@m_s{=OB+9jw(i7$0SZR~Qa9NKU z@#SVsDjF9Ah?rv)dHoL!RY%?RTH0f~l~=EA4MQlG6*uVRl&~Ii(A!Q;DjFBWj9~imK$xNZ}rqH)0vy{@t%(iD~`k3&<@6hX9niXg&B$tdJsP3Oi1_iO%GhET`=w}+PJ z$t91w0}*=kuoe22my}1XNS;u&R>@Vrla@}bOQLtziPv@$)MxvhLassLVgn>>5fAZw z%_AaGZE0DptVt(H(h#`hVb?)h^B1A%mdSwX7v&p-Ih)$fLrJFTLvmiAIinsgYO!cz zRlm8B*8ar@Pn3w$WiEF<*yM?+(>ID8cb@+P>EL~2e%E=>0umMDnr=yz?4z^@cwBk&z0-_OCOMB5KmT&9G^dZ0hJ3s8pzaxN2 z>Lj^pcW(+k6Uh3oTXA=^LZo|BN@(DMHarPFe=GDD@MO~`kP+Bgl$ReISRNeI^YKwW*qQk?{Z9Y*V`Ms_d{V&vGQj2IRkBV>ZS@XWpQ!##p6|KzRc$2`3aQFnO;s|*(~jd4FkR*T(5X`W zVA)Rkuuf%ZCf_|@H1egFTyRTp^GkLJ!gtwbvY?Ca4qa@@=u88&po?z?UHs@14qy4p z5ufSJxY~&CH$pa)tyGl=S^>Z$w)u!rCY3?a_{=R25=+WqJxw{#aW)E5OG><)51oxT zYFA_uphCKH$^j5KyAij(DEpm^dsxEupMBUMI8g^eS)1~&k@(CdQ7Z!+OB{o(l*KDa z#%D!xhj9QZ36|>SnhTK0B@qd`3qf>PHuqM7bxHWBEWrwmfy|w(j-H(?3>W}J8<(9# z0_`0aAd{~N9E3JT5xQ1WBix9yp^n1FNH&dZbFdUR-{&yrW2}UyBBkJ0mHXs7k_gy` zC=@o%Mzd+YN1JO)zQ+=p-8_oP9@HLe>4s2Dw)}*hyLKEmZ~37(?z_L~mw){=VFEND zbQ9ot7$7teOW-|6VyTyd$|<^0T4K6*zGBQ0IG~pw+9>ihN+RHbXcoC)w&7!$PJk>} zd+3~^Lz3F26M${6&Ae49x-tIZDn&O+U|gl>V&cINgKpdC>gdkWBpBv_4&8xf_h-IExJ!*OyVM z>zyC_%kTZ?5-EUy7J6jGduF}isvahL@HX0Tk2%UVzbRVls3N@)?zlaz;D%vEK<+j9 z9fFcwkP}&B3N({z^uh*4l+#Q06-)ALss|5)B0*fj6?e`C8i%He^c67|g?;&$1r1{M z_8cVxYUc{JinU2=O5(z=C95nVxuz3LyObpJ?EyPAn=G&w{LdFWH4s?7z?Rf(20S=#t>+D)F$ zs2^)zf26Gtl-w=<%9AxdTa%2Qc4LtT?EvSiYI`Nl^=6mE+TA7A=G~$;B3Ke@HNoGZoQMKFgX`gRmYT)oJpf~Pq3{@w_0U#>nIf{U&suED# zBM^wnwv9p{D&sZ^fymZv1OfrWkLUZ8Ib7Mpcu-~39-8}_v(GQ47qXnx9v*3`-ueLHLaGKwY70b4mnWB+bS zCYI%jDfn|(g8v0H5-&6^b+JuEI#x(S98R4&|@-pAEK z#`=8wXwUj$AD>(nw|Tc{v5&XI;`Tqk;VbTcY?O8NW_X&RSc*K7u{C?yK1OpIrYN;W zD%;1>oHjJOkzuSKI(p6qW;bU0IBLTy`-nOy@)2mD8?fQoKBB?Fdb##HqkpxtLP9m$ z$I{A$F+s-a8iFDx13oYxWqvyNR zA=fMg?GAO3-qG{z0mVCu%4GUMfww)+>i&S29Z4G*-q_%XCVc}n@BOlF@&+s#2Pu#W zPd5##+_}AFy4Rhy5xcM8f8jH*DafWT+7G6q~47_I>u@WgesX z@G>T0-jnZ~!v!1Zt2yMn>(!hwnrmUsc;%bk^E>`hFlXrd()tXr$Cyz96M{B~W~y&J z#yMi@0T669N+nNyT48oyW0bN@8pXC|pJ5c+ntg^*9BTF%MqsG5&u~Br8?1YzeE@>1 z`7xExuwQpd1&;pR}+gAg(@$u}daZw;!)HQP%*xHDcsqQ|-ez^nXr_9&20t zZADjvj@q&9e_>07gCoV?QjATxVJW~Q)1tkLX$QQzRWe&TIqDrMTh#O;FrKfH*-{_L z`}4U8TgLbqd6h^QoZH%n^Ubtk?6t0F&6GMX;(ytWrvJKm0|n__^!kdi_CDH`zVXzNgE53*X;Md0@Qh z%`04dC+=&F&{E*LnF8O3{J`ga#1Bmw@=<1$Y{&x=IzX6`4f!alDI0Qh!d&edWJ5k` zpR*w!wa=9yAAyh{=LllP<{W9-eMM9|S5i%z9Q&w6O!i$K&?rhsPMjl6yRX=- zNhJr92`qH)9L2O3$qmYaB8i@lxJ!MSowr>w29-Je18ByL;(5!tmO^sg?%^KmADL%egkvtclMwdB zt7J1K_M~((M_c8DGq)cn<$PsTPD`NvZlAzXCxge(E8Dd`Omy$1)sImOgHo620cK{4f8?7t_!#NXCm!Dy z&ySG~eagpvyvJx{=+lCfg6mUNrzcvi1pFP26dJ2Qc@d7Nc2XrrbR#iDBt$r*Di3{P zt5xO5NwZV(TxB~BxW$mFj1K1zt||iqfy(oh?X*9JbY({pGquhddW9iX)zB}@*xFW( zGz9A7b32ZY3zj#vmR}rEy;@}x-)?=%(;i%UXe;w6Py2fq2_;==YV$A>3gg3E81q7P z{8nib8~;{DQALq{83%PWI_l~7yt~X&diLq}gkL%aoZ{RnX_Xr+lVwO)k#q+**VEGA zp_=l(%*86}d$owc$IzH3Uw%riEI3?uEBG`$4oi6RhIH|)44$UDvVwOH7c^h_{^c#F zQv=gvKmSQD;$0yib*fj)$tHL!91$z&tKN#) z=fwfhJx>Sd)IZ0ZY%1KoM-Z>HKPG#0;70-Ni@MQ@tjQNr>PYtESQO%5EjrvFc4>Z2 z_UJHO(-T-2Q`_^t^4$UE&JtL_*0q;Nhc8)3f_fLZm+{m0An$FDp6?GBVr)(1*={K* zRuWuN6+cF&>(R0I{nx8UI4$jt@S{yQCeTB0z)IB5oZMYOjYfI zqtIun>LPgKY`vq1W>M9C>`_RwsA`w&Rw&@ppmg92R<&whk%5XOf0snVv5(&BdVSQA z^mWPomJ~a8Ovt_1XPJW7F$)D&e0`rl~;X0 z`L@Fa1=pNH!?usO2V4e=2Vx~W+sMCcSNC2Ifhx3;?G#!)?o1Y`0z@oJR9_WZP89dS zI#M-06Kqg5Uh6FHh=pZ4&R=lhX;WORhy?-TJ{au>gFl(R?9b(WY?W^j{MDN?6e)dh zf2qzbXUtfh-u}$x+f+RD^dI`pulj=LqJE2vDQI%trPeJD#ac5H1}_vjU(MLrS607u z!9weYqP%&^AxM|H2(EB9q}mF?AWhMRAoa8hT5S|%Sr7`Ua~Fct(=NEjWDU(fqS;ZE zPzdsVyI{Amu8u?JEu$ewm)ZqEWk^yibC4^}Lb&MZBDp;%Qy{9$#yR1Vvo)parl{XW+ONt#&PZab?tQVUxB5Fy z0kBT24s9MAp6OD+eG&?|_Oza>@g$W~(haG#PhiM8ZXEx*fTAzo_TX^~xbtpNYc=i) z+{WX+oI5mkAO8Qo>c`Gub?5~?OqUeT+NVf@tie(n?!hz>67nj7b2@=2x1|lJBcwG4 z)uK5RsioGduOcKA(gceIvWDL5+Z-W*MOUdFJLIU;md82L^7@a#Zy_EVLCR@`gbmYU zAxO*Xg2&@}kGK#$b%)Lhgdtc(NT>+waN-k;bo;Zb2`&3EU3OCu>NR6d2eGXJ0|LOOx&SNR_fz_~X+T`;cx+c9uVFA_?ly-_#f@VX?z zY#q{tXQRJnzC&;}*j5JD90%`3hxr!a=sIWzBOh$|fnWLA{z>vj)~Ox}=R_^O{dNCD zosh>(q{Trm_Z3@XReY~%rd8p+)Jyerh9KZ_h%5h(5oB^;Jf-G;5n&)=$X%w&`Iv(> znJtlmohG_sTj^QRRm%~H=<1x*R$Hk&mm?Czw;DQ*h^vCGx0O!Wj&QCGR^{@P=nkFxZd`#aWx~n&5gkO&n-7UV(-7!3xa++{Q7hmUgz-r(1ZGY;oygPiI z{hnC{@8s4k_BMmUpHl`<^b%6U6ADWdTscZyw=g_l#UVKm+@nea8<_>AaOZ-K>1I)k zenb|O!jK7e8${W%;%+PKiCItzcP3cK_K`;we=G}1R>=W6p%l9wSkC%_~VM+?k|e9c0a|+{Q{W-yRz)fi+i0Ndi@p)&1#C_{li> z>4dhj_7_z*2&gS7m@)yS9rS*cCjF8cv~>g7Wp!hA&r~zu0-EL1!m~?aJerS&Xs(7_ zipmQqOFud~AE!5>rDNc`SjoJRHjNVA2r5%`UzT_$$r}--@PsOADXNi+J_}FI!diwQ zot-OwTyF;F3kRW)e9)VzU`Uc4&Oey%eRv~M*-M-94!bvkCysF2ETeLVJx8s2P`ycpkeZ&`jP(jOU&!9UX)}|Uu4V()`Px~J5B{6WZBJG3|n-|c%7uc?DvFa~e{9m5r4WP@VCT`=ZvpdQ5JKo%4S#3kVZ zz?(oCVQ9pXI3O;Wm~l8Fu~{>Bpr`p8C>gbqbU=Jf_)$JTd|-NczCA&Xvq7e*T{GXG z=)T`+>Zq$MxkL%`L{Fsh45Z~fxk9~8xpt-^TIW3eu3!Tb!#i-nvS*~1CtM-P#MqN7sTcT(1yzkN^CtFX zZ|+?U-$^Yq-J|jY6%}=;RDw*4b)LCJgo?BLnR4xx%jgYQJ zonRq#PBoIVHN9&7IXQUR1phCB$OtYUy#z>|`-&ZAxt`0gkUC3FC6ySsor2ndkhk=> z3;?JA-YSY6MlEj=`3iUDY{hOmU_$dQA@BTn>c$(y=iQ zrp_bq6c>Y@NAfi?6hK`Vq}p}IjPbUA@yz^%8B{+*c^iceX6rVJ9L(;= zC~z>lAEUUzq??dk8iNh8WygQ^2yAfD1Q&x^1 z?U3M*o%Ncw|3$07GJxVdl2>t0QyM^UAD+-<=LJ%>ODO-`QF9nc`1Aj z#8!=9#%J-S3+n0!FqIZ(V@29hKQy|yw-Cgk(N*0ZnzqyhQE(+<9(XgDX~z5+@kLu& z1Xo_e1(zGoF$>a`7D2Fi30@u}n7VdF+EN!hZg-?kW#jX~Dx>xlTkoX()vnJ8KStnl zr|#Ip_*L+^?yxpU-bot(@+Gg}bHEMa!pPBgm~2_!90}$9JWm^d8cniy82y8wErLP7XilEzdX0=ibDsGgod80V2M&)1Xiu`_EH6e~i4qqnx zkcyW>c6l_OLufq^^Ja{iFBZPsd%j@^$)|gHUqRaI;CoqL0S~9ARiXA|kLLd<+RrMW z0xJ!sD+Ibr`TFL|*Z$12bKoZG)wbS*p-08=zM=(E?a49RC(=pfjsWjvtWo)#wy(id_;FlBJU zBPbBIYn$L4E7BJ#f;)8C3A4cttSrrn^o3jys6E2eKt#HNNo@q_3%TIFTPw-|hZZDX zK1UkbB6vg%R#pTJLH(;I&8Hd}o3^GKmVBQ=e`Sj}V3J0@7U}#wKQ~LvmQj;BngGlL8;;jHBcT8gs5J!|s*`S0V$x&cj&~OJwi#tE=x94`Tbx&>%ecmlvY~AhHx?lUhwtw?|kwaI$hGOf) zuSd+iqU9nu61Zs7`udgIIuqVHDgL& z5iCC7Ia6{TgrW6{689HL01Xg%^CX>ZJWf&!LXp&Q&!q8T&ZSwCsCAd*N>W5WU>HnG zUnFtAO9G@1FM#dwlMtX>VlXUFDQakgDCz#58-J7o+|J8+Fwy6SrtF>bGOmf8_6f|7*YfAC6?cuo$~h zcShyoX19hlKtIF)H?>sVjQn_%n_80jCKzMOwrh(^gPU5C`6k$Tul1qRUieJ=EXjNm z9Ob5#WWEWG5=l#@*agRUq$N>of=0>;%I5<*>%;)ZkI`hlNycn7MEdGbxa2Iesy3+M z&DWD)abO-#eo<*XZY#u)Wz*VozT<0 zT=3l9rFqM@W`Nk9Z+p%6{oVJzvZydE4tqV)At^z{40?FYZ`oHCm$+b1F(1|_XwjPv zL8>qpEKQh4R1sT2sxTJ>tO)I#tWBYC+46@`g}GoN8uLge4xO<*`{PQJbsf3xn{%WJ z^A&N9CJ0{BR*)*J2%=yq=|6B^OPw$O>IzyM)J&nDM| zq)k^ylIdZ-09Q7~jPe=Xfu5~BdU~2KKMKy3FU)AM|R z!CG(v6K>Rw=)a*;IgrM81C8 z&aMJ2T$P}QK)XZEh-1nVW>rO}HfL9zGQm0o>ixML8Fd{gmG(bg?C^aMv_qiUU$)c! zGOH`?-(Bn~2WBTJ`}o1K9s4(f1}pLpvSQF}%-K(p)VSr~YeMvx-S0S6r9Qa$nl6ce z1;Yj?2?V6U0?H4sD+VhL&5?S=z?%8??6(`vM!=eDn3U@1lb)IS?lminxZL_h9%Krl zUinA&&5CD6zf8qZQwV=uKDle}f19t(wh}FCcIO7*^R9?*${LrZM3Lz_ZsnAlVB5 zF`eNCj>uOuhpxN;(DI7K20xx})aq z=WIKy_S86gXyS@BbsOw)1G$+H$kUZjfaTL=gU(-U+b026$@VecZ;hkaEsY?BY3UxYY*D0Ef z1`86e`_NG`aQ|fpX1HncvF(;yfba*GRy73l_O}*3Aq0H zkN?$w@~5IHNjYsD5K*|9*I+uMZO6Q10WD<~XV#-!aNn&qNbEsyw-(TF7Nq~-f(N3% zbj20G1VW@($iV4;xZr+^x!IZk1hsi1$bicQ4{$LxKZ`!#nm=08t}b}obZpf{Q!l2F zF@($K$bicQ_rNSjJ`=>|(#aiyvtu5yCNw0;ayE&_BI4F5EKu*OvQi_XR`@p3jB%i8 zbGURSz4)C;_V7+K5_1FX!Q zX}Z&i88~0GRL1c!F{8q9ZRAx?c~@?yL@QtL*p$hnCGQGi^z{BK^C-#)(y0Jo6sL9M z%@x^7&QH?X3x2NeGB-&(Z~4~lGD-X4zx0uR?A3uyQ3LKa!@~t6^{8gilz`wzwWob$ z*c2DULr3fdf~4b43ONy1=)h|i1ZbLwYzSfoQycqKK~f335ULO*kyp$?QUMNwViKHE zEMLVrQX~1HBR<3u`Gj=zM>KK%q!t=%%iDP-^i?GT)&Hj5oNM?Jvr= zC?aFbWFdm5TC=)GB{V+ngzqX#ibg2s8L=_VcD<$y&v8ji93#Mr_Xunutz^DO2b5kV zFh^&U4AqRIKb93#ThmWbtEDmI&oJUgMQ+hH>H+S8P77CBD$b+`62{fthiz;&;U*CGRxrdfj2DAuS5LQP8|`hKSboShzW2|4 z!YBQ5*hbCfx^47&7Azw07r1990RI$dU|*SSEQ083GQrcfts?R=yWlAQGVhNIj`A7**qmRBKmVM=)9RXq+&ki+wC27l&s`Npnb$M6Aw)JcPQ}U@q5Hs|NlKrA#Qoe8u zK)@8bNGCWYUDhL1Y9blBO={oKkJhRlW9zPw2)ar8=K`jyG4$kJff6Rd)-4RWa#PKwElA2Z7kCUexxE|hO* zM9K%ZJ&m>TfA+u4*Rp9g?ord(>{Pv%Ztz0*65siX{Q_%}+W*5!UCuDi&CToJ#o z;Y&w*pr(r>)&TymBK!l!rm{AI4@}mk#S6CP6@wD0G@Q~)r{nQS32WCIs0eFYL0ptd zI_=NrBJGd!&1pDTbIc6Pzn30jK7Ve<{&WjtOD~Q`8uzgY)BiFG9{#ca?hpUPFa5wm z$DkQH*BqYj2jqbMDXyx)y(2rWn!^QW7h^_iEE3#VQDTo~bR3$X#v^JD)EsuOrWga3 z^s8$ud_w#jM{6wdXn1*Ntj5Bp#8=#(PTFM8EECgZRY5|s+_of=Pb9r#KJj>BJW@$k z#h49$E*0TWiG{&~AAP*ULQl{ou@sMvmw63+-CRpathwZIy(KsVk^o@Tl9Sq1RbIme zE82>RN>-ud0+yk~7O)F=pU&6Kwq7`O749$hBUgZp8NmzvML*q%dTW9urAU9qdm?Gl(WVZF=+~Ik9s9y@w&w_gGpIhnVKEdh-e@w@U1E zWFIlz+|rPwC=gh8G{Q(4W_JWStzMD0*wY(eDLF_Yq{A==?XdCm#+yDpCw5M!y|Jo5 z_i6)D2 z_DaJJnC%?bC{92^i)HMUyJxsYnwRk4Zy9^_3~AHU(|N3JvK-z;h<7fAO-|&Be3_o) z5SA_C!J$t)o6%BHTe(q)T6PQ?PpF4ML#d|ngoNZGC7)zFTnE(Ut2#M>0#))00*HW; zC&KNtzl^I6*Nx?u$`eu)S=zr&cM&I$XO#f5b{0;ctz1=}&>LQlvVV%-ma$h=q}eY$ zu%cBMz^n>U+;lXKWxj~2jZD6Kf;!CRh1hKjya4WRro-${{lzc%e?B>Kyc&9Vj@JT_ zr}jX5Ams;858A!js6Xcsj6WM0Squ%SBWeXt`ig~e_q6I~CaOHbaZeDT)Z`5l>EV$A z?tMi-Yf-oo^aHY&&0nEv14Hl3_k_ylzyVc$S_baf;Hj*hy1ont5U2=uGrnP@Ei$NnN#!sze%lhF4 zUhV5tGBz#bjHsCpb;!u-0f70i4=sBtN?>~I!@10MX__LNK7eYz#Ws5=cE)gEf9trL$%H#@qnj-DPgfcJ(;SCH-QIZDyhHi261fP|**>$ZJpUe>2Tm@7*oC9eUF6MfRC%K$C&3s;h=$_8mQ zLMh27l^iNs=~}~K5RaArYZfghIwcePQL-QE;OZ3(*;M`U)=rEm#2mn^VUXrLuTKBC zN!w;5@!6<4!6hUOiO{ElG(Qea5;SCb$Dtu{bwTpw?K;q|XjOU{sl;FXim&*^833dm z8#~x`GX+305+wqV+Rlm!fV5o`tc*)3ETuKBh$_(`O^4OdxMO$l2u0o4R|X?+LAZg` zHmJKFF~F`7q)K!_Ojc#7O1f06x6oI-rc0)(!iq|KUwf~4yw1I^ihLuZi{1(1_G<5I z0U({@I@TGPmpcII$N%a(p8v-u>DOi#xpy)Hl5Gx~UPzUlsltQ}kCdIMJhP@(HqXvfw}tu>uZt%+;!L3p!caJ;{*aLzoF0#8suLH9(?*&VD`)Do zGXD$^&mQZXboRO1h2}f$!t2l^Svylr_rmee?X|-kkfokvGJ`O9E0Tw^dx3y}Cg81Z z&k?b{NOn8vm=9lfQoE|k3)X4fUYqk~e=!@R=ur8fQrV@WN|k@T%y6V6Y+jx2xzBwJ z?$zn;_^KR>i~4`^kIn!2cmB8ey4j@EDD-myAfb2SoCN$F0FnnPY;L#-0FteDZlKG; zZ_tQgjD zAW}F?hJuufWpj$w4nq)H(_a~(jf{st!e!G*jv}v^eT34hk7%-&>&ZSs^h^%qda{pT zTr5noqq)5KF*)IK*pLPLilk8X5kR>{VmJgg0K_rPbY;S&47OwXNFmp*@nHFL!Ue;w zApm=huE}1Sz3Om83p()5B8lu(^LwO$!0!$QfHBcd=rIP!x03nx9N>>>u4x6YrjnfP zBZxg!1KxKK)Otq^T(^hX)oF(ZOtTyDfv?kn?<6(uiJtcFf>C}Wax*vQBHU-9|B%ss z>9s~4D1CeDXur7#W84aQVnY@_=shEo(#uF$p$__)5n?pVxI|9 zPO2L7lA@T4WSk|~vf4FSSuB#Jg%8)hy+WdxT{3$3&>K?U#z}Qc1*qDyPm!hCH-%%a zX0!Ixf6H;0{Y$@DPQUO7EcEQ<{uw=oN0tpMC-n#|ca8Rz&3ppIYx$>Ma&A!1A%sj3JmnP8S*E&?;IKSl;DMC#npAa3qmZ!aZtnO zXV~p&Ut+O$Klj-$Xg~Rr1}2(!ieKAJm>*-7y&!XwYaqA?9!(?pVmG&oSoW2_`@e+X_LNI~Uw^ghoG<}P3qjDNwkt9+alvl2g>C0KLVsz&GgcJ6 z=8`s7kV|$3$4!z{c`k{BBLrw`fgfuxxuhOl($?e^S_wPD8H4kRAy)I;FvUz+X8k?~ zturjN*=s8q>T!qQ8;#i?TzN=F*PlH+Z^6ypAa3?Pp|E%m&*q-AXL&KxPp+AscZ(J? zeLH6QGk@S;d+;F4v}UwD5$&a=QOr-qsf=QNatR99ZIW7ZHsji&Qac#J*IXeSMd;8> z`#FvRbW$l{97~e`*gz-0D}>-rx(Z73rccimLeBCPFeOsPy$+xnMYP${mq3jUENyD} z{bx@Gx;>Ms2B{vEP=xrw|5L#y%$$aa#y_r4m4z$N1 z(^3dnB`1veB&8U!?O{QR^c*1KWB0J&d@G_=^st=6dn#^R`Z;oWQV&Y%kV5_!R~PSL zL0BVqeVsA2_8c#k_Q|!h^KQ{%X>Z5Ue%YV>?r%(ZUG403OKW|;ux1$iE`))5PLg0H z*S_-nuM^^d?+FH!Y7wt)BPjV_6XZ>Y$=ToyN9flGO8(ab6Ja1GA2ouK|207*Yjwy4 zXtB0hZH{x4{I4H+x7E2Br%vr+{xOpOH9<_6(osvmuo!P@tSF^AKgVvTeBXP_tm5UV zXaFn1z#~fB{MmcZlJjR*l9B@~KL`D|itpJ-1-p`@s`Q)FjZ#~PlJ)c4@DkF_gP2`s zOvDe84nbe@xIQXM8i-}dfW$SL^awP@{mn!e=)Qq>O!)Q!#<^KA4r;{djfanLGk9Hl z=ocU1$@LND-J-=uxE&winKyj)ANk^l#e#tP3@?YjT580{_8i4WutTH&rqg2bZf_L;yK-=$BpNRla%1e8sCdhiaR9zMYSewFh}I%1^LSR@F9fix#7NJ4X3^pY%sR{-!n&lQXRbyHkGfXTR;gPP0ukZ|tc`%OXFO z+Xj2YZq#@#7!wqQjE(Q9ePvM9BIr#g=wLG?5rVlWxZi9^w6FbzZ96=n3PEsgV z^7Rpo=RYT=GM=EAMdQW6tG2;1mJta_OF$;ce0L7$veBcX(NR%LNix@L_aM^~$v)BH zwe_v4#FrzrfNR?&RVf`NxgF<5`vxsl>Cfyd*RWZgW9!^pZB+Vd(HM#D*p z=4&fqp){pVxIbx4OE*|yOp9X%RNZ(!Q#(G$T@30)KDbQqjz^>wrxWPk)tsY^TWCV{ zgxLp=jBTY64j=N-1Ml+l1@fF(oPrPD^OL~WvbTGu{&(mI@o$tG!+hZ4CXm9#aktWD zeUvaqnQ|`B){nj!x(4eVSPg1tLn?3nW@B=&6&=_69w)BQb{0wGTctvH!VvnN*-g*% z#r}8z(;||T9S}i1$@EZP9MBOX=ZVs2-#tiAHGVrv!LsJ6Ke0y|8T~v#c0{-^s*Zc` zzcs&ICa<{UakW-cj?qRv_FkbPcS#hDmCXp_uNwl(d5`sF|FOQT9&2o+#~NGHk2TiJ zxle9Rj829~#sYIQ(+)L{HBREO9_zQXM&F$Qo~;49e<@Db+OgCrpK2T?v!73l}d!29%rWjprA1EL{id57)Aw!(64`LgBXb32Z|!}-D?j{_brcKAL<96_Mk zU$)c!n~PlruWh@FUG@8S0x^gamhH4Z&Ns7#?$#GOyy5Biyg=#vcfAXie~vz0js?5F zm8(@Y@$C*37D+em@A2E6(-Sk@yi2<7-hFHpVKfeN(}lSysp+!vt~yW^!oKa{GtUgu z4eKPM^70fxC+K%t;;ZBefAP?SfQp_;H!$3}cZ{ocE#n2at4(}D+KVSDL{{ZT=6OadWuCfxyZxjqDX%i=)$F^%O!J((GAvh4c<9n{7oN3E zu~G)Dd3S}o+PrDr6P*BYZ*|BAM?ZS{@1_x1E*-5_G9c!IpQD9o-{tcy2-}@ z(xse_0ngz{ny%n3qp7NPx{}U-Wue*T1I9$8?ybD2^fKKCWwLbJ97Y2b|pQAW`BM;#`|s)bp9ZwUB0eh*6%3YN(Ifb}I5brhxkJboj{c{f&UhD6fU*K%91` zLo*7ZAz@Fll&*bcP+Av6ijiw|BRPlCA`nfKN;-`Xc z51XCP^i^xpTDc^c29@*|p||v_R+822*%F(Bqa*l5b5Z_9v$U~l(E#Wq?VL!K(!aKx zxAIl|pRC6~)jrIt2&(X%P}^M{rS=+Vq#-5+9UyC~f{tFt3&PqeN|N+Lic(}dqMXN` z+9J@Gh|)N{wPv@@WZ~XF4{`GJK)T3}m z!2FA+BHmd8=n1=!>#I31ipfKm7ew=w1#@5&liapsXsiWuU{nSQb*MkLMc^D5#XzA0 zs;kY4IWUTWLU{7pbIgHJ#AKjpYU{dHU{qo<)OXv|0_~hUD-}q+e6Qxjvag8t#rlId6CvVq_A0Tn$96Bcif=+pjXtoi|34uL^;RrAM9;0L0L+6A5puTFf5f%4-^>9Mq zu$9_%6==i9FCjVUs4XD?m!UbyD-r_RwG0cY)*Ma|bSgeO3M)l8Jw##28p&8Pa+iLt0H6NL$TK zOl7t8CM-nHh|e`Z^OmQr%DDmtQNQwuKmX>B2<55$K;GZr9dL+blwuyx5$hfB4!D|_ z78(&0uE?ey(F5Oh{!w}QiiYW-;K8{6Vny+?yq9phRuC^@1$Lo z3zrZf28xOzBtrxul?sUuq-&%X^wg0pK|C~Oop_;STzuxt&wf9=l_Q;&c2J3jLJzAD&-X7$=1p&t4ClG!4O8(bHH?uMlh>Kw12UbLe+yxP9s>U zN057V z(~|W`yxsCC?<&hSGDX$6u>HYCTNGGpocIdEEnL|DZ5C}dDb-Dm01n?| zFy6&<9KpM6SG&%fp(@^8Zsu5#e$(98sob4@^HVwAjmbQqlA>>y1H>xcErWTs2(~N1 zOQ;&Yy7D&rn_m79@3?9V10Hj~{lQhpEoW_yS8u1O(G|cKNozRjk+PNT$kEb`k1h4p zBV!9aaKKXxF6_5}Lvlbdq4d0|MC7G2ydppVm7+9bFj%VSIkgjI^N?* z&EYks!4^kq4i_BkaHQsN!R>y9n+I3%7RtJ4CzA!*&vWKh8a8Cos(KiwM*Y%lcK1~2iID1%sEq+L%YQ%J#lWAsGB9K z4xk5XlyKLm{TD6j6X)v%IbYfFzeKv@Y&~XA>rHt;%HH1!h6i!Pc9f%2638-1x0Uu#+##Nh{3CMR8sN!j;X6_wdai z(f<2@IfO%`5D|h?OzZhBc~XxRPpM5V#g6WzSXXEv)5*@8_jNxP(2sY4N36-iX)F&=R%t?&^2SY*nwOPLr|*Uh>vAEogdeWAgMIK z0`YWK19B5?>(3JbHbxuZ2|wF0wU^+gwEDQ^`?UJs`1sxbau#=z^rqwpGDA`;?xYrS znFH*>?!PL)Mu9>Amk4*wmGh8PQ**WjHaUr{|bFwwGm2a?+zrqeZN&vyq_3e0@GPH@$!OCDdShNQ0NPPnjX2p3LjU{z(^ z3azTj(88j`if^k&wJj=o%yC~@j{-%V>smcvoIVaM8lQX}|2OvZeWFzIsaq{P(Y)UX z&AUIdk3DzNU-`uK2b~^v<$cr`tRaB8qq@0hQuGzHZ-3U!V8DjPfr6w8YL!&h&7t?U zhfaHRPJV{k+k%s(QBhephlbiOjBV8Kwk8c+Jwn-=f&xIXReD)BLx*#;1+?Ru-2jbt zBuvnkM2F#C(hCR7i@q)lUlo!mxy*(%zB^hJjq4x(cSx`4Id2rp*JcZRdrEx12@c{k z?8+LXGNs2AdY+`2>rM?r?{BUX>EFzad8UO<1PHAMKLPSD1IYiazwbBR`>D6zn1@`t zF_xULwA&?G{KO<5VC5StmM!gI8(p@vL2c60Mps8c16_34(hfJ#WlKBIM0YZ}pg}Aa zQmtZy6uO=1*-ioH^@z1$BAlj;CsC`49=BtTznkWAMU7zIe@rG0p~P?~F;y5ZXlSpU zo*$>DJ4lJ6gjUj3u6$fCUcDH-#*-<{ZPxMwjexYYP_NtHFWuw* z)R!;++55tjYG$%66QMzXvx-?+VS&2F(d9zKc891;1XnB6oohh`tzKO?Y}wk*73YZf z8bJoFE(o#}z-kZ>!d`U*a}@#{HzeetAQ~E)6?2&gqb(JP12R|AB~_rnTqZ(ETzTM$ zM{L{bsxr}PL4>0a({#9i>N3$B!?L7Qf|^Q822_=a5c4Y~4~S`G>T^}7smeqLwxP=x z$LP%J`s7U;nD{a=@fUsG`~Q_+3q!8sSsb!v!&;u$ z09OWEfl@tazqRehb{T7(3&tEN(6VU4HU#;87eN?&vG~9hbY?WskQc!n{->r72s4wJ5I3gmFsN6gA$LJED6l9Ad>Jz3N>*dL@U3ac zT@ZBqOr=8*BO6;mG2}&3TQ@1WusH;=q!@CSB+f#Bb%3W>r&W@qAup1P1$(kEo2tOz zk|f=%A_>wV{6vu4Zac%fq%!D|8?;c#H$qbuV0-yD8tojYrMC*<{YaLp@$MUBrF(L9 z(Ej4h47o(8%Oj>A6Mmpt`#=YCt%CUid_14NRgG60CF@`N%wINAkusJ~c^5x7yHg0= zS0Gla)elJ=vvQn`(#T)C$?FMs2r=a(RcRnY)xsNwP>v#P44}Iv5 zMw5e9dpvvXNTJdFrWG8vMJdtX`HGvdqeKTMgyuqUfVg^wVbq1-1Htyd%_2M9USlo< z54O7ILhxX#YXyfL9_CrWVUG{1mt=z_x5Uo@`Yk$R0*d?G7#M3CRzn)nc$yURg5&`j4iP zv!Gd>VP_b6QO&NB;a;gVzZv$$Rs)$8<3lrKmRRbw5cbu4JRhCZx&ZoGpf{s+0W9(7 zv_1%ZYb>|m+q?1B1*Fl_YmYQKe%-yz&LZPTy@_M5)#qSu;kgm@&6ak_8uw3D&wTOc zyuL&-dY#7=`+D7(NSKlIq1Z9+rv~VlS-*n}v+Q$Oz)B*rU?`^Q_wy8^!LO20b`l$!5B`9t85%eGY?2-sI(6gN$68bi>pOa$$&7#<+$no|f$8olIN|oMBs`RhC|5u*)jffnz&)&q6mr-A1bw|ZR#YB z5Tt|Qf`gQgbTC}-uvoIS1}nmLwkwM7Y5cWY4C2so>3(jt%{Vn33||p5H89A8<0p#K_iGaJQqo}?}Grd34UcW2gm%}Cli08M8aXa z)8hZad66hI1!=Fib*oV&8sc*p%?ACmCUWC?^F(O$+aom0Us&Gt z)R52Z6o&E*k4>Gd=27WgY~tNLKa*{b_)P_xDSZS^nWc_hv90pd}FI>G^!{tw;LQ=M|J9{v%v{-eCSCQ9WQk-SH?okgM53SZb_FfEOAXFew1ZP-czS zrpwR?SUGU&^CykR$0@0Z#$$z!xLu8j>#Z1_E;&n_!-SxJ&)0b4vLzGtZ+y078d7(} ze0C`hXz3pHVYPqzfBSQPEA_;y3GW0lf&T~8z44W4dCl@av0sp#hVjXs9c#7kp92}R z=OHsjLCp1N{q!o3St8UC=X=TdAN7hkklCUJD%lzYTb+&qs!G2`aQZVo5xjf{t)BxK z@WYU641PcOvfESVKxVt&pes)V59q$DudxnfRvt<$=gseP(w>T`yWeHp5^ul9#-3`5 zm*aHNKHxwE5%I}G4gWXx#3$e9|Hhv9q*i-$@G$knpBKDHoSQrYNoq#$a81_jao+u8 zN*|&r9e0b`0BmZdyG$$H&wuq7z56>)!RXVxS2V@LR^$e4zNn>xeZJ=0K;Z2G?Q46! zX-ZuX;|JOD4M7mBn?pzWIZ29>8%?`bZJUf^Jx*P-f z9$~B`hxg)_M}oKQ1d+tQpPj#r-J@mmXr6R;=s&2R5Ex0_UKndn7bdCu`-)SsTJ9kf zkHj4n|5q3Bl!9$N5Mol+xDVRrauxS?$AG;EJRi$+yP#@oZ6JDB6(;8Y^MDTc!LkXEx?zUTC!Yv-dHlMla|?8c@cDWZ z$6gE7VDG_^JmOoQd&kpXh$gH~Bs~^BKW_Op3M8uG9pCw`pZeCAU)5iR3sn3bojrJa zbM=Ty#tTw|?Ef?YE*Plz9#a3R3|GMJaFG?PU~3>Sp$Ui;oez5FQ-wn?m*IjA8aG)1 z6(Pt+!B;F8a*Wrr3GyLG@7M)Ft)UR|17@md3e*L!rQF0FRQ1uI1v=hqc#fT2)K$%H z+0=z^0w54)z{p;RY*wDr$YQoz7o-wfggf8!Olbf9PZY z7AAYU4ukMxHgxaDzy8mBO!WK!RfLkO`>ndgw-eMvZ$YfE->jtPXAcjSf^&!D>K42% zWb;K3y*%ohgdmkxtjL*3NV}k6#c~B9D3715xIv~u5;@?ow*ey|NTuZ~?!dz*ZV|`% zX`Uhk<$<&n_efJn0KPx$jN~r_skD5>!L42-TL$`s!~cRn@{0psK3&M#Knb>9#hfK_{y+1~)yK3btjRbTi_7 zV6D;@KGEJOkxo+8^5Q1loZfgil=yXbNjC$;ySoO;!Jh1M9m=U~=l0rm#@(XUDW6I+ zmnqGB@gKN<`07*mLd?ac=ML1?dT)by-HCehQ&nISGj`zYHxW<{Y=BstOa2=p$gC?R zR?LA-N$BqmfFn06=D-H|8s!W|Cu`@_R5i9fY6u$>1irhy#vIrHUcu&Aj?MusU~}pm z*lZ9&dwQYGPBHZ6lbZZzX8*V9EZ?hIs-Qp63`y{Vo}1apv7H8^BPbq|F^; zBlef|t`=52h8mHGVe-b`#mOuuiu$MDl_=_;e%HO&HHM;o0v{fEm|mOU!xz5uJ3so_ z-&yE7v>nUAht{0+1i*J}nPkrs8%&vRv|S#$TW2SFY01!!hGoLh zfS9`xl+fJ-3$y}=dCTR+sl|~uK}5x@ys&gX$N1y=8YKcSLFAMSwXj`FF(-c+3ElnF zzyjdQu*mM?`Qp?Px|<-HjTNN`{Qqz_?RJhZpBG6s6V0B+l46!^^9i)wvT+TPi?tc> zBq^c0f1d?Xw$hmePe;86mmF!p?>VIL{=F-&^xp$raI&=b?pvuJBIVP4FZ16UHtilf z`@hEx`aN$pRVM(OTBNtlE04F!wt)hCW&gMD4DmNgB??84wEbV^TO{M5Kky7A#|fdp zlQoCO*F0I8@i585e8F2m?Crv6ZTwbX&GcB$(3B4Ume1CLJ#h8YD)FlwI6Gi+hqe*U zVGCQy^kC26=@G8P=XEWanfzc&&84h)IB522E7+9g?CH^-AwS9omTLl#)0|yXr2gIa zz_-W)y8_2cD=Aqi|2+Y3(Kbf#yLHw~kM?YTKsHK#c?{BRUl&CV*qZjb5K+8c*Khy0 zm*A?r1XtxHz~AyqfK7Y!AyPj1OMt%(`nUdQ`oHlw#wU5KaT@rw6f2wQCBSK_mw?+3 z@)A7b?yW!l{x32kEz6elH|I2r=--qgmzmv>Q>V*Tbqp@$(W(x}Iej2U07Xg1@6Usl ztbhl}4L?Ax;K@xF3;`rE|JjyZ?^$b zXg6DX8jr!lI$82Ncd=E3QAFmZ3)`kI)w5Tg@^ap_+%(^;;*ht#@ci@j49+MdGa4zc zRuS|`QK#gtp0mN9T_;nk)MczE&m$8;I_Efcs0>EqDm+vNq%lG$r+jA>B4DOf-uJ>) z3LhYOEV7Wp{M*)Zv3Q}928}afK-()XUcKdopm0!1<^0jwYh;I1V|MVxH`pi=RH*?SlyYAxF zsKFh?6zt_a;4!Ky7ut>YyUHU6I3v?#@o!oeZF&9w>qbkUE&4csp5; z8o~vEl+%!uT(SaV*ZWh7)YAa6B2Hq&$(Y#^>aNFbD8o*!- zS_gGq0o;}#f{?F6XSZFGR>IG|Szx>wT{1x7#2K#6p6^cj=D-zJYo2t;=>3RWq>g?n z{iVOIvdFnKIJ&TPT@`2RzLVZuIo>SJM?xHT-u&Ni-Qtt)^M7Mc-zQ29#UgjLYdO4# ziMIi6W#z@lc6bpIXQj>Bd?U8H7H@++|6wh}(7PcD`@NWb{>v|iRAq_!eFG|Zf4$3o(+@iElx~`!2nn9}MvqP<* zuB1xfT~zUrrajW})aU6{YB68JH<02ZO>v~-YyTeaUJh@NIsxr;K3rbabU3%;^farn zB|nY3;dcdsdpS}Z1SrVA#1mmRzj#`$q- z-ZmE;tZnj+y5L}KlQ-K12TPlDlw9yZDx1^=s(nf}=zg6I!e#{qy@y0lM~z%V3)xwj z11cXI@?hj(kpuF}+rFHX-54Df7e(nI=c6XAnW2XdNozhGTTB>gZh9^%&(IXN73;8r z(${pH-4!NR=}+3`44HFnS>PkwK1iaEisTyT09u^g0uZ_O?CB|scngF9|7kQ0_nWUhuKg)T1wq2g@HP`%`)Bn00*M$8I3?*rI!N;6O4J9dvF(7KU{9 zd1PU2uJhc90*@B=W837%OlIXNbeibd5TJLBhHVYFF_MLFLBJx6MI5L<@l8UI zezTt%b${#71S_m|ZiOIKq6?zGR;M$grrSX&(A{uB;2t!=xLqxTG$2=fMQQpquGbvn z3`7B;6wNh?b~HgC2kB@*aJNG?w5bkL_4&EM(10E=N-lt!Y$a38mp{4AkL19~y5@V* zDN;w*-601kEG!3L|CZ9Mb_JaB#C6R_F8w!Q4DX`1pz3yjbvKw^e)3>2T?rn?_w z=x^XPF@|<{==t8<-L;bljK-bn-m z7W51|i2xUCu2GbspBg}F6I@vHf1FyBp|7}{YrzBGTJ&%}wJ1Xq1Wga?9XK^Ewr*mw z=-7YA-D*LOYBX?xrJKg0YN4vyvi1uCibxl_GpR!QdDvst2Xi`NMQBa->6YX;AMBn*j=`q6%Ofa%DO#!02k$T7myzTAnb z;DV%qNKYi|LQWZj)CVd(9qnG?X6*OMjUT$Hh+}GS4NhtZGg-3vf3`wR8*|TzV|@MH zRrN)$z$??N!>c=yW=T)`Y&)NG+y}f4z_{8sQhUAh2F;8`7?POc!E{}9E7S9iG|PsM zF;h27D+R`jfoK~x-PW`gNbz~BY!{Lms+c4g8P)pZ;pk`%CHFST!)mWy&jIgw{UE*h zDQzb6H33Z14hIxq+i#?ZFOx*2R+%;qC{(n+l9q@}l5UN@!mA~qboH;Ktz<5VY?b(X zVBNA}ZTjxjJ;JS#R|9IH)1wMXo%lVWV4w}~%O9i?+?+k%qxhAU=vry7GvA}_YOk*_ zAhA$mdCfCl%)acBJlM$aWQbemjdLM?F(E(rVq$;wVsbmbn3{Sj?=d%z^E27Ws}~bT z7^9P4TJ>V$d`Dl*fkadbNmGbf&MSzzj+zA%7nx?C7_xkv@L*9PkcF8~Cp^UHQRUNCd% z{D;}5%DipF6NvN6b{sDdz1T$J4reRwtNvQP`Q#fm$yvB_7hAL%62>7Bg-!g{WfMzn zP_8W#3+`gE4M5HDhryGLPI>evm`)_3Mz7wVDCw@A<(L@w{lEJw-~Cij6lgi$ePIW; z1hp3G7pj46mzBv~aD$q+m{ZU=c91o6wpN6S!buYC%y?rbB034IgYYrlXMv!L51viCS3(iC< zSRs!Z0QN~%#*XcBe$QAT?jXl>j ziftaY%(Y#1t4vdz|I;OF?71V)^-`|Qu6P`RjxtU?!v)HvvS#YKhBoa`7oaXvL7;D% zx}aruL|v*fUkYkY7tBW|^gUch)Dpsc5QAS~#?`k>$Mcnsqrq?Em`OdQ8mhLSaanq~T3 zxIL9Y5``P;8CVxU^g^G5^{g^S${SY6SNahHpasdENMUhR_3Us9+K@ie{GFwV~OUq@s9}U62Yy~CPpvf&sU~9G=h^zM0yzRc?4#QR;$ZY!xEaP+;;?ya%MLlNRX2@-|DY%r` zLV6M`ETUBo2(g+K<*Bf1+^s;MucrpNStBSBhF>Hy)8tIyMedA>I4)9gu)e1LY7cQvi*!CMGs>O*BKujGZcnp0n+nIS7#D>{ye9&-jVPt4ZXl=h5eht;CyxEeAJW zFfXpk7n!#q?3N21gBaR=Cf~}(K#GMT?fY~}xuT?!p6wYpdzfWK{sd8C=W$36H=v13 z*wVml(Bf0w5UiP=?vx2fz}a~m(&IggOgIRC+BZuaZF}No8^eqx;{k`ZN0W5>-K*@nT_;`zgtP58s&*8EA+~miV=!)~KvrefcN7~Ke4dkrl zA;;3EUm6JcOT&-(C9_4!OT%pSnJ>*imYPvxP8tKf2aS}HmP^sbVdmSkHlk9#Ws&8S zG;YpPGiw=k{ee5J+blUUmuF?k5oYHsEwkj6UvmO(C{c9!It}`eN$|?66SQFZIoh-~ zyN@fs?xs7f+blUct*h^UDoc**&3+xTosl7Q?n9YI8Z&a}t~L-C3YI0#%2`$O0h2C zf-#3)P!<%o&ICacMM5277Q5g^@#;(v>u~fW1kp#-f*ZxDbHRnoLxSLP4!)ZD)M6$5 z8W$i$6j~(+Y7)kAV&h%>%2!cOMciU_oO|#tjnk}heWZx$P#|@0AF{KNlO`8Uia3(F z{TKZ4OoOC6fuuc>KcNv>*c0~t<-`V(0k@jhXzB`VvaTE^b??*l)|m|Ur!bG_?FP(~ zR1QO1myqqSpAyQZO{odH!6X@fX($jPMA@y+C1`ol-tCxHL`mU~LlIs(Z8C28zO>0p zU;X{75d?IG*gj1_=ZNi71aywr28PMz>H6z!AVt#y1&B@5bc+GcDky;1Ckg1JcuX}( zu+woBv_BlI<_H417G5n;r7N{1n8`%@At6)iDn!2-u?@vcf*xWCXm$VX*g89?B-u z;eWf#OF-ZbU7?1_Ag`Uw8=94 zeu5p$X${GHgj)lw;#uIoPFiFlpd%a0LK)`BP$@-r+<*HxbaaJcE5*yL7gv z{?MjB^dCL*J>R;C{!m5Dc#+oegK&w+AA$ZH5SMA0#CCOHpcug_g1a^RE+*YWkO>c8 zF~LB=-qr{*;o*XLK)6s>o8fO3_tmGFF476xR3IqnT_UOw?p`M+kjY-&7Fh+R7T8l`wtm5%bN zJtuBXLmathKZn3r&Bm_I=UG-_Sy$EWktuaEOfMLKOte$uV6O{uVl6UiC;x`;p|d;kO= zd8NmTZEpF-FZ-nX6vg6rV^vRoNPFY?A*RtmX&M1yn&LQUob02y7vGZI4Rk zY|Q~_9nBSC&R~0)IqmSo4$b}eEtvsQ2vQEH6{n$LGT;P50}ygTe_#N@Xzi^E`#3