From d364a38abc8d90ec583fa3738e81562efc815059 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 5 Apr 2023 14:34:11 +0100 Subject: [PATCH] [LSD] added generic version to ALUDemo (pratica05 - part5) --- .../lsd/pratica03/ALUDemo/ALUDemo.bdf | 90 ++++++++++-------- 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf | 71 ++++++++++++++ 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd | 36 +++++++ .../ALUDemo/output_files/ALUDemo.sof | Bin 3541723 -> 3541723 bytes 4 files changed, 155 insertions(+), 42 deletions(-) create mode 100644 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf create mode 100644 1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf index 8789a97..c84eb4a 100644 --- a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf +++ b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUDemo.bdf @@ -20,28 +20,11 @@ refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. */ (header "graphic" (version "1.4")) -(pin - (input) - (rect 272 144 440 160) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "SW[7..4]" (rect 5 0 47 11)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 208 160 272 176)) -) (pin (input) (rect 272 160 440 176) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "SW[3..0]" (rect 5 0 48 13)(font "Intel Clear" )) + (text "SW[5..0]" (rect 5 0 48 13)(font "Intel Clear" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -54,11 +37,28 @@ https://fpgasoftware.intel.com/eula. (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 208 176 272 192)) ) +(pin + (input) + (rect 272 144 440 160) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[11..6]" (rect 5 0 54 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 208 160 272 176)) +) (pin (input) (rect 272 176 440 192) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "SW[10..8]" (rect 5 0 54 11)(font "Arial" )) + (text "SW[14..12]" (rect 5 0 61 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -73,9 +73,9 @@ https://fpgasoftware.intel.com/eula. ) (pin (output) - (rect 616 144 792 160) + (rect 624 160 800 176) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "LEDR[3..0]" (rect 90 0 144 11)(font "Arial" )) + (text "LEDR[11..6]" (rect 90 0 150 13)(font "Intel Clear" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -86,13 +86,13 @@ https://fpgasoftware.intel.com/eula. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 792 160 856 176)) + (annotation_block (location)(rect 800 176 856 192)) ) (pin (output) - (rect 616 160 792 176) + (rect 624 144 800 160) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "LEDR[7..4]" (rect 90 0 143 13)(font "Intel Clear" )) + (text "LEDR[5..0]" (rect 90 0 144 11)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) @@ -103,24 +103,24 @@ https://fpgasoftware.intel.com/eula. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 792 176 848 192)) + (annotation_block (location)(rect 800 160 864 176)) ) (symbol - (rect 448 120 608 232) - (text "ALU4" (rect 5 0 34 11)(font "Arial" )) + (rect 448 120 616 232) + (text "ALUN" (rect 5 0 34 11)(font "Arial" )) (text "inst" (rect 8 96 26 107)(font "Arial" )) (port (pt 0 32) (input) - (text "a[3..0]" (rect 0 0 30 11)(font "Arial" )) - (text "a[3..0]" (rect 21 27 51 38)(font "Arial" )) + (text "a[n-1..0]" (rect 0 0 41 11)(font "Arial" )) + (text "a[n-1..0]" (rect 21 27 62 38)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 48) (input) - (text "b[3..0]" (rect 0 0 30 11)(font "Arial" )) - (text "b[3..0]" (rect 21 43 51 54)(font "Arial" )) + (text "b[n-1..0]" (rect 0 0 41 11)(font "Arial" )) + (text "b[n-1..0]" (rect 21 43 62 54)(font "Arial" )) (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port @@ -131,22 +131,28 @@ https://fpgasoftware.intel.com/eula. (line (pt 0 64)(pt 16 64)(line_width 3)) ) (port - (pt 160 32) + (pt 168 32) (output) - (text "r[3..0]" (rect 0 0 28 11)(font "Arial" )) - (text "r[3..0]" (rect 116 27 144 38)(font "Arial" )) - (line (pt 160 32)(pt 144 32)(line_width 3)) + (text "r[n-1..0]" (rect 0 0 38 11)(font "Arial" )) + (text "r[n-1..0]" (rect 115 27 153 38)(font "Arial" )) + (line (pt 168 32)(pt 152 32)(line_width 3)) ) (port - (pt 160 48) + (pt 168 48) (output) - (text "m[3..0]" (rect 0 0 34 11)(font "Arial" )) - (text "m[3..0]" (rect 111 43 145 54)(font "Arial" )) - (line (pt 160 48)(pt 144 48)(line_width 3)) + (text "m[n-1..0]" (rect 0 0 43 11)(font "Arial" )) + (text "m[n-1..0]" (rect 111 43 154 54)(font "Arial" )) + (line (pt 168 48)(pt 152 48)(line_width 3)) ) + (parameter + "N" + "6" + "" + (type "PARAMETER_SIGNED_DEC") ) (drawing - (rectangle (rect 16 16 144 96)) + (rectangle (rect 16 16 152 96)) ) + (annotation_block (parameter)(rect 616 88 787 118)) ) (connector (pt 448 152) @@ -164,12 +170,12 @@ https://fpgasoftware.intel.com/eula. (bus) ) (connector - (pt 608 152) (pt 616 152) + (pt 624 152) (bus) ) (connector - (pt 608 168) (pt 616 168) + (pt 624 168) (bus) ) diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf new file mode 100644 index 0000000..1bf1ca4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.bsf @@ -0,0 +1,71 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 184 128) + (text "ALUN" (rect 5 0 33 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "a[n-1..0]" (rect 0 0 30 12)(font "Arial" )) + (text "a[n-1..0]" (rect 21 27 51 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "b[n-1..0]" (rect 0 0 30 12)(font "Arial" )) + (text "b[n-1..0]" (rect 21 43 51 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "op[2..0]" (rect 0 0 29 12)(font "Arial" )) + (text "op[2..0]" (rect 21 59 50 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 168 32) + (output) + (text "r[n-1..0]" (rect 0 0 29 12)(font "Arial" )) + (text "r[n-1..0]" (rect 118 27 147 39)(font "Arial" )) + (line (pt 168 32)(pt 152 32)(line_width 3)) + ) + (port + (pt 168 48) + (output) + (text "m[n-1..0]" (rect 0 0 34 12)(font "Arial" )) + (text "m[n-1..0]" (rect 113 43 147 55)(font "Arial" )) + (line (pt 168 48)(pt 152 48)(line_width 3)) + ) + (parameter + "N" + "8" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 152 96)(line_width 1)) + ) + (annotation_block (parameter)(rect 184 -64 284 16)) +) diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd new file mode 100644 index 0000000..8f0ad4a --- /dev/null +++ b/1ano/2semestre/lsd/pratica03/ALUDemo/ALUN.vhd @@ -0,0 +1,36 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity ALUN is + generic (N : positive := 8); + port + ( + a,b : in std_logic_vector((N-1) downto 0); + op : in std_logic_vector(2 downto 0); + r, m : out std_logic_vector((N-1) downto 0) + ); +end ALUN; + +architecture Behavioral of ALUN is + signal s_a, s_b, s_r : unsigned((N-1) downto 0); + signal s_m : unsigned(((N*2)-1) downto 0); +begin + s_a <= unsigned(a); + s_b <= unsigned(b); + + s_m <= s_a * s_b; + + with op select + s_r <= s_a + s_b when "000", + s_a - s_b when "001", + s_m((N-1) downto 0) when "010", + s_a / s_b when "011", + s_a rem s_b when "100", + s_a and s_b when "101", + s_a or s_b when "110", + s_a xor s_b when "111"; + + r <= std_logic_vector(s_r); + m <= std_logic_vector(s_m(((N*2)-1) downto N)) when (op = "010") else (others => '0'); +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica03/ALUDemo/output_files/ALUDemo.sof b/1ano/2semestre/lsd/pratica03/ALUDemo/output_files/ALUDemo.sof index 446fe14a3d371c3fbe358492ea0ce93463326963..4c36467134cf34215603e8c642948c3a3b009bbf 100644 GIT binary patch delta 15447 zcmdU033yf2wcdN*=_I)~IXB}?AmQ93WP}V10cG$6Ap`{t%IH9YV2f3&)T({2^?5>3 zuqq84@$f`6icDxdoPwa-0wNNyrhe8c{d zd#1hDS$nO2t-bcz`@FjG75*yzyZgk9?)6Vj^qjtO^rIhhPDhiAt2i@Piu0}fkH&{9 zIin(&&Qv;3@iK#HbigxVFs53~e;*&QjN{|IX4(FFQ}=j>Q$1OWPGq6x1}ZbJ)p@rV zYaKp5KFfUAALxD1Q|L2q^eW+43!&dwY-OEf;ulpP(vu znlH=)GkvzPD%32@tjP<_VNZ+@hOpLMCaxsnx%AUQuf0gtcF*3HFU*mb)|_zd9Lb5P z?QbS}y+(8x=N)F37>@TWqgo5x_k-1rt9efLH+vwyRUFcoPLc|m--?#Sx_FeIbYIqh} zf`jYEQpM|h=^kI46}~Lw_F=gI6Laiez3*G+H{YwON(G4oGJdjCh4w;`Yz{=vb|gR& zy3)hF_R1>%{9N<3nWdk@^dhtDAK&Ad*Z@Wzv@btXd7%hr9Yi?fu_rwk9aeBs1m9^Q z5$sGd)fBbkML=}u`ya~U_^kQ z=kW71J}??4aLH8r*xjBpLp;-5+CJR8d~&%IFRua63+&LAjK$>%%tAo73oGB#GQ3yY zKQdkKEP(b`+_0hx`84}@k!Nq%?p^MKdK6NREy2^cW?`-0URWD=vM$DB&e1;2wx%t5e#xr<1 z^WKe>X6WqUQtGeV?Kw0${t9TeKsYMDa(X_A{WDZT_rU7GRdQCu@0nbl~|yxUV-!F~4nhOD2o z@NUFA^=@A-^g8b73$W#At}hR^nlv2d_(V0g-~Dai?~3C|p7MeF$%CQdF-39-^}L4A zYY2RJi_JYEY<5NqZJ=WJ7>bK-AcHSd;`X4|Jhd)ud>*Oo{n)$t4(??E zQ-_spxgH1_bi_IV4s396-81RrZSS7wU+FnX$$l+t|4U;*Mpk-xlD0A4*y}3-|0K8% z2I&O6_QR+7_jBvnsr-Fu)_}ey28TYV+#<|FZyBgOUf93dJEFnwTEb86yfAcvW2t7( z)x=<9>1%V;b8`C0IN`gmd?UPB{esbOk#6mo%0D_efgJuuA`(iNjO||_E_XxUcwlJ^ zj7Rz#h$qi_?k-UwdBM+zLnsI1){fF4ahxuse55Ub?UDDSgXI_CsjnZN9;S(yj?O+~ zkUojdPANPw==68ea1qBQ@fv&IH1A{FzUN0HPH)VmOh9Uc=!~6D%?q5+*m)|V=$@vS zF-{b6$PArMdBruEc9}oAdhOh+W(KPr(!e#Yi zH(qC4UQ(Sy+QnkcU56s}U5APfrPV;H&qyUYV7hi9%F)j&8PsW{lDBc$>crWQ=V}?G z=B1iPR??KbU*jp=k;gJqj0ZB>e0NDd6=Vq8|9VMXF(QdnDLbLHlDDDFzXtgQ{13Nh zjVS%+(sVerFyQ~7-;C1!^h5tm;^cz>geVy@dZh5`QKnn5gE#yRBY zGxHs<|2UV)$${}tC?EN-=$(`7J2Y`*Q932tc_02mQ5|@F_A5x++~*a#8$nzgmpUNc z;~iS+lu}Kk%KjrMoeb|&ZeBILA*O&O|6__+rS_X#+CTf2Z%aP+S(q`7wyQ#ct~STX zn}MxQaxFfx-q&dte(2wKaB#iFdisTg4}Ap?_n_mWlY~^W(}5t>AA&iD%>k}3ZT>O+ zSXH)&(laH|p`dZxlO`#Z&NBa3FmfW<3JhHTP&oe0$dD0F^2}~ACS^4J9XdyF>Vwku z$^VBC^Z(G9xL*B~&Cb^q*tb4hJ^YRIaGSSup7XN|FRdWz zNI`Qe{JJPiNu1JR%DsUNZ5gI-!eVNPmAknD-aNy~p3E1!iNFd;wC}wdPXpF0>fJTF zSG{P-RrBCmb>txeR+a7fu6?A%{~lKr18=3&x6tT>Tdn8vnh?iY=m2&Cywch=j>}B0 zowXy-@BHGoGb|X3md^_f+IpWq9!!xh1*4@^lVH=xXA$*+Oox^ZO93fV_{j z)>&_Rd8AMmNoxyCN*2F}Bxurxm9iayOXcF@IVYI;?U&f2A+$OoGK3Xg%10tYof?<9O70g}WH%it_jnxdo|?&zx&om}{Pek-d3q9iqw)LQo2YMu;6J$79Ewci7c)B+LPt?Leb!uLn_8yXGiZp zNtzDCao0G^1uJUoOEv0#^XY4Wz9j3$+TFOkqDmc%62}=aj-^TET6~|Vcjf<>LGV357O3BRDJWTPkDGEROdleR6Oc)7J=Ip%HK4mKZPgv7ihA_-FNwzI_?QguR;x~ z!fILtQ=&xIq&2F}G|P**OxZ3p<-o3KJ?wGl`jseFjiTk`WR)td1w{6AF}B^u4C=eG ziSs@BVy@xbEt(bnXnZpSF(x$?*xNB@3eVP64HbnS3_}cX9;2&tJqwiRcD&4EeWdw# z2`fxeg|@3iP^Gq1+QLod%7z-!k-t_lG@V2%^^C`=X5bw-NtALneQ$4gU0Zx@J}bDE zp5`(y3t|rpbT|_JJ~NZ3d8v!-*6X)>BOb$}oA())4DEO?2}kfg75_Rl1&T>{b%>M$ zrC>^z_hLVNUeZXc^d4zFLb;sF)m0VhBb~Tw>=A5KhmR(cukV1QPaTIT!d;_5f;V?}mEVr8!`xdLnAG zMa@jpJ3Izob-Lkoq0esHIW1SiQzB?AZWTJ{8n~2wrd=1s{s<(%X50I`;!g%f5U_MBqHi1nq%3%B@9#4 ziEpO_nPI)X$@3yAn>=XHO`&_4SMh*EBQWPL)1`BzCny^J4gAN7vC5wNYq3y-2x0lG zPh*0K`_1T#rjgV`B~z0v-0B4W#5rSqW^+a{*$M5>dWeUWzj%bvi5lV)Lueg_3Y^x~ z8GTK-wd;1)p=EpH&1-b0SI&*Ttf=nOA-L0J3Q+C-B*1h+(3_ufro7Vtsa2DoV~az0 z?VBFK>XwjAIK45ZN134!C91ON8pf4X5zkuuMybmhnOq-w0&6Rtq8fDEf z*z5MEtX#Hj%Gx+Fc7Gm7dMO?OIIj8NzQ}mNf*A>5v|mhDN?gJ#-aiy&3Net&@6PZwR#lv!dL{9bfR?D zPAy3p5#k92RrJWUUc)?gRxFA>!kb0b4+6}%qZq1q7SYuGbyJ#%RQnCaDji3*;7d`K zhoeCkSKPc-*XV?*(4d84&<}x9!+(>xtG$56MyUAETV=sF=PJ4Z+H9;)Og^C@a==4P zQI0wX=eH-)`?cr{VPV@*xllFholTw=pMjd16}^^6y(->Bkb{k6yKbS31Ut!1Xd^L= zRfwS6N-=_B!w%%i)LEtG(OH4)h~!N&tuUi^-^QD0+^13eXX`zt;G#EY^WH#G5=eED zKpQo2Cl3K&&NM}^d|`EU`VeN*9>j0VS5+f!H3<;&4=lw=ZC zXJiqob^P^M2X6Nk!$^AXE6k-i-E>tcKikU=kaTtf&&z4uw7#kjxRqrhY$aZjv4NXm zTf3G!*a&wwVcd9VDzrHs(mPmJ4l-4BM0G{81CGw~K$DEdz(2bp!g@41p(-`4y45$1 zkD!6MMxntV(K=Jo_N7>L=+nC$`>dv zV6$B81&JMc*16r>7hs)FLpfv3V^#H|h#A(`#9PS;=uKA;yRq`fqJ(46dxj~k_R6OM z+gwm&@h&nH+r6%!Z6g>-;Kc)8Mlqa5p-m+Xql|;Be3RrBFVfwYkZgpxvT!T_S0!>Z z9r$adOm+>-UB?JFDq=vDisEIOg8;_>3?sMq>gaNjGZ~aRAzI^IykVZ7bXhBPxeL3x zl6BeF>1UezS|iHBU2&w#O}8as<0W{4he(Rk;TCHfrjbVLiSO-jgwl~iqnfMH;BCcB zmlUar(#rItSu@$YS_{`d8#bxE7T}pbY^0%CBE(n$i0Wv>wk_+4EAYiVSE4H2C3?3U zPp7~zQ=U^)*4b9hy4EU8`zDQAbv4kSCZx8Sf(~IK!#aHA-eoaX_lVUxX>f_~8-94v zCUDbSPN*k|%0fNUSzm&J!3-a1`Ae>ro8|UMvty2Lcn3A1riS$CbmbI->C|SS$kuhb zLsZctUB-dh{u2214C(q2)gRg9`7JcRmS=Y}fqM9-gJxb`*j%b0%wwxb7*yz}_Rv!* znz?>U9YL;HFyN}?QDQU!&6yBITr)(qn${1Q?{a2 zsH(#byY`)eXJkdLZ9%^AV9y`;-nG4w1Fd%UQ+)Z=2I!19S*!wj!$ zma_)4?afl*8Dl;_vjEAanF7ukR!1`c-fFGS_7u2DC%;*9P65q0&l@_dzC=20#c*C8 zgxhKwX+$-;0>ZZ!W(c38TRq6Et>jvG! zzgu*fSCdyY48vL2;Iq#vNmlbD|_izWM6;UgtLb8g=D5cjlokD(dZDt`r|} zZ=dQss3i$1C6ringr_B%g8&S7-~2|Zqf=_=Q;x(^cwIxa4sn1q6}?p+oFBd2aPG>> zRaW$)?5f@~DuXnV-)v*k_T?O@mEU=6P`p&oUaO-`C%MnZqp?KhH+ zT!`Q%HAPgWf}7;EBxIiCqEK;`V-OIg(9D(dM`JKEyk=*6adxgoeWP9i^2wQ3H_Ig-*_jsc9k&P;xuIA{_ZrLbi^36SA39RQUA} zf=Op@amd!!`j_Pnxs3El0cIl~KQ9{H%wAjy9n@~80$pDy(k1m)rtb3Zh;eyS?6U=c zASs7S9hDdvMGJ>bc^ZeU#-jp;%1R=?cp|!>uNSHl+omC=qR*ReR(xKK{K&D^D!a`R zUnQU)yd(6OyG>AL_C-8}6hwYUZqAtG>PxZl-P2A=d$!AM@1xuY^d-m*ac#+Ogs{&Q z8yNm6!-#qC1oGmamY|V@@QG%#C&U*e(9+5C#%3&Oc1{h<^kxzUTpS zKcWr{_=;xWXEI5q~G$QMc}{xo$t{}k+U`+VCxBfrB$I_8}$ zZ7Fut#J&va-L&;3o{(O~hBlvh?fi=%zkWOLpZw@3D-UN95baH; zyyG>_mis|94B2KV$sS?KfE{*mr%3KGj-tXmC+}eHoE60;l3K3!HgBCQV#2}uZcHl? zkhnGe>6T+e>zvO#Af1QepOwi^vOXJY#L;8mc=6Q4R=h;tF(3@~-Q<+*scJi+M$X5Um)>EG=4?X;z(1xnMF0wvIaa$HvzE$X6+dZa#9-4%EL|0Ye-lGFlcJ@%YT zlDYT(_kVuhe1rhWNbc2DZX2jU18bs1gn+F)_PWGcO5KG zv#&T`b4rXDZDkc%_JW_v73)VtWV^LVdW(C8 zkDu(YMx_+s*IXWur3;zWS)y6P%QVWYRVN?I>t_|GG8-nIW_K(cH{V)P`C@2EH0hZP)sfAoEOY-lkBZ8E83H#bSsxS~0$gxo37iDhU&7Q@ZYutE94==}ru z&V$|Fdnk?#x89yKg&Mpn)xDVEj!(1I)oxx|;(wk|iG%DKVd0tRfOHDxJu6hQGshI) zg7b@+x*Sb2Ohy!*_JH<^?MRcp6<$~sbxyIQ zkmYu_dy-$c8RO))A<7GI50k^EQ|anzhg&9)e8gxs&_M_Kh)9i!%Ji@~Ch_^E8-$Hi zQK>{CQFslk7-qMhN7L=fT$&G2m94WDtfz`Kbykj6^KFVk_KzfHa2+@bqbPfEa}!Q@&Msdl?lYJ_gV>OSRkFlG} zyoyYObEbpGGtin%%;^J%OO#*f_dm^GKc@@}>rhZE_ZBdRObnj^fhY!jUDl|X3sGPJ z^LTbU45Pi28N|UbtJ*PLqUO!+rhC;*l9YelIi?tt~m+Ct?#RLm$vH>NLV$G7@f0m zRX@G=YTr~7vbC0w&W7|jwY9m~B-rFM2+5_98pE7AM)HuAeDkuQoJq2Nnw@`+zXUD1 zj-rPh)(abILRYluX=**_6UO|%8Fhk+MO;7$A3_Rx&@`Rd`OPJjxcVYQ8&zG<%*Z1W ztUEWM9pMfqArn1>wB{tR1N>iANXT*UYHe`G8KSm>Cxf@KfRW1~Y()ZVZjofjuq)@* z#L2HFS1 zlM`w04Sx!nq4s)Rl?iZP6bBq4yY@;jE}10I8OyE~rgcF&{pMuw;~WLWKF-upf(2k> zk@Ovi>@I$--~R+tb-*5Cz#ermRnuZgbpbOJ^xF@ZNL#3<)uAZpZIX7PNca2V@&=Hs zOMB@qU<=eZ@Gz86Ax2QPPB_U!BZX@P>!w+sJ#a$E{oZb>g@3$}=yyYa0#Bj(g&}Rv ztxVfr1Z?M&JIF+l#~j#YIdhIuV4h<8noFjkH$#0;sEis`_sNlh#W3_kvH4Uj1D$;e zfP%oV&-<+QYcipv-UN7&(m`thwj%H12rKVI;6mT<8E$WA7?DF=w=!XCbP?GW(Z%eRRx(st0TSEH z+%Uz2giI6Y@duVdK}!$@O5(bHOg1jHeT_oYYi1HMrIDFzF6b{9l>?hKGri^_D7gJh zArrF=65NCk06d7qZ(aO1uCJU2a-U71?DQcf>D--#4(iPWT|jtGCRpzGcG(@T6wkKj zsdSszm%QUM+>g|dAejp}UkH7Mj$l?BqquR*W9o(m20(wq%wD9Ksw!2mwMhs`N9Kzt z)kAlFRRJ%Ey2LOiWGD_EJB@lwoG62f!o;vPuV02KcX^+rDN1W!<0I{T}c`|ez9kihwf9sRjO zRhdpshdl$i@t`#XHat*2otO=AZDSn<-W|GV-xH#>bKA#%J@!lt22^mA_Zo4EjFgP_ ztVN*ON|Ep4tUTT`mfQkP4!|BsbiM$FPDd3I@x)%Or5J}5(z&k>-(6#XP#;_x1=4ni zij!qVp|1kdiv@$BzVjKx(gC$zwqXJy+O@sh$5Ydpp5~HQf`ZHly;*iU#TA7V&;`6? zQr`S+7C0RYkc9!R1WxbL!izxr4e$yaOyKn{Pt9LI&7FwM=c`b!kxs)yJ?t%5vkUH< zIRYCu2~Rtyh(lj5M@&%FuZ9ry zdl)er5^KI&gM`Qf&2kfIu7z)N$>br}VRLV6T9|q#)k)wZnJg1Y_ynU$?{VV{ffY`y zD-y&_pJYz(B>;vM*dQADZLtJ8Pe7BaUQBL84Zex6ofh`Gl>l1Sct~%DWakNg3{*G| z=(}kjfZ79E1mvJgkM{Nl!ycIQ`g9$%XGGtmVNCZO1k=IaH0N5tk$E>5j?l^ zwiYy(=)s>Np%*;oRqo6ea((oAQV&kc2d2B3sU`#v?I1qu&9WlYnD^2gO~*Jdbj3J& zftb*-RbE$bov;}-kNHQr zcOE1{R18ErU&ndDekuem%$qcnPikhzoN8^WR&8Gc&9a(m9sG_?_KXiVemPQRAbO|F z0nWBCylz9xI7C}eXQYmcw#N)`2zazPFxekfn8@y@wuFEnhnzu5N7DC z1sS#cv31gO`F3E1G@5^Kz5Mal=!!Z=KbJ$)Gqxlixli}YE#M#Uk!xMH?R%fOc++o#lz;C*OIi{PykWkfX?>ilOk-Bv+iC;}RI6 zU!84_A4v;QrI3PG)0HTBAnA7u-jG{Yp`@gF_R9x+K)0W99%`F3hoNXD$bF3IMSy{8 zss$>W9}Ig}ti=ruNfAK0f5;dTcW8U<(?fan?(!{y?Rm%X>8I%Y{T*3nmROxNsn+(| zeE!`Yc1i}yIn0_rY6#-T;jXMN0^ZCpw;!A|Q1M5wQ9K)Q@bHi!Cr(Z1hK~F6pUIb` z==fPq=sz`pSJw~8&zTYP)U4*z8I6c!Q%d?{*wo*r{V5YBI{6LhOuitQK7RSgm;Y>3 zA1c_>0B%cO9qIg|yHv0$>pQ2ka1b~%5MT|$ju`_Y_+W#$RUTbqe?Gdn0DZa!9+3_& zyT*Y~E*{fhtNPSo?XxWt1toP-(v;{2bSoHo;BYBTP!R49jFf;$Bg7V7DleMGtM%;Q z_7=FpD+j&}tDKZDtAbw*yIvNp55M^S>5XLNlFF=3YMR^?9h(G{&9p4;z=m!=Gf&V?65<=krCYtDP;idBfo~ zg4gEdUMKpUL$C^pG7R;W;T| zKhT{_59pwhoR8XHOZ_Zo5Q+W&A^IbP#AIL-f7~1(>ajCtZ(rriKn96LH0dGp7s8~4 zUF=hF;5*Dl^&YXPDvrqd98TXBZBa*cfAmj$EIp#*@lm(RNt%xqI8Vcwdg3e0TH7|- z^45*8K4{D5@7yb`DvSVI-t@d#m3>ZVN7A?)kLnfUgprKLZ6pJJyBN-2a#UnGm^b@> DFY!P8