From cf6a13cc9052f98cbb59427446fa06eb68d3591e Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Tue, 4 Apr 2023 22:05:09 +0100 Subject: [PATCH] [LSD] pratica04 CounterDemo enable/disable added and added FreqDevider by itself --- .../pratica04/CounterDemo/Bin7SegDecoder.bsf | 7 + .../pratica04/CounterDemo/Bin7SegDecoder.vhd | 8 +- .../lsd/pratica04/CounterDemo/CounterDemo.bdf | 140 ++++++++++------- .../CounterDemo/output_files/CounterDemo.sof | Bin 3541735 -> 3541735 bytes .../FreqDivider_Demo/FreqDevider.vwf | 145 ++++++++++++++++++ .../FreqDivider_Demo/FreqDivider.bsf | 44 ++++++ .../FreqDivider_Demo/FreqDivider.vhd | 33 ++++ .../FreqDivider_Demo/FreqDivider_Demo.bdf | 84 ++++++++++ .../output_files/FreqDivider_Demo.sof | Bin 0 -> 3541750 bytes 9 files changed, 406 insertions(+), 55 deletions(-) create mode 100644 1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDevider.vwf create mode 100644 1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.bsf create mode 100644 1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.vhd create mode 100644 1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider_Demo.bdf create mode 100644 1ano/2semestre/lsd/pratica04/FreqDivider_Demo/output_files/FreqDivider_Demo.sof diff --git a/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.bsf b/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.bsf index bedfb17..2cc9938 100644 --- a/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.bsf +++ b/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.bsf @@ -31,6 +31,13 @@ https://fpgasoftware.intel.com/eula. (text "binInput[3..0]" (rect 21 27 70 39)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 3)) ) + (port + (pt 0 48) + (input) + (text "enable" (rect 0 0 24 12)(font "Arial" )) + (text "enable" (rect 21 43 45 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) (port (pt 208 32) (output) diff --git a/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.vhd b/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.vhd index f3e8536..6ad6947 100644 --- a/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.vhd +++ b/1ano/2semestre/lsd/pratica04/CounterDemo/Bin7SegDecoder.vhd @@ -4,14 +4,16 @@ use IEEE.STD_LOGIC_1164.all; entity Bin7SegDecoder is port ( - binInput : in std_logic_vector(3 downto 0); + binInput : in std_logic_vector(3 downto 0); + enable : in std_logic; decOut_n : out std_logic_vector(6 downto 0) ); end Bin7SegDecoder; architecture Behavioral of Bin7SegDecoder is begin - decOut_n <= "1111001" when (binInput = "0001") else --1 + decOut_n <= "0111111" when (enable = '0' ) else -- disabled + "1111001" when (binInput = "0001") else --1 "0100100" when (binInput = "0010") else --2 "0110000" when (binInput = "0011") else --3 "0011001" when (binInput = "0100") else --4 @@ -27,4 +29,4 @@ begin "0000110" when (binInput = "1110") else --E "0001110" when (binInput = "1111") else --F "1000000"; --0 -end Behavioral; \ No newline at end of file +end Behavioral; diff --git a/1ano/2semestre/lsd/pratica04/CounterDemo/CounterDemo.bdf b/1ano/2semestre/lsd/pratica04/CounterDemo/CounterDemo.bdf index a095895..e753031 100644 --- a/1ano/2semestre/lsd/pratica04/CounterDemo/CounterDemo.bdf +++ b/1ano/2semestre/lsd/pratica04/CounterDemo/CounterDemo.bdf @@ -20,23 +20,6 @@ refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. */ (header "graphic" (version "1.4")) -(pin - (input) - (rect 256 232 424 248) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "KEY[1]" (rect 5 0 39 11)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 192 248 256 264)) -) (pin (input) (rect 256 248 424 264) @@ -58,7 +41,7 @@ https://fpgasoftware.intel.com/eula. (input) (rect 152 176 320 192) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "CLOCK_50" (rect 5 0 63 11)(font "Arial" )) + (text "CLOCK_50" (rect 5 0 62 11)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -71,9 +54,43 @@ https://fpgasoftware.intel.com/eula. (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 88 192 152 208)) ) +(pin + (input) + (rect 496 304 664 320) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[1]" (rect 5 0 36 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 496 320 560 336)) +) +(pin + (input) + (rect 256 232 424 248) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "KEY[0]" (rect 5 0 40 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 192 248 256 264)) +) (pin (output) - (rect 872 216 1048 232) + (rect 896 216 1072 232) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "HEX0[6..0]" (rect 90 0 144 11)(font "Arial" )) (pt 0 8) @@ -86,7 +103,7 @@ https://fpgasoftware.intel.com/eula. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 1048 232 1112 248)) + (annotation_block (location)(rect 1072 232 1136 248)) ) (symbol (rect 432 224 480 256) @@ -113,28 +130,6 @@ https://fpgasoftware.intel.com/eula. (circle (rect 31 12 39 20)) ) ) -(symbol - (rect 672 192 880 272) - (text "Bin7SegDecoder" (rect 5 0 89 11)(font "Arial" )) - (text "hex" (rect 8 64 28 75)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "binInput[3..0]" (rect 0 0 63 11)(font "Arial" )) - (text "binInput[3..0]" (rect 21 27 84 38)(font "Arial" )) - (line (pt 0 32)(pt 16 32)(line_width 3)) - ) - (port - (pt 208 32) - (output) - (text "decOut_n[6..0]" (rect 0 0 73 11)(font "Arial" )) - (text "decOut_n[6..0]" (rect 126 27 199 38)(font "Arial" )) - (line (pt 208 32)(pt 192 32)(line_width 3)) - ) - (drawing - (rectangle (rect 16 16 192 64)) - ) -) (symbol (rect 488 192 664 304) (text "CounterUpDown4" (rect 5 0 94 11)(font "Arial" )) @@ -193,6 +188,35 @@ https://fpgasoftware.intel.com/eula. (rectangle (rect 16 16 128 64)) ) ) +(symbol + (rect 680 192 888 272) + (text "Bin7SegDecoder" (rect 5 0 89 11)(font "Arial" )) + (text "inst4" (rect 8 64 33 77)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "binInput[3..0]" (rect 0 0 63 11)(font "Arial" )) + (text "binInput[3..0]" (rect 21 27 84 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "enable" (rect 0 0 34 11)(font "Arial" )) + (text "enable" (rect 21 43 55 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 208 32) + (output) + (text "decOut_n[6..0]" (rect 0 0 73 11)(font "Arial" )) + (text "decOut_n[6..0]" (rect 126 27 199 38)(font "Arial" )) + (line (pt 208 32)(pt 192 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 192 64)) + ) +) (connector (pt 480 224) (pt 488 224) @@ -205,16 +229,6 @@ https://fpgasoftware.intel.com/eula. (pt 432 240) (pt 424 240) ) -(connector - (pt 664 224) - (pt 672 224) - (bus) -) -(connector - (pt 872 224) - (pt 880 224) - (bus) -) (connector (pt 424 256) (pt 488 256) @@ -231,3 +245,25 @@ https://fpgasoftware.intel.com/eula. (pt 328 184) (pt 320 184) ) +(connector + (pt 664 224) + (pt 680 224) + (bus) +) +(connector + (pt 888 224) + (pt 896 224) + (bus) +) +(connector + (pt 680 240) + (pt 672 240) +) +(connector + (pt 664 312) + (pt 672 312) +) +(connector + (pt 672 240) + (pt 672 312) +) diff --git a/1ano/2semestre/lsd/pratica04/CounterDemo/output_files/CounterDemo.sof b/1ano/2semestre/lsd/pratica04/CounterDemo/output_files/CounterDemo.sof index 2459abdfd70a92b952d60dd82bf17608f22a3f6a..f23d17f9f09a043f202c437c6da93d7d5b13cec7 100644 GIT binary patch delta 9309 zcmc&)3v^V~xjuWJ$DB+u$&lA110h2o5Fna?VN#2=ogfAh2#^9U4G2L%tcW5gTI!-F zK*3T`;t@8u3`S`xMdaZSHxGdUi)C@`1x37FE_#hts=ari;ewB%f&2e^X3k{DOlYB9 zb*&@GdF=iF-~av3oln>Pk$ei*nh-s8qVe!eGJm>sXGaRbe}gIX_Ea`uUdrdZ{kdVg zDfgBa@3jRF=Cv#A1e5FV_i?)Eyr2e+f%gz`4_{xvr>FYb35OrI60(LjHw}A$;Df*5 zI&(?ni?;|akfHOo6Mh?A_eV0;JeW5dU(twpdF-9ysm4D1aA+fgn^W;K(-=638#1Y? zU-r`${?it+=_K!U(CLh=Ddc6&?+?qy{XZg!zb_;VcGZHDD_^7R>t(crZNFIy5tYs8 zN%Dg!)wLNQ!35m45x3>@7xws72KThk78?jU8}5~|)KXue*-SOuOyTZl(rtZ-ZVp-J zGUqdg8LCv6yCG#5d;0`UAs(}FbgsE=ZM{7pIVDLlBuQtX8v6u($2)YLi~sOt`L~&D z>uI_SrM{VV-asW@^W3N$)7hf(HC{4N=2=u;Ze!a|Qv=^Fqo|{94wzhrzg`q7w*)hc zTWAGisc;>VyQCh2BlOEVIJpbTFu_ z2$jt(l{LW!r{6>o%E7^xE(}l^AoLf6=~uP{Yj|d-U%nfkE>bONVgL?*SuT2zP*(A# z?nYe!K}S5skqvVw-`q6p9>JbRQ&HuIM(4t@2M=H8~64 zKM+G-U=^HJHHRWPM@me`g|c{=f?Fz&Pvd(w%Ab3?{WdwW%`??E()k;w(x=F%%S>U- zu8cn|C&DHw;60Q9CgXycV|N#j03Xsmv{K;nJ1sFX6MTH{M)|ClfiIPk5U-e*(wI!` zw#-QZvK~f%iJQ;WeuBni2B;oR!tJ8n3RkjiIdYJn0qn3iDW1AO7cw zwk@}!x~d#=GEWvMN~C>YOYe_!6GGp11Gmr7+Pz_AuH66!(|Oq{KTrxJ8c!_G8cu0w z1)H(QSDk>(fLt+=n?uB$!FqKiD-dH2B{b@})(bIm0}*~qySj;T>)vLumTJ^_*i#N z`bez{zahra2ao&GyTg?NAW%VNO;S+vo?$yOxcLfArx94{2gQqESq(gC zCY77FFG~u_OiCrO`Rm|u{3Ff5spZ2riRcb>LlZE@O`)&>A%m1sAA2W@OhwApQGR5f zx(A#ui7)fIRvq^^9(GborD>EXomQctHEc$2GKfRoUro8|ZFRk)GY5V`(3|uq5!mY0 zY7Oo`m&)~-$(5f)`BR^$2PrS#=zCU#s71*BTq=8{B9O?pld^56sYY}w7Cb@O7whdB z|I-C^p-KoJa*pf*m4}L za}LBCVbhVb#|^0j-x!@B%0hN5CQ9>hRY4`TQFgFS)|6%{Q;dfj`Gftn9I8rERm2mZ z44DVUU#e!~V&6-!qUV3L0fbc-rDuih2sNmtCwLF^uCC{cSEY3<7Dfu!w^)>hi;e}c zd}&b?eS!Zz$B|mZ0OhUBbDs2!<~?MEBc1a~$Bw25`jMI$mrqGl!W{d3uT^&Q%QFU= zqi_62P=@|E(fT$u{kDg(A{!Uycm$WPb%Qk-PfS}^0yh^Eb4ac#e-SdYg7vtLMZ7Yc^q!MnZy5fgVq}M&Xs33 z_kb%Ct}K3bbN2dUeCHn%q^32s~Kf+%Rd z$V#!QSh=u0moIF0)fO`-d9h%9GL!xHK36GUe4pzJFW=CX{szU3_s}j<-9_2u9j+TK zcJj7Ot_AmZEe!c{W86D3!9hATaBDu!yR<3dL6M+`;EhbwABK3|AO%UG@JmnU4|75F zbx@!K3&frumln{Cm;BNLN6#UyL7Y| zWBXGh*L05ci`ErnpNluEEM2XIfe5n*ed2bLwD{TnldhxIE72P0UP6ypl&b zofK3|L4iQ|IandQ5iZ10sK>1s^8+F)hR8!NqTp{U;SEjr=spXHE5Q<*$AcCB3Wy}5 zNPj>omf^yC2;v4w@nWp#Tpsh8J_P*FElgRiwxs`csb9YGHJ_4 zV%f1&z)9F9)IR2aSW!J2eReMX8T#faw7V*$VW~Bg3x~#RpPo1#6{i565*RZa?8^SG zxTN2k2Pii5SE4Ag#MZ|;2}VaQV#NYB{6}Hs%fORspo)W_0S*ZDraySwSIa5!qS!u5 z3dEVl{Lx35vydpngRKXrbOiyVd}ETt+9IvK-YE(1jE}dKSZ(Yk+6-uTBBUuePmV&j zxQEz|Zjhv7Mv?gdD+_*Cy_DKQ$PFn41@m@|uCEYGh&ySDrodZil5?c+C#3CXaWVEi z8^Qi6;4zz&m}ZXeoS#7(L)9$mWcqk=g3l%jB(=thtGSS9v~@-U5V$9I#I3XoK2gHE`Wrg?V$e6iNCl-zf!}S4bM@aD#|D@WMe3 z-NHU%H;7ac%9jE#H31Q~Q)P!9AZEo2zrbx5B9->SOQ0-TO7a8`4R{`1IGa#U5o>_C%^(IL|o`EXJv#25mVEohLg5x*Hi)^AhrR7d3lpipSTfT$@9et83`b(Q)2TiQl zT}$m=T|&h?l88Wm>yja!CB%uz;6}56)v=S``TO_qKuZOHK%f&JLf;PER*4x{o5H+z z6U{t2I@}=e`BQq?JkTkrd^M-%QkqKf+kWsrNjUEizG$THoMsAW;Q#nfI8=021!EtT@Z>?HM!l==put)HZ6 zu(cDrlN3({z*IEUyW zVTU9U*39p!dt(-A2@78E0t$}a1%i{1$Bu%ttrOKZv6tCLH1I2soPBXd-;C0x!bC#% zu#evLPeMo_L!FNcS?@RuV2Nn?B`F&w{quZf;XH(2KSEG^O#y{HX2m)&)AW$I1x~2} zBI%)UCcuyv*=Ahg6jqdK4AFH2Kv|!Hw)0x)BYAOM4;ueca$*@Xdc7Pq75dDPVADD9 zQ72k*bbkUQ)U3xKxtl`@%Y?%aN=nVozAQ?umn6-|mxyEti+CZAEur=RxQh5sm?S0< zGOYt31xxD+pHH>@qFuNoN}(%`1Fm|I(y1@2Ta7CxZNC4Z;lBC6C>?U){v7^XhV9#1 zSwrKZ`Yk|L`%u*T3mjnIs)=_<*MNz;&Gxbbr>7 zq{WA}zK1i*96vfcIt99>(~qmD-Elj2mnzqJ3UbwX_U>W~G+2~hW$uvkX@Z%}%BJlD z;3|P@AaB|}=)GOMVc@{msWm?UQY9XfAclGtjz;cNF-|0#MRb`mLGp~upx}gKghTi~ zE-(sbCrQr^+U#7+F|Z7^f)AF~36G}QwalTX;?=#nhg&VE488gjv0=H^R~V zga@o1X~mKvmOO;Op>bg`21IM(yJZ4SRL@@PSt*e8_$W+1qk4-#sdhG=00SJZ(VCXd~C`}IwnJHaYEIe1%Fc?f8H3i1_IM delta 7981 zcmc&(4RBOdmVWoXpZ-aA^3q92(`}L_5IZCx31Ff@!OrlT*ulg>M@b@y3nFxDG2AgzU2AjEOYyE~pU3MS)#+!D4q^N+i@+#SSjZk6JqmM)#ce`n~Q> zgX8Q>)u!rIy5GC+-gCbDo$s7``rPJE$vL>X25HUi?%&)dE^qO^J?tR(KkA_OrLl-e zT6tSoZl;?0wX7YV_cPws9JDETZzkn;AFldb=C9jbIg|&E=J(3+QDHfQu*O#3RR%*3 zCfUA!qQ&!f+;EML2_obZ+9bXz?Oi6mDagOdj2==tf3D8F+R9iptS zOe~GguiHo&d{@}dE5sspzQfn89rU(DzYn*#Sl?&#Zf#|GH!c}HKJODk0&LX^SBZ9M zj-=Jpjp6q&`Z6Vz>_iqB&ptnz*~Bk4DTkafUrq072~1GN9h1ohs%^Xc00}elf!znQ z*tL=^`PwpO=LMV7e-^r@gs(B8g~ivn#}1LV)c!PW{|>f}YGJjj1oLoJ>Yh z-tZ;8KZ~6{nmLn%wJ&FdiOkylWHcXtg}g`j&pU}icor2qZM^@MjA5#spWcnQKS%Hr zf|MQ2i2Rd;c7kJ_@by5Qjq<$x84W7J(8xQ2Vh1H5cIY_uX)0{b;4HV{Qgqwp3?hQ@ z+M${<-h9ln_b0q!551D7-8IJx&beWz#C@wAld9u8UZC5MymGXrZo|kmXUhCyk@8!Q z=Ki`Oww$}}u@?UFPXF6f>!GrNHEix^WK0ZY#G_ti7hiQM{K7tQfYN}Sb^isKU`&C4 zz+;nn4y&?egp#gq;Vvr3NZR#=)Ft zJ+3;7vAplev)f%}e0!$(SL0$1NEHlx;te7B-*q{@C7loK~>BNe9<+Ii;D{Kt}HtA(m+l)rMv%ItLvADpG+6f~uXEGnV~Qlb!(LKGeCs6wmg@qXF1hU13!W=AN6W_;x)N8!Z1Q$DLM)GWM$!Hn`I{ zpXKg{?F`G08np+0T%wy~h#24ayco2zMLW}WrmlP48Eta<%%_m&b4{M}$WO(K4JmLy zc6waqK*we=nUA+pzV89=dx=)S*frDmlt?a=7K5w+YY8@C4=8BEPsI0gczu(ysLo*S z$P_tT1}QSp^cG!b`4ZW_HThp*_!t$$M|vsHmW&HQ>Mjw!>ZpZ4n3{LcwwrcqwCQhZv0Z#AU8b%Gx} z=l$pH=<&BApYo61dHds^Va56feeV%0Yi>mZ4>RC=BlkR#+vPN?j^N1jw98ld>@ck?7&8LApn}JSeD4zNyIr^OkN?J7rJ%=& zLAPF?Eb$KHOMq;d32ujjGM}CnPgG}Lzd}I&hwn9Hle{I+8yy2r@ z2SLKAagM_~*|EAB9weOC;DOK5Z6kP#>_+fHl!&NSM-W6ygY(@#G9xpjF^bnDT1 zy464A(4O0MmGejZhhTlw2IR$Jq)LpUK=o7RQ3}oA6|4MDN;qm5*WkMj<}{1^;+afu z4wf1+OHIdwn)(-ti2t*6$k_QY+u}uE$gDDs# zj9Al=KhRO|apR3VzcD5wa?N8cTK$%M4C((v4w)WpNQQmE}8QB#>o% ztRMl&a)=3flbjM4lIY6vxtKs?EQwZ6kt7;YjU#QmVT3S0L4b#Ogoe`a=oRFkvzsWL zQ5roodls=w?;!MxFdy@>6eim7nt1-xz7JPO*+VWBU%r{C^QjbVX_#(gDVW_!ObLVf z;{_3nz=6ehCTro47HqnDmk^?AwOfK{&r^wStfe7O`~}P-N6_Wi5g)9c;n5Dw4kGKM z=&xGWQY9n{!a@yh?%>BF>6vijML`&HVN(HTAFV@T)EMmm_jXB*8kxP2g{NLc95`WCnW<${Eb z!lGa*KlLE#GN%vliqmwbq@%A;8nUZ8!}_UhT}1B|utBHtdg7vciOn8@-ayF1FPHj( zOR;=eLtI^kshR5r)PSLb58_YdQB5a4>zk|Vnqt~+7LqxUFgR>Y0z!7{ZQKWG$ zK!<^~MJ(?K^v958-_{@H(1=(}luBsTEzH7j;1Gr{QKb@=zqG;X2DMSyF03=Q=&urk zY&A4yVbb!1y+-<%5iufUq7f`{^m+*BpgzlafWqj1e6yaqBq0<4$MBD8-Mb2T zWU0KKD$pnt?38DWmiADaD%gc=A!5dOCzT{2qDR7OC~l*PLOu5EkVmVi)Aj8o>JVK- zxWxsd(DUL%TXe2LIsino=Ly1%RIkid49<=ez8A1(Cxm*mz`gB=;XPDo1Um)F+AG9~ zcxOo>mYrg;kd69QG6MTzLRBexsOGh#(Q*hSLF?Xc=;uf|1V3xDRfr+vfF6{(B-+TD z5dFd>rP5U`AZ1jBaJ=NgZQe_XL+fwoL^CI0|L0L%oEu2OM|n^Xg%EZDanuXcDNUMq zU7dn>S?0EbF(_1Uq$RF^@P*3^-NleaBuTs!*28xXC0$xy!y24&vUv&$%gZsIj{)6w zBuS**uD3Iz)aDgv00Yd56D+-9VUkXSdFH|x7IBqaOl%Mqux~m&_E1q3-oW>v*%}h; zg~a4gVQP=Z1hao)G6bBcja zgy_vv^zkN3_ok3E0!?pS_JmqJho*25b#F0o=m3U=KtRujiK95kP8qcm3ejVa=sBt% z5XI%MAcP-6zidakn3QN_2q0?h<2p*$^~ASc_IR!fD@U%a z{YZD06oT;54h0h^K}gzeDl|fVjtG^CIf+ll7=#lb-mM4`fH)AS%tY|UCaPyXTfW`j z3w0SZT~J1CAvcv4Y7vZ zi%b(#WK$23Q)SkWwr!Ue5!WkY$^>DYzJCPSoSbe!7<~NvJAMyMQzc>073qOZMEM;9 zqP&6HLIO}hh%mC>QG|lLfkNt6^=;%$&B0OnVfYf*`Qn`>K3FDym{_j@t3OcU-SeHv zRq5@i@n?3I%to9o@pKNS?SimXt$TivJlixY;NTZJSojW?WfpAP8z^ui4hz@mbuS?l zLU`i#vLv!Y<|@Z7NeTBJs5;_FUiUNH)VJZn+a diff --git a/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDevider.vwf b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDevider.vwf new file mode 100644 index 0000000..57551f6 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDevider.vwf @@ -0,0 +1,145 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off FreqDivider_Demo -c FreqDivider_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDevider.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/simulation/qsim/FreqDevider.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off FreqDivider_Demo -c FreqDivider_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDevider.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/simulation/qsim/FreqDevider.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/simulation/qsim/" FreqDivider_Demo -c FreqDivider_Demo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/simulation/qsim/" FreqDivider_Demo -c FreqDivider_Demo +onerror {exit -code 1} +vlib work +vcom -work work FreqDivider_Demo.vho +vcom -work work FreqDevider.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.FreqDivider_vhd_vec_tst +vcd file -direction FreqDivider_Demo.msim.vcd +vcd add -internal FreqDivider_vhd_vec_tst/* +vcd add -internal FreqDivider_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work FreqDivider_Demo.vho +vcom -work work FreqDevider.vwf.vht +vsim -novopt -c -t 1ps -sdfmax FreqDivider_vhd_vec_tst/i1=FreqDivider_Demo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.FreqDivider_vhd_vec_tst +vcd file -direction FreqDivider_Demo.msim.vcd +vcd add -internal FreqDivider_vhd_vec_tst/* +vcd add -internal FreqDivider_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clkIn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clkOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clkIn") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("clkOut") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clkIn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clkOut"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.bsf b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.bsf new file mode 100644 index 0000000..76b5c9e --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.bsf @@ -0,0 +1,44 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 160 96) + (text "FreqDivider" (rect 5 0 52 12)(font "Arial" )) + (text "inst" (rect 8 64 20 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clkIn" (rect 0 0 17 12)(font "Arial" )) + (text "clkIn" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 144 32) + (output) + (text "clkOut" (rect 0 0 24 12)(font "Arial" )) + (text "clkOut" (rect 99 27 123 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 128 64)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.vhd b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.vhd new file mode 100644 index 0000000..9eb9d16 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider.vhd @@ -0,0 +1,33 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity FreqDivider is + port (clkIn : in std_logic; + clkOut : out std_logic + ); +end FreqDivider; + +architecture Behavioral of FreqDivider is + signal s_counter : unsigned(31 downto 0); + signal s_halfWay : unsigned(31 downto 0); + signal k : std_logic_vector(31 downto 0); +begin + k <= x"017D7840"; + s_halfWay <= unsigned(k); + + process(clkIn) + begin + if (rising_edge(clkIn)) then + if (s_counter = s_halfWay - 1) then + clkOut <= '0'; + s_counter <= (others => '0'); + else + if (s_counter = s_halfWay/2 - 1) then + clkOut <= '1'; + end if; + s_counter <= s_counter + 1; + end if; + end if; + end process; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider_Demo.bdf b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider_Demo.bdf new file mode 100644 index 0000000..e39f1a5 --- /dev/null +++ b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/FreqDivider_Demo.bdf @@ -0,0 +1,84 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 304 192 472 208) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "CLOCK_50" (rect 5 0 63 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 632 192 808 208) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "LEDR[0]" (rect 90 0 132 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 480 168 624 248) + (text "FreqDivider" (rect 5 0 64 11)(font "Arial" )) + (text "inst" (rect 8 64 26 75)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clkIn" (rect 0 0 24 11)(font "Arial" )) + (text "clkIn" (rect 21 27 45 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 144 32) + (output) + (text "clkOut" (rect 0 0 33 11)(font "Arial" )) + (text "clkOut" (rect 96 27 129 38)(font "Arial" )) + (line (pt 144 32)(pt 128 32)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(connector + (pt 472 200) + (pt 480 200) +) +(connector + (pt 632 200) + (pt 624 200) +) diff --git a/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/output_files/FreqDivider_Demo.sof b/1ano/2semestre/lsd/pratica04/FreqDivider_Demo/output_files/FreqDivider_Demo.sof new file mode 100644 index 0000000000000000000000000000000000000000..2bdb3f81823a9af1a5a62c37ad8c996558abfc2d GIT binary patch literal 3541750 zcmeFa&5vYPlirtXvN<$O2t6a3?gbZt7h}5#i!=QWG>`@u8OPRWWY_{chHR}2yg3?j zBnmV0((i|0coi1>p@kL%#tVBR^nwckUYIMc+y4cZZ9ssxvf+gmg5~&S-Fv!j-8dgr zbx&4iRs@;1^4=%niHPT%ym{(WcGchh&whW0{TudpXZN4l@jw6NAO7qYzx=uU!Oy<> z(=X+(|MXA4{_0P@{F(fnFMsy)uYUSx@>?%|p%Uc|Ih#V z%m3d0o)!kqE|9%@`vH$yjf9Gm=KClUK zuqS%;RS$z`&t><44QATem&xVIp?*wxHkjX%i$J&*E?m5-WCEz;+3vlo*!RFzR}PgC zczauLS-7=mW>a$p=-aYim2?1GheI{9PKVy$di~yi{N%gW@BJ@txn`FZU&gPvc25tA zDs@eE0C2)eqG1??$l>9gQ6h;hH6d{%22Sv*_l zl(~%cz1@=!cJIt{Vx@eMa0W6LFRm=5J7n(+rYrWmLkzK27x^LP|<*NNz%0q@c33API7kTDnmu5-kFgJJQyWrwd zZu1JiIzBmkx%R4Bv~^H(apn3TUgzm}z7Wm)Sp{UN4oOU-w?o#!_*T>0Tb7dW4JMbs zGfQbtv&L5i+m*#s!n?Of08r^!O61=pdNx0T8K)_0e8 z{-y%q5DJ6>p+G1Q3iK%OqQ@zGLV-{q6bJ=Efl%NS6^OU-qm9a|qh*Rjp+G1Q3WNfo zKqwFjgaV;JC~#H^$XQi;*2U^sSO55m=hHP|p+G1Q3OsrR?6W{&$5B9zlP0o+0--=C z5DJ6>p+G1Q3WNfoz_}?9pQ}0Ah`l;mrbrYDgaV;JDDW5+xcYawC)D}Ky7{;~S}ue0q5KLHhc^!MAzHO(l6dy!;SN2M}?n^tDG zP$Aqc8K7xb|BBt*%1PEPO;&RdoQie1fD+PUij!Zk0F~JZGD{r%X#&zR!J(d{>_RLi z96CvGtr~N#lj}J1R;(a@gpl1#IJhMPR80+CPfC{y*SB?WQZ?fc99*syxO(^15IyhD5I zDflwe62n8NYfoakDVEI>xWp!n{3%VWE4W^OnglUO-BjfQ-NA`BSE+SJXZ~_X% z{dSW<74oqG(s`wl06C=99>$nS<%TgO>re-W9Oq>8NpQ}w9Iax5bl-?P&F}h z$UayyV#v#NxdkgBO|wwN$yY^y%IpN0B@Xk(lyZ{UIH_4OP;jUxDZ3Dh35QM+T$|R( z)jw~a-(%iVZPs*EnSp}G3Sc^R*^qflX5mn*%LSB>9#foLRrUf@X21WugmyRdz*iX4g-C$tYH)Z-4Ef-DA|3r*|fig{aAQb1iPi-3aknBue;*t|n7 zKyF$EIiYz=IboqdC=d#S0--=C5DJ{90?*I0>~pMoe~v{*&7nXj5DJ6>BNY(H>pLIW z1=pr^a>YJaRY?JLr7Qvp(qoF#x$lDsO}GLn$f7+iG_8{>=519;0d=J;0t(V&iqm3X zWB;~Cx9|xCLV-{q6bJ=EfpbwnDb!!>tp4d+v!~Dcxnv?+B{=}smUho~H?O??M|l%R z`OCKkl|TGqpc3o14^p#ltn`FKvxOTjHBvCKUxuVSDc0o!)X_5i*gQ%F?`vu2ATnGV zo*Qhf{Egn`Bnpq;sVizgRUrQ$B%Bbu;8-5+}i?pQ=`n- z%aTrOuDRetx=c`dvTxN@*0@BOud9vsqM8L)MUFYhm@3mG=P5neS9_b2RO%=Z-|PBx z7rht9ropl)+mcL|ryHJeFBxUiJ#!R&lXqlq&Ey+7aPxma(+A9b_tY`*4%!_CufC?p zE={rb=F!O|6WP3QQO^BX0pfL9TgM3M zDma0f`9Rlgz*aYfwJ8OwJu2)-i4E(mV>Vo6zp5jSp}^TFpl4HQ6dDSI0-?YKC?NDu zUal+_QeEwKavf>^)=FNquvj4*DD>o(4A8Xl(m4_9uhyEZ<{&r~>v91lq{kE|Uljo= zvlC>NIE?5`A@(bq)8T;$hkB*i1=pr^a>cx@Dk-3@ltn;6dQ5ThXNLf}X%*yz<}Kxf zg#w{KC=d#S0=r%OyU3%>g8k7lMWRq36bJ=Eflwe62nB{IATZZ=KC%n0P3z=}eXy#M z0_sXx1Qevl6sL3F2NRlb1yYbjdt7K*Cs)kds*(cgN?8OHq{kGe#lYsD#t4v`RzYI_ z78Sxmflwe62n9lcP#_ct1ww&Gs6hO@>}Z3&KU$_p6bd{_1%$5aJ0IBv*Q&9f(#dt4 zeK4_t{2d=Y&uJzc+>!yRriPCB{H@g^q%PLw0!m2JObx}!S4Duz>;#!54)X_Mm6OcI zN!5&8aHuCKyAX>BhfWe)tM;Lxom|J6w=b-qtm&#U1H~SG$pBS*W$2K3o2*bF^{U_v z{#j!ESK*Y?Dh1tt-qw4|NrY_0_5s5}flwe62nEhif$7cvbSjsDrRXqj-XKTW^j1HL zzR5eXw`THi2n8-lfwOJ{VbrL$NLwP(pf4 zaq?9WpfdY(vrc&3asSpLh46ZkvJ0`8aOfn#wP~GP{qy#D%v(gC=Lyft8iTe>ad7AD zUVzH%Cp2#52_STUmi~ZZ3B2w14shBwkPOjz(lDS+LJTT$ldML<(gbP(; zGInwuXWohxv}j?m7i=I7e#rn;Q$xqhTa!>o?Mhh$6r^dUhT`O_B0z3h1tG{RahUg$ zm6OcIN!6;oDWRFmt)ohQSCG$*pOn2ASk0?Z+=&4jW%jx8hqR%+J!E5%I}`{7LV-}A zLxE}0+TnR57a5pGhjEWwCrnG!VYRo2NA}jr9e5~kQ3}NUc9Y>8c~82O`>mifLM?WP z{QVtVtH$0)C)YH^6~4yKN4YYBt43&<-9RDSEg7I{VyLfExnN?Nmdr!&D%Rx!N=VZz zRB`fE5uh?VL1u}=-S)u<6?-(2lwF9$ghRd3?1F34I=TAiZG8SVA^JQ|cwW{Rv}KBe zJ8$;_RA#^byoFyl)RUB5hz$z`LV-{q6bJ=Eflwe62n9lcP#_ct1ww&P;4TUXL7kft zUHqL~{rg~*o#n2A+#R38wvvSl6mH1?O&hX*OIG1ftjh(IkRDT%ia z7n*8Xy=x(UcCn|*xvyc~uqSf-S+og@dcPf|SP# zwZlSzP#_ct1ww&PAQU)%1>)~O9c>KvN6Qq6LV-{q6bJ>*L;<1B&P|D~mO8m&|F){8 zfVxr^0R`zX#mV1o5+FCNf)HeuIL!Nn%1LJ9q-BCbJxSSxSWGx{lHl63POg}@RV4+~ zm9hvZNRKH_d8RFr2+-onYI>SO!fOQxS9?rwswXMC5E~W>gaV;JC=d#S0--=v0R{C} zJF6v3l?d>&elEe^Y?VZ~dTsghe0TH8+aO%4_N}4i4-pusg!}D-)a)B8J>k%7;f70% z6in)WMAtn0f~lir`muSG3f|Y`n1jf0ZFp|5wemN5o0BL!ewSAngfHbX7NJ)Wm7{*% z&2^;j%f2slKaH`_joW^u%?bM9N#!MxaPmiC3NL^8?rGzt>K3eJ!fVLuPik{h@GP@4 z8_W`i5xptIiz&DIOcfs3YpUe$iF|Djeb)}3+T)EqcKito{;)@O;bPq^zLSe|*ec1o zj`fu}=!&9t{OCpt3oZ-Hy)A$@HOh>=Ea|l7nhQRp%LJt-`&M0LjZ2jIy4rXzs#$PV zY4+kmZZ3TsmeR(n*~krEr$ z+dQyJ7Qai7uuvcr2n9lcP#_ct170o5S8GjHa}b=0b-92N(qoE~uZjSb*$FaB97gn}5c`$Q>F~gWL%q`Mf@{+{ zxnkZ{l@w4{$|9g3J*GJMvqON~vO4{F1S{W{gh6w%R)8oK`95{`0opTTUWm zE4B|977By{p+G2bh6+q?{-;y93@k;5aq|W_%BHvaQS?pTk-asOheIfENeaaMc9YQ@ zc~6S_tusW^=8}Uv(4~yG=k7Ab_+ zlayVE#e_pA39e1+p1gPte`~;i@jh2aqvq9 zsG1r&X5N~FLTXpaBA_5mGc^<^Uljpz(<%r-W{JbRpRAl@HcqNm?M(^ITy7mz^1Fh3 zZv3R|#lUJ_jp9xW*eJ8ljX$If?d>5Oi`=0=C=d#S0v!rWi`EX$Be}@HJUWbf%R_?$*IF7f?c)W}%9cuZjSb*$FaB9PYLcMyS}M zk)-TGEG8W4m1Y-Qo7TzIKX2pnw+YebdBXFu#-J@z9Nc-k7oalx{pT(G!l9m|>_Ti< zC=d#S0--=C5DJ6>p+G1Q3WNfoKqwFjgaUU_KnUvGl<4B`nDK)Bjtf>S+7*@f7!P#_ct1ww&PAQT7%stPEmzuH+XVX8!cpY?MI{${Hr!qscb zpXa-qSKbEUTD5NtEq{o>KqcI7AEajASm_CeW(zl5YNTLN|0BBQ;TKFDEz^(9qg3#| zCdV8^hHJxfgRPan(c7Fv;qkk?${>6xm$3-Fil`j*^KPyqeP8x{srzY+g>KyTD{W5D z4^JvDiG-6s3R8Ib%Xd#3FIBf-EfZctUVl=Xn}TPVo!MZPIE?5`Azn}5%(HP>A5AzdaYJ=wSFDr;P#%-7Y%dr{4Tt0Ko7WK5N5lJk_F?5n-aNh)=e zi0^fMx{Kb6W7A;Slx<0-%hL_dxR;Ew>7F@?zR5eXw`TH<9Huwxjo5BYqqmMYg@BlN zhfz2TUVTlGU7EwTH;+y(naKQg60gdi!j6>Ku-@i@RkHY9f`o+vp+G1Q3WNfo zKqydFK!D22mBkXH%yJFsiCFH-*@*Y)*#6Pa1?e%x$)6np zvfQs4Ha=P>>!|oE8I{ ze;Ok|ZdwJ2{aaKB3k5=fP#_ct1ww&PAQT7%9-#v9^RlB2`u=E{B2g&tC>0R8uJ3$g z7hJ2xeo80TarVK)3i5Y+_&leXaBxcosG1r&=JU5!kC3`pmkTH%O*1tVCtno-Dzg)0 zmN?8Gh*eH98z)sWa>1dVr0ha0CLB6RaIM;hhIVotXWqWBg0iNo$_x~H_$32W?UkWJ z=54YzDEcPv$ljXC!yy#7Bn9GryUA#dyeGx|))}H{bICy-=+ej+l8ovpjUtA3 z)eV!*g zFKZ0iGR482w|fC9v!BqsrO?fc-vNA5#;=_oWp73izh>f$GJZ4i1KQBu?%Y?bZ|^MK zwZL@k`Q}eYz9u?~9okz*{%{EeLV-{q6bJ=Efl%Of3dH*Mc6#~V$-lhK)$?E9+TNqh zGeHiTDepFA7hIdx$<@CPR;jF63=Zy&&tY51K*7T;8K7ygf4fse${IHnGY7%R)m%X` zm+P{-N_Z<0SgXclbh9a8tAgyB4af~d#3OraPgBj#8OAuLnn{7E?j8ZEebL&h0oV;7A8J_n-FQ1gXd+9L0hIcxSH<;sLW1~S>iC) zqnu!@;5-zN^C&Z_3)e#+lA@FAIQzF$ocCx}l^G~_tN^xUyKKn*Et!Qwu`U--LV8Sb@>LO_GW)dul<<16 zeK3;O1XAEddt7K*y=x(UcCn|*`69{c=O6d^xkH`ZP{CuaCvh^52hW=p^Y+FFHWf1m z!O7KJK^n8+YWEn&uuvcr2n9lcP#_ct1h<9uu7ENy;w7hJ^y>r+}Pa z$x(4Au#0&cli-XMP-^#AJF6v3v(3-?xnv?+B{=}smOsz0USZd+yj@&P9OWA&BHI4I$EY5n@6eOeNB!zhz#yvC`ij1z0FA! z9>2@048oUk8H>=Xh{{nv@8&wv_hsLgx}U~a=*Dfo(&hyH@TBsRNI3bUFol=DeD`!G zpvAgeKnZEu>rZNPQ;09KGaJkjhY`Ig#EU7n{*)CiX0NG|zbEpwJ@j2Wd}@z3_So?! zEcnA7*@cUBv-nOf(qXG4>pIp~=AbKz+VP_sEiAY!F!#0q-qa{F_OhhYnrkljkS-IH zp6pw7l{GF==Id(Xy{KlvRgq&3GN#Hj$$3go_SN3zB$YZ!#P_;B-9_)kv1zbu%C;oa z<>`iJ+)GB;bk7_`-{c+HTQm7a4%3_UMr^mH(OXBHLO@Ks!zdgEufC?pF3n-vn@1;? zOl1B#iC1M_+ZnBA_5Wra1YtLx9}03UWg8mU6;Eflwe6 z2n9lc-7fxJGCWEWhU*2xw7 zU{xgr)RnRbC`gYfPUpT4CN$v+q#%p-xX`ptu9&w~B?Z)#vIruMiIQS(4R80*X^Z8pVR7kxlc!Pi5 zWojtgzA6Ibrd1Gv%o2w??t@i(Q;7Y_=5%;q!l7PicEPo39~#=pb)0$o!U`(uV4&E; zFBza}uM8bBZ<9$Vq;{n&0t(W!`me$f;@z|ga{qZ-?=2@0vbl8tgoOg3KqwFjoT&oS zoB!!lF9S=_VcfhyjCNV?kc?&+;$)A)#$0#5om|t5QZn-L5uUiQmCA@|TAAHK zg>XMDNXv%ogOOA?6zg&UC8Wm`Ctno-Dzg)0mN?8Cs>(@b&l@ZCp2#0H2icYo|xq zn^DBCnK+}2-;Df#Hng`p_Z92gJ4<&hFkO4T`O}fFiH>52_STUp1gPtRR1crnd$h{E`8xriPB$zcmSk)UK38KtY;j zYA8;=DgxxDRS<&A5{LP9q?}|nPO4VzO$p6hZXH$fyMlaf{G{x~z-nHN;!X_MD6`Lv zKco%q?I9bB+@U}y5DJ6>9STf~)(+1jxyZmgI*fbdI$>I(4y(OIJhHb|?!ZHVi&7x& zx0?*-$a~VI+;0V?5sEpN>`~a@=+ctCkxs5@iYpl#J0IoB2(B8TWp)FFaJOWDs)?aP z_Q8@7Ltd`SEm#R@nuRJ(zA6G#W+%ujak$$)7@=a1Mv}4%v6yhESDIaLZCWQ+|GbUQ z-zG$#=Lyft8iTe>ad7ADUVzH%_n)`$3x|4=vda_>MOY|sMheIo)f%OS0--=C5DJ6> zp+G1Q3WNfoKqzpz3J9%rZc22i(8<-m4_4V(?kdRL@i}ZOS-3#qmJHCeA^W#v6%NI^ zTtErwF~!MOMS#leC$SGki8X-~c+nmgnpW>xh@V~TsdBzZvid1Q%-e)W$JoE!37O{M zNu11Sm6Ma0w~0!^LV-{q6bJ=Eflwe6IAaCk??4@G@b*W`6p2ECP#_ct1Hj6!xh;ZUzMyWrZiPOg}@RV4+~ zm9hvZNRKH_=RR+H8V#RN;B*v_(}rA7)S^*^F(9)7{p z(K7wmJW2)cYjVs%WVkjwH`rSF8@=Iav6)ztBA@`Kkw!`()VTGm%5+E zSm?%WztZLe{qUsnl1MoDqcDY+zkK(!@ltgQ)-vHW} zjTRPM7MOcm0B>rP8GBjMY0Whkd`OoGN>BE!y2=`tDD!o-@m^H3;HtGE{LGwvm$Y`SNTqHpq!?5&x6BZukDdLy=5 z)99@uP9Y#B-eD9DgI8ZuWS8c!?aiZ;OC~aZoy4m$uWb&liz{=`#8Ljj+u}2W6_-_I zMIhncwD+q^J-1+OlHy>in}g%3-~?*s16{WPTiq1arWCC9sIVg?HmtXKV3jO>mj-k- z3PV^Za4HI(*UZxrN$ANf8K7z9 zrE?7*DT{!D^qAu0&kg}{(<;ab&0ER|3k5=fP#_ct1$MjmcacY%1^c6AibSEn<5OT- zXFtBiqvP{cK=Sv8=i5V6{`eHId24n!)^|R#3;s>(&C6z*2M=H*b)mY5_oR!s-)`N+$^9H-;#!54)cbpa+29NX_??qPf~Uv784GgB)B%MldFH;J}t71P#_ct1ww&PAQT7%Zl^%3Z*QlU@16Y1+g!br z^{pUBedi;);M%lKuKs?gDj)=_D8p{c4d8S7mO z@w1CPRnC14^FZBW|5mE(2I7$GNu1=goYYJW9J7CG`Ush4of0S4>v93bt7)dS;^b>C zKxKA<%o2yW9_1vnaZ)uS7aZzI$}YriZhUV1r0m7OYF>@vPK@a&v(Jq`qz&!uAsdU_ zp+G1Q3WNe33QXT@b$A}hMF!^4Vca9v3DXjFSnVz1k-fEY2ObJslmcRz*h*ytSB)%Xb_0cQKP^bj#K0l@V9AIfFW2Q3 ztb{bpLKP=p6#*)<6J(Y++-)C>P_aiNN!f*1OgPjl%`Uh$t&^*N-p1!|6Qa-agy&_A zL0hIcxbt=|KxOv(&s+F~Lp@2^h1jrAAQT7%LV-{q6bJ=Eflwe62n9lcP#_ct1@5AN z5Y)LT(Z%1%)xQsRpZ!}Zc6WRZ+e!us9&X71O&hX*OIG1ftjh(IkRDT%ia7n*8Xy=x(UcCn|*xvyc~uw;rS{N^jl$)q7q>sDsBI3aefCvh?-;d#?y-rg9& zrefwGIJufDNO`2(Z+Cpv`mpG6bJ=Efl%N~6cFm{ z+?42Qsgo=AZ>wqws4Ha=P>>!|oc!G;0dmtS2tj6v!@OUpoMbjmS|&KulayVE#e_pA z39e1+7*DT{!D^qAt5XWAl(04=Vprl&b1yjFm4wZ{afdXlmWv0!R4Z^i*-x^x}5P^Y8xZgfV z&AzeH6AsN5Zn)G)!KD62bj`yrm^xafADc(1;C)SwIfx9`hUW%bD}ST6If=sKcX^dT z_);!o5qcF-IqK)#Tu1u8?E6yp(-;fgxb0WkoS+|`R9+GZCw~;C@bZ`Mo;F^pZoyh6 zyoS8~q&7DN&oVo+!7OnY(VIfNm~yMnRN;ZWrb_;v$k+DJckS@0J>J-3$Dgp^4|`-6 zF4oQBJGn@Qt&*(kSYMfgt|)59k8ZTE;IhEn+X8q~qs-XLl1^)`x!^;(Oi+5VZ`D=S zxI~$+tBv=fngv%yjycGfD$^wADLvU&dz+I~>L?N4>-uyTy%)!(!Lljal1!JU8=i45 z8D-Nwa}<4(cVutPJ-I_*k9dQZ)G4T$ga2UM$nj*V2hiz{jom?`J`RgQJ zm3eJ*a9v!PgC>sh7v2`18LYUhDk}mB_olsHUFx|7Ym*cQTiqNSR|O|fGau->4cO|Y zur{S&wMT^=DY0R_%>%1s@w+sjt5F!jLV;6JAe~AfQAa2c3WNfWLII)6@^WRd#OM;F zlj}(Pw^s6^g}i2-mPkTRZpi>mD=(cBvHohU$!ZRQQ?V`=P(pf4aq?9WpfWo_W{Jay z-V|cLvN;_dm~g09nq6>hS|?Y`+p3ZR>PlGz6r{%#Cx3PbkegOPPH5gzPFN@q3WNfo zKq#==#lMR@+AP=~EmI^41snX{H69(GuL6?4KRn+aqVmV5fX!R8!?C{ekzMd_ zS|?ZRgH@FjP*=(#pddY_IGy`Gn9zhPkb*4Q<3iIqxnkZ{l@w4{$|9g3J*GG<1~&gR zMu6P33No!L6VK-#1Slt&jgyuM4)r8u7h=Ogflwe62n9lcP#_ct1ww&P;MfYp&&!Uc z`2J{_?vW_nBQ`=qfrnKl^JLbulp1iF zg%woR!9cNxUot?|UKu)M-X@b!NbO2l1Qeuc^EIFwuPD`UK_ zwyzK2J(V6D$fb>wTJqu!ytb>u%Ym+1}e%&LxZAF~2a(lcAp|GSS_g6REx6^L8z`HtP_ z%1?5AoBqw+?&EzGG7O@-B)S zTdAyB3=Y5d@9%c<&usFp*bNjsR>0LpAyC6EY#*#5hoZ#vo|Sn1!24iA4er1x$YM%y zp=wOVPOjt3TiNaOpZ~4hZZE&`!MZZ5)*K2Y15`~79W!rDLLs#)Wf4%2rrCfhPK$xf z_bdT&(<%r-W{JZc=WVq&h1jobPKU?l#^=UQ%3herZ$3KQKA-S61-GNE_PQLpC-h_d8ejh%w~B8VU?hfOi;sTl;4NsDtt{6qpvRr_+pBDNoY$fed@T zrRkPr3$BstglUPo$al<>k-Z(Wk7MLY4?S4NXfkr#U4fIl-=<%){o9wa`P|jJ>(q!G zc~9D0hDI+p;jMZTh#_OnC3_S$IJ)w&lSTbVB%XPU0DuS`#9{)M<(&h$I)wac~J^{{B)<&d)!6! z70q0*0#|QnF>Nn+h%d6WCrQR^;9jI!(Nri93WNf8SHSjfKYsf1@D23cNh0#Z6cFm^ z+?41ly_2hdAM8H+w^V$!fBSDcT^cBOxFrKL?ZWoKDsm`VOy49Vo8ScV6w&yHD0#S}#IBZpi>mi+Q_KM9R~oshBwkPOjz(lDS+LJRXI; ze)Ey_nI1*2(d30GU_a%ZZtxe@ShRDo3a}8{?SB7a`-{d-Q-Q7i4ixLAu&M(4DjMK% z6;KxVA3fn177Cn;0(}0~wryhCp38uB)hT$nI}Qr6*p%Qx(>l41w-5H0Z9n0U!BbE5-v2Ylk0f%mfxQsueSf( zI7-C4eW-ccoQG%c@a7}g?f&5K3OxH>qJc-HzJrh^iv0tpr0>hVpSw3fR$W>rLN_a*@BaM5ljWQgTwY@18d5 zatqcf=M297q&7DNw=z2|m?aMW3*UrklfMS#?}>bE59N2ieQJ+4_So?! zEcnA7*@cUBv-nOf(qXG4>pIp~=AbKz+R^sFrWQV0S7w2^w{?;l?E!mP(rL{#7ko(9 zB;L2`Dr?;2RvYg{H4Cna9CMIms7#Y){(5h7l1d%T40myu?xJ@I9TOvCXb-G9jGKGO zD4Xt?qv)HwBYSHm-^hXYng=v}z}$CF9TV@M-C^+RYl`gB6nk$Tom?`J`RgQJmHGR_ zgX`kT95iv1zwoyBY$wl@1=*@Hi!-29GDy|luP*i6g0)IGgSNUkIIap#lZOv<-3DxR zQ&^i)u#%w6{tVb`Sa0*dDp~wG4CrbUhI6(ji5I~8C%1$-XH6fn_Vkd+kDvQdyhe{N zYh)ayz}4r$(kS}ieGmnNtmWm(Vu{gJU?y9@U<&0{8uQku1dW((HU?I7a3BVP`ghM9@u1)LYI^Mkf#b119_r>m4-dT5Py$Jod zB?B}q=Iukx+vd=my#v3G@tqXwgtH&W$JfApx6&S;V$t!5D4;9`!a{*FQ{erWw`VqD zm2$6slvHsz1?c{7@_Y{%>)Vd8+;{jqxJ!EQ3XW62;q$j=@d`bT9+#0tXv5tIQjokc z*Y!5bjjfZbe;@1_uE`#G4^KIvvZjf7{1<%wcK6?Hz7M9xJ!T)wB&2X($|9g3O*7dP zr^Uc#g$1ZSg65F$;!dz`AEav4-jq_1=`w%;nZmBfl){&EK+_uTRi9EEG7J0$n%1qg4}$E>MB#&Hr>dlU&NP z&F{CGxwn488{{aP-s(ruH+e_))=Yk84!oQ;pSEYFL6loj;56^IX}5d2(@NQQgSKa! z>lk@Yx`_Mj)=iw;O^p|l?cYAP@5|f%f{aVy^EI4>`QV=O_KQE~_te^NR$WzQ zsfGM2pnD6W{F+P<&n+k?xl2x3CO8!9asefz#}ubr&2_H50A0VbZowQ9UL$%_h~3I^ zIy^Qveh2VL8Najn%}2U>sxLOL>&@nj6X9zn&M4zIBR`-G?d{He@ftiSvs<-X71VtT z?XG_!?)L9?Ox>P$a2)xX=qP7sZyov1$3?GROz-jb6BY`bodWig`LIynj1-7l?HLW$ zLzH@o>s$7358sV$Er@JQIP<0X$%wC)vc46>p_%e-Q+C0%X`Ni8GgA(YosV*51UHTS zTfu8pnO*aN?r-8QY#*#5hniT2fwC`&=iH;#?Sr&Ta99n-n#*;W$!|k4#}vOZ!`y62 z$ZC4pH6KWZWML|=DBP+hh93SNI$o>F?3%bh*Su8=?Gzi=x9xLMH7itbSQR|i|O@vOMLz|EEFgzaFX}iKlruXuZ?(>Yf*;#0wb!OYr2&CtWp+(mplbty&>{O^$%r9e z7d+Rs>Lk}eSk)|4aqu-4pfWo_W{JZ*JjzLCh%`@%#c3%O-R3Qk!l9m|>_Y70uz&GS zb|1g@@^SPUU0#*~_I=rOgTJi4qMJ)sAg1l5kMM=|7ZdkF2lisyQoaZHM;F^(G}hdTnSp}G z3Yhmv0jrkxP3tWvB-y3PIDx{~VqGqvg!Gu=l&e{A3DDxoYP-uE5?(7nxY}caQ$0!9 zg;-2DbduoOv`(($&0E{QwJ!g?-Mg#GtQVo56%g~5;Ynz-nw~{KaPKj}$<4Z7Ty^(9KaOsr%S%!q{;u>Tjpk$OCH@Z7V;b#K==J`82Z}C!{S;a`^&0l4 zUf`puPx&U`N&MX5Q4RX}H*5R1AMBpI$2X*57o&jCN#~|SSJRzb$J+<9{o7~z-LE=b zT0cThZpi>mi~ZZ3B2wPFYAR+9f|IMcf@Ch&1rJO(xE>0!AmKvOI=PNFZx6pe;U8OB ztIDhwp`R5H`?s-w%fxt4d-IXlKYEDIF+S)ZMDn{Rz`I7QZ||a9#5|Y+HesK2zwtj< zm67cq?y0o+nl6QM>E4+9HzVIT|&pi$bt57+>85Pg$+(w{ zvgw{VioVG^vbSdPjU2kB(zA_{t!Z?9=?t#K#5)YqVesl}itN%H)xCLia>+#IuakIH z=C#eib#Y}5nmEc|cw4lI|DNKqs?4tWKr*Cl_FEnkUUN0d$tp?W>cWK!RkPKN;^1=? z0g^P$RyWIP7D<%&#g#k?a|?L5gP|ZztM@i1QF#114CrbUhV!-$#@({dTbQ$~KAlO` z_nvg=@WMTlZllal;7S4edpaNNUdHDbFKa#s?Ua`*izP-^=bc>B&V$0aNU~l}t*+!C zf}3W4Pv@DujL(B*p_FI7<^oh7L32oW`72S7mI)5^BxM(3G2zfjf@{+{xsEq)NBun= zlwb{`Jn^T&h_NMGS!2+aDGq+Y0#s%v$SiRf(VIf-S2m}^<8j!Vk3NXsH~u(=`qH}8 zOKT|lIei7D6~&zkwRae~bMWH|+&`{%PrKVEiGN%6-n2G5?OLO{E(IRTSU!8Y(=I{6 z>GTzlt8d*-ztDSD$lpT`yBr1N(8}Rh-}%Tchk(|hlk0fRykXhoe8VuoTj|oooBxM(3ABVm9X!mbDefc=v zf%|T!U7R4%&}l2c8`^I7YwCKyF$EA;>Io zn1@F>$!wfdt=gLsnz`IMW^&<)>!BbE5-wEjLqj{cjx%pxSV3R>x$bP-t4o1Qeuc^ z!M?06^|IQDZZ=k6dh_3y^&WI$v9=JiFWdi~d^PSd#v9})o8Ib2(KmTV_SQ^(Rt`_n zq1@QQJ*!bWYOyDIzvbJB`MZ%V)zB@;7F;9mNf&Xy-MR_(Mo!A?h4lLH`P-L=Z_GEX zO*Un_MwYHU-~8#w*F;CL8}ufJeGNMnb{rIhnJql&?iWYyyU8AfO}^R192Bobl2vSl zjo_x){;kT(|Iq2uLWS_Tp2W$#FUj+!4cP}Ht8ggRMyRwHG``d!Z(`C0P$oLe_+_f9~d^MAE5W!9Rb^HA7e)l&WsVr0o zchdqi?ZW2mUg+4BLqSer-crcM#_s?=DLeem=8yE(p6d4Bmc1F(>1!s=DC0LHKcEfm z?aqDi-f>dKt@_Gj^T{AH_10h0)`ZvjHkaW#dHZ>4yM)J;0#`34`(3qRp+G1Q3WNfo zKq&B#3Y_rzc6(W5d&(=uG>RDBi&)>;C_NY#v7aHuCK zyAZp%@wxGnvKIrZc{PeVF{Y!;KB@7LHng{gY%Fqz0--=C5DIiCFnzPt;dvw%8JI_h zagSUlOiR>ZwYP{z_SVWBcqnjD3dH?(li?hBPr8)*t)MhQG3Sy!3L6|g3Jl3g-d2?qP*=(#pddY_I4uU2 z!AM*c4sKcnIhA=!En%TRC=d#S0--=C5DJ{F0{8wqP-olu(F@-nJ!2#c1ww&PAQU)p z1%&$QJ0IBv*QRxH#XeY7Nda}GECLGBV~W$c?}G_VxB@B2qCGA&t&=O}ZB&>l8J1U zn(i0BN7H+uINWogQz<9B(LLHJTGV-b24Q90`8-CRfdzU=!__tO{) z-MH;n+MJ*to>X2E2`7IPrttEY@18bZs&2trCcK8c{-icH1&$WDMh<_?A)pw^+@+d?P5j#h%eLxE5r z6bJ=Efl%PFD^)=FNquvj4*DD>o(4A8Xl(m4_9uhyEZ<{&r~ z>v91lq{kE|Uljo=vlC>NIE?5`A@(bq)8T;$hkB*i1=pr^a>cx@Dk-3@ltn;6dQ5Th zXNLf}X%*yz<}Kxfg#w{KC=d#S0=r%OyU3%>g8k7lMWRq36bJ=Eflwe62nB{IATZZ= zKC%n0P3z=}eXy#M0_sXx1Qevl6sL3F2NRlb1yYbjdt7K*Cs)kds*(cgN?8OHq{kGe z#lYsD#t4v`RzYI_78Sxmflwe62n9lcP#_ct1ww&Gs6hO@>}Z3&KU$_p6bd{_1%$5a zJ0IBv*Q&9f(#dt4eK4_t{2d=Y&uJzc+>!yRriPCB{H@g^q%PLw0!m2JObx}!S4Duz z>;#!54)X_Mm6OcIN!5&8aHuCKyAX>BhfWe)tM;Lxom|J6w=b-qtm&#U1H~SG$pBS* zW$2K3o2*bF^{U_v{#j!ESK*Y?Dh1tt-qw4|NrY_0_5s5}flwe62nEhif$7cvbSjsD zrRXqj-XKTW^j1HLzR5eXw`THi2n8-lfwO zJ{VbrL$NLwP(pf4aq?9WpfdY(vrc&3asSpLh46ZkvJ0`8aOfn#wP~GP{qy#D%v(gC z=Lyft8iTe>ad7ADUVzH%Cp2#52_STU|4^p*iZ%U|N*_^IQepissjh~dg7+B4#QQV1%Gs^69;}2;= zdwa;nB6lbd3WNfoK!*a;qP4^GNG>ukj}GG=xlWjtsKaV+5s&Pxl{@fI;Gz_W`|T#f zIr5%#Dfe4JX@pwr5Un>jy0m1oqLXWy;%X7CosV*51XqpF^1h0JLbzKpK-I)hU#D`x z#566Lhu~GL%LSB>rdg=sNIL!4ZCz*|tmI)5^BxM(3 z59tn1;t&di0--=C5DJ6>p+G1Q3WNfoKqwFjgaV;JzXF15=cYs#YbV!n_HU^;@6oI( zGf?nY0c^{5*^vEPG7E=dT`r)6^qAu0t0F*U_EXshvpu+uO0x@1HLc#Y5I?)vQ{~*( zaNZ!S{6vJ{rj^+(R0yBzNu1=goU| zZ4w|it%49_mN?A&g~~~0VdaH=OMyAV6Seh$y$5DJ`&0@Ho}T*{3)LxE5r6gX=IlBr_#DtKR$V-6z2wc)wJ*2>@LZBC-__+4IQ5WbYlScG0hRF3+2 zH`kHAFZ;gK{WQixH*WitHYezZCzY2(!pR?nDZKpUyQhtps#~y@39liqKdH@4!L!WH zY%oh4M)al-FQy#RByn|4$8+p8Rr2>lzP5+HYllzm@x~rI{)7d8*dx1ev2GUM$wfMB zm1JGV`pO)1MNvC`bfbj@mj&kD7QmYtWyW5XbXs%G1s~F7g3^B+v@+nl6QM~V1e*QdMay*M@vmQC4~WV$@v@Qi!OD4Xt?qv)Hw zBYSHm-^hWR{{xynVD7u8j)`~B?l5@uHAQx5ioG|FPA-|q=7o!L?#BucuhZgc2M5=9 zTYP4Sg09$$K*GIg@84K^DG{qAiK~k)xllD*-6#$|R}tvC4cO{tS^Pq4p zlH_&Fywq8*YI6|5O)Ilos1WX!4A8Xl(m4@3x1gNlIyh;W;83i~1(c8;Q=D=&3oZd# zTv@eX4hgRnAYAP+!Kt34>_RLi96CvGZCWQ+%-gDx0_sXx1Qevl6sL2aw>^!9Pbd%y zgaVIGp>u zZ8aHqC=d#S0--=C5DJ6>p+G1Q3T&xB{Jd;SHLG0vDtaPdI*~e}j8NeA3J4+PeU-&_ zNt2y@z)r5??B9wNv}hskRIBxM(3G2zfjf@{@2G_;fJIP>;}6_hnyRc4^r!!H@2YOf3( zGH;U=Dx_W&OghX3^Z>OE^p}?6cFunPoPW3Xd z6dlIR8}%rg-s(ruH+e_))=VA_p}-|65ck_nMswsnDekw<5KWs)4)Q>kM!t|_R8MIX zF}x#R6CHJ@4xfgL9Pq=DW*FjRkHW@WcfXxn(~MFwmUpTS8$adB2yR-L-9m+Mw`72( z4cP}Ht8ggRck1v7mrx)S2n9lcP#_ct1x`nSSl@0j7HJET z633;iZ-oZyJ0IBv*QRxH#r|zoNda}GECLGBV~Ufz54IB^H?4x4&_0+@PrcIYf@{^7 zjGbJ^nYUsE`6D#FHQ?Zv3{W*Sbj;5kOhO^GD`gQ-kfxa$ij%L30J&)ugdnrT0Rh+T zgH)~Bn-c0*Hm9qS-xcI@<0oY=23GTG6nA3cj57P&_(R&z-X5~C$Q=rV0--=C(4oMz zXzlPkl8X$?qraf~d#3Orafut63xwpv9GW|4BgzGD{p*fN-^C$s7cydXlmWv6yh^B*C?5om~C%Ha>rw5PhB} zJTGeu+A_t#ows`dDzo2z-oh^&>PgBj#D;|ep+G1Q3WNfoKqwFjgaV;JC=d#S0--=C za2ExHpw3N+F8)rg{(Z2@&T>~l?vBr4Tgk!&3b$l{rVZJ@C97~K*5v|9NRKH_zA6G# zWh%^(18%Vlm;+NrG$B zI=N!rR+SV`SIQ!wAU&oy<(alfB0!5PtLbSD39l6(TJ-3 z$Dgp^4|`-6F4oQBJGn@Qt&*(kSYMfgt|)59k8ZTE;IhEn+X8q~qs-XLl1^)`x!^;( zOi+5VZ`D=SxI~$+tBv=fngv%yjycGfD$^wADLvU&dz+I~>L?N4>-uyTy%)!(!Llja zl1!JU8=i458D-Nwa}<4(cVutPJ-I_*k9dQZ)G4T$ga2UM$nj*V2hiz{j zom?`J`RgQJm3eJ*a9v!PgC>sh7v2`18LYUhDk}mB_olsHUFx|7Ym*cQTiqNSR|O|f zGau->4cO|Yur{S&wMT^=DY0R_%>%1s@w+sjt5F!jLV;6JAe~AfQAa2c3WNfWLII)6 z@^WRd#OM;Flj}(Pw^s6^g}i2-mPkTRZpi>mD=(cBvHohU$!ZRQQ?V`=P(pf4aq?9W zpfWo_W{Jay-V|cLvN;_dm~g09nq6>hS|?Y`+p3ZR>PlGz6r{%#Cx3PbkegOPPH5gz zPFN@q3WNfoKq#==#lMR@+AP=~EmI^41snX{H69(GuL6?4KRn+aqVmV5fX!R8 z!?C{ekzMd_S|?ZRgH@FjP*=(#pddY_IGy`Gn9zhPkb*4Q<3iIqxnkZ{l@w4{$|9g3 zJ*GG<1~&gRMu6P33No!L6VK-#1Slt&jgyuM4)r8u7h=Ogflwe62n9lcP#_ct1ww&~ zQh>Gf$y@xq>}W%@KU$`HBue*)jnGiwVHFV4cWz2FD`h{Wlj}J9U}6R3J(^Wz2IAnC z3{W*Sbj;^(txzHLs^AU&d6%i7aQmtVkegOP2r^3??zj(D?M)%}E1T2dfeD9trP&47 zs(ol^C)aW2?F%cYtb>7K55Hu9s=YFF$h=J^p^(~@vIrX0Po+GdwVz9u?~+@Lo(>}%Muu;ZX0%xvLFcfU9? zU6MTtn|!m2IVfI>B&*m88^KL0vsPQEGv zRAwj0EOD51Qcf}(CoK~k>PgBjlQg@shZ_6ag2>Zlw#n_}RNJ<=+vc44> ztnYke7hIdx$u)h)r0|t@stzAGjeRggn)jV}Ue*}2Wr~BZxd4^fPiP;kqtfg`Q&nSz z)w>qrXBT^_ockK)fx5@Ml`6Y|IOKW~Cpj%AHB$q}?BAL`Lgrbg#L4x#TtM+^nrW># z`I-w*nVlfB#9^*SImv9CRL#f*hkBB-3$dFUpBq0Zdoi$@SEINSV>-(0bK?(bLwkG3 z#v*qp5DJ6>p+JWMtVB=BWMDNqjCgaV;JC=d#S0--=C5DJ6>p+G1Q3WNfoz+Ds& zf;u-Py7)V}`uD-^vwus)?vBr4TggDd!z~%0X+!pJ$toO*b-92N(qoE~uZjSb*-vO6 ztfSKGLQ_qvcP+%vF7{M8_chELmQ3-4-+VIonD+~nlg!3R%LIpdlClf2m~iMM z!L@0fTrqE}N(!heWf4%29#fq1Oj{%opv9Hd^fZTr*9s7>_L$&QPf~UvHY^kf1ww&P zAQT7%LV>CR3hJ+RR!f*F5#VS2T!O#ZDv5CQ+Vbc5?&g)ZLAX}!TSLnqA}~-1_uB`l z**8{t!lBv14VM}znAHD>u6g(cQ%B46WAi8#ysybI2a)00@Z4Z)`HLa!n!NBz8;>qy_1eP8N+8e^dwxBW_+6ZFHA%1a{QUjFjk)5c5HEm+Hh z*O1qr)aIt(S!QQ8m?aJ)dQ*rOQ*QN{Dm<{)RLS2H`Pv@(t{pzL#~XX>_!AcVVUO&> z#kyI1Cl~3kRg!fb>nn566-Dj%(Tx@sTo#ynTL5orlo@+j(rL{#7ko&U2})1)t-8t@ zmnidfween5v*4=8F$Wn_Wt!wXr6>DpZ*!7L9VOy>U7zlv_u|+zSTZIa?(tDA%4s^A1_<^x@~0bAV^ z)}|D!_NcHUB{r?+ewPMxH3~ymC~ztYq*Ey*>Ielwfl%O4C?IrMUal;b7+r#N zavf>^)=FNqkk`!95=rREEg7I`<)w2X)?ckPSPQEGvRAwj0EO8jo zn?meYHmAb_6AtxCvkR_G>*R`gTUAm(T`7xzg7lc;iv3C&x|2@3^6flwe6 z2nBY#_;-;*R`ku&R;*>PlGz6r{%#r*q#26Pj=ZQjkS^TxeP+SIpb0k^<^VSp*cM#}ucp+G1Q3WNfoKqzog3b3|5d5fQy z9c_sAN6U1NMCl%}5gH0StO7#%&P|DCrR=A4avf(MOst^1N3*KTKpgy%0jj2kj`{qp z6)L1&6}-Ve?=m$MZeJAva?>gZL1u}=9rwYiy(z?gWpg?_FyTCOLis+WPK=rC^HAV=BsRzHfq$vd*QX7X?d1ujW}xZiFvnj`N?7jeJc zx`~tfImXBrl58?Z9g^f++w9WF*F;B=8}ufJeGNMnb{rIhnJql&?iWXONr~f9*0(}~^_`FGf@{+{ zxu)-!6u$CK)!_rDu@8nw^S%?$%Nm2WOmXlv7oalx3GIV*RGM9As%p%zde=hy>|#%q zb6>+eQ1_U(Qe`&~hg?tMB&X%1W@_M={ae#V$UN(mIJsVz3n*SqGp!XTUvmK}vlC>N zIL!4ZCz*|tsu{W9P)|~JA$D`)bK@svF9ufgY7}>3Oh=i0Zu}u_Xm1bMSmX``LV-{q z6zEWZmFP*C46H_nagSUlOiR>ZwYP{z_SVWBcqnjD3dH?(li?hBPr8)*t)MhQm3N!6 z3$9gTZ={oJn&Jvy-bHa^E0qykHL{f14HUxtv>-JT1BdK`B_oEsT$fw064EpaRh)cP z1gOkTkXhnzw|y`|#U70$Wfx*G;ZUzMyWrZiPOkoW8=t>Th(6B~o|iQSZJFZW&fC2J zmD%qv`w8uXbyS*NXsT)T zu7&v7#hxnXzJ__jk}00>o3AJ*lZHI4TbbSBgxI;B#L1k5=S_=wdt(HfikXAph<9uu7ENy;w7hJ^y5KqwFjgaV;JC{R^E zLH*UvY6(*%0{pC>OYk>aB@wP(TmC%X-MsQP2-m88YiRjH1O_VMe)}Lb`^HL7I5bS&pMY#yb8_cb}@ATnGVo*Qhf{Egn`Bnpq;(pf5L)4?2%o#ST~FB(gEIUL2bS%cg8gGF_f-c*ebCluh@{QS?pT zk-asOZ{#q&S#QL4YZ|?E#3=;C#5;__Vesl}itN%Hw!L|Da>+#IuakIH=C#eib#Y}5 znmEc|cw2mCu;Q|+tOz9BoA!Qnspl4~O;Q|eb#riB6`VlLe4y(#V5^(L+LVIT9u;<^ z#D?`Y53G{K@6v#-MqvmG1x`hQbSi~J9ic!d5DGjB1%xik%az3vqf3xZt|RT=TFHwR z@|t;CA_+aYB?C0AymU^)`m41jt2qcx#kyQT3F$G#$yY^y%IpN0B@QEcQ;7Y_=5%;q z!l7PicEPo2om??*t4a!}D`gQ-kRDT<{MjKuZdwI7p?OO=VWB`M5DJ6>p}=kz|1R=q zvtWO;Opz!Qczg;>>+HwZcyxTe3P}F`@O*oS${(KsHgC-i$NJ7kcEP`Com{aGR#j3! zT`7xzg7lc;bng3LLKCh)3bJUA3r*|fig{aAQb1iPi-3aknBue;*!%HY9LN>P!fUr;?6bJ=EfiqQLdh>SbUl zI*gk)$Wb=E)sLcY@{a7SnLHdqflE>#?zfwa=E!?e+;5#BVzc6;Y~%|`Ms=D-5yLz3 zHPKOb>hS4u5xi)IAx`!vY|M4{+sQS}C?#Wgr|Pg_S+0!Wrj^+(R0wxV258!leK4{L zhhkkWpoH|8;^eC$KxOvnW}WbwH&m6A%*IK}1c!Q(vJ0`8aOfn#wP~GP{qy#D%v(gC z=Lyft8iTe>amX|6I@ex+u3yP%7375GE#+)x{0`ugGJfs!D0?%C_%#z}l<}L9AJB&O zcIUogeS2r=t_7xR&o_TM@-@*>?9kpi@`p<(5DJ6>p+G1Q3WNf;Qy|v2x6{k_PX6U> zu3pOeR*<8<^O0R}ZCWQ+|2|k{XSrDn4(^W6VSmR!!NV;XplPvxyHiBU8aEX)2f@kJ zTtPCI>w*U+99$0tS&(p{YD~sXuH(#Gv4R#YEcSv8#KA8aplWL9n0adw3aMQwi-3YO z&D2nwd{qR-7Xzz#HHteiV57`F zH~x?|w6}+BEOLhep+G1Q3UnwiEm}J~kK`f)^XM?{k?VwMi8`$I7V*g5TDb!c1ujZ~ zxZiFvoFnf^mvX-qlt!q<4w1jVgKO2;8|mblrnth_*!d_|MsU>#EwdXagu5jJR80)^ zbt)H3Ow*Ei2wugyTtEqFnuRJ(zA6G#W+%ujak$$)7@=a1Mv}4%v6yhESDIaLZCWQ+ z|GbUQ-zG$#=Lyft8iTe>ad7ADUVzH%_n)`$3x|4=vJ0_cp+G1Q3WNfoKqwFjgaV;J zC=d#S0--=C5DMHy0U@Y!Q=*H%ldFFptg^G*Rgk;mbJ$k0aDl=t8K7xH_HW559Ex?h zfD+PUij%L30F~KKXdkSj((FQ0O{;e;#Lq7FR5|xG%o~>FZG3{8R%W+QA$+bUagx(= z(z2MhH%71}7`Sk7HCK@Gc%gP!C=d#S0--=C5DJ6>=dVEg9jK#?;r?iuB2g$13WNfo zz?mo@)Y-Wy(bZBXSM1+b)f7-y$|9g3J*GJMyG;V*rd1Gv%o2xrzfd{JY@D=AaHuCK zyAX>BhfWe)o7Tw{^R}v_fVxr^0R`zX#VOCUMG^s8Tv<&|b4Ylt0O4wn2~PDSWfx+@ zLV-{q6bJ=Eflwe6s4AeK{%U8ngsBn%e%8+=_?xYg2v@Hyf1dAdUU?gYYt_CrwEQ6g z1C?;UeUO@cW2Gk?nl0RLsgZ(7{g3FHhhH#tv`jxXk5a+=njCWw8Lkb_4YpSPMsITx zg~#vmDueK)T*e~wDxz}K&%3#f^nKa)rS7LO7P@iUue3QqKRl_tBoa>kC`{qyFW)_F zyj0zSwM=*odHqRkZVH}dc4mWF;xM8&g?KULR-dWD1A9%C{5_Gc?V<15;Zu9OvB!=- zVZk5v$Sz#0o5go>kq%oWS=X_?G6!8z)Q%tBXko!+fw{K@@TNwYv6m&C)?9PJhjf{s z^kmAZ+<6bh#rhDcn`X=wl-kQlba+uz%H)6Xrjov!q6ar%69Y*0Wc=a_!c4-dV-aI|UbX+T$_FocByr=mbQl|rJ9P#_ct1s;V0LYL*` z%3_JpB}gaNk@jz`<}O~t%97;yrrD5 zP#_ct1ww&PV7H5Z7kRWR+NE8Y@J_V+A_Ty_jIzC?oB!7Q+zCA?ck52)cw`PZ9 zedi;);NP@PuGj~wDk-3@ltn;6dQ5RT_kA#-30EKmS+vK6rgd_~ysauJpstifKtXy; zaas&){%MQ=xoH(-T305X&p!xIPBI%OEfXB-Ny;w7hJ^y5KqwFjgaV;JC=d#S0vDyg z|IgkTBR6vVTwLGZWd|+?4qUDc93ZpgZL1u;F zmHS}L-V|cLvNatZm@u>}tuDAW?R!H9xvn#Bf3<>Q9SjtE_$320?W3Vn=4~+vh19N; zA)p{#YyT-6A>K`^Aa9?y?cQnO-fy!juedYM>?PUGeca+WP` z^|R=^yfb_2CQpY{;GPu7`|U-p=FEH2UEFUk-NfO3k1_LwBo~>Z4oPv&UF_7%*Tg`P z7wApS`x-U|I}QrM%od&u_lqObrPw3b42<}?UZlOZ>T2JBN`9>k< zLYuM=MmAvx>v91lWW*FFUljq0*$FZ$469DcL1p8hXM#gJN$j#n^ALNev41IuJVW+^ zf{ah$tX;dYA9gdj1`*t~nB78!a5pVL*Y0fIo`jBFITQpb%?iW2&0CUR*!Ua3hcf=` z^ep>4i}({0XO{7ok>Am#_V((&cnu!Pa((;!a?E^9JkRAE7w24_N(EAZR3H^d1yX@j z;J6Cp`t}mnrd)y~;<%Uft_A8eq~>OxaZV}`Z67UEYId#W7!8rBQ-hIuPZb^~#!^%M?jS`J#K2F}^P zHGPE4t4@W3r**l2;?*_NT5<9<7oeD(AhW`-)}tI$HV&F*-+=Xj8%j|RG?`czed(Xx)cPfwyqynkHfC8*U4`nj38lA>HbDgj(QK!}3Bc9n? zFL&apz+EYj_uGqH&YAb5d%52VN+VRf+f-d}Z5n$cgIvoLSNQ5KiW}RgjNqn`C1y8J z2=~*1v`h?~vJX~_81lF-w_qitYZj_F`Kky|%ubM5VR+p>7@=a1PLkM#SWFn&l~xyA zyEe!*K5z5$w*}GHdBXEpW6+){4(_}?2~f=b_IV4xFtn4zF2ts#0;xbMkP4&%sX!`_ z3Zw$5Kq`<5qynixD)1TwgrLDqi6Q<$uJL`ax9s0ivAg4Q-c~YD@Ni28=-QP1Te1p6 zSeFYZAtR6lBB{Cx5p|fZVhSLXcTuSoaH+ zgUZH1&jg2dlGueS+5y7Z z5fhx+Nn#gb(^7#{AQeajQh`(;6=*7;p#ExSw}fdD0sc15CHR}|k_cy?EPp;cJU{a# z2-l|lZD{-<0t1zBzkQIF{bi*W9J(z$b7_%+N&8E5&BHI4I(nu*nMYLcsU^o6M207q z=NVfsf2X%KiNfP|`ItfY5tp$EeT-;~`ui}~mHu7!Z`A#AjfHO9_8V<3(0~7Hyd(-v z{#97Q;~)Qg+j*(F1#3+B1o`xHYizO(EV)x!q@~@W4J%CEq9Vr#fa#r}wN z+H=*g*9SFCYFSD*lQimxh~IR6xr@Gu z^j+SWy>*jc$YFW2z7X4sY4pVrM+nG?cfJbei`QOL zRG02$JDJBImn>xdI*C_hUE7>pH)qzMg(LpK+v1M~D=xdrLZIN@weOlsJ-1-(lHy>i zo3rDt-~wvq14Fj~Tipa}QwmmlG}w_6o7UTUVU;X?mj(MnBwHm4gqr0 zD#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf^DPl08f{q;4T9pA13QvZE;yFFy( zU!MXtZ_UnQd*`FN;NP`DuG|M}Dk-3@lp&xXBc?dr`aYP@ge#DOY}(^Q*9N(A-ZqsK zP*=(jP>>N*oHiG>{x(K{+_Va^tSbx8*IxuE2bGP3o(T@^B(V#zX{kUekP4&%sX!`_ z3Zw$5z+EZ8+WPR8zc0JmB|2R#Qzl9UzDfmzt_L?Ix&pDEGRSqEeK4_t>K@ImG6Qk& zO9p6~8an6mw^pc-dROoT{(G0Hp>X@E2#}jrK?pJ{46ob=YxbrP`<1Qf@W6zjU1@c} zwQ1iQI>>dMdHbss6zgE1*uyUwplKfsoicBWNhqXtr3?WD>00|w;Rx|=S_OIgylwYZ zlL*<|IsnpAfm9$BNCj?Gf#uErIMvI_6RoShWj1l zT4t1zk(ZC~#EorKMoiaYb_*54{j?xGo3al^Qeg<|asee|#1toA6#xQaw zP}w->nc&b)61xzK3Bw=>u3a1C8lSfx;#X9+7#ymmyUGj{Ja)jkzYo~7JDayBp<7oD z1v${XrJRcye*^eX#-E*@WuIpee`4ayGX661JKEIVUfox&Z(l9llfd%i`Nf~kd`%1# zJGHlg{OOVkqynixDv%1K0;#~u6v*}M%k=WSi+}nuXYXZwE6CB_`KT_qc5RSrd><_L zZwsQY`=vaOH3sdO;^6NN3Q){`pnb4`N~;S^HI2#G?planUF@lH>}yyr)EoA1rO9p} z4z-@bK~2j+%hbR*`?sc#ka^XqaPYJ)7f`&qW?CywzUBfHvlC=i7}k1}gUZH1(~Mkj zXeWtXh`qS+x$#5UuM?~JIE%Y*O=p>XZu~uMYH#n^SmsUzQh`(;6&O%p`N!6P=apP! zVji8wJ#(F~EK#S`-XosbTQ7IwslZ(+koVh*T+W&IqnR+pNqFA1oVU-eU{^775S(1i6(qi1sGXJyqynix zDv%1K0;$05E0Es}}8lnSH*sX!`lBMJz04sJ>ewKT|;`?pOs1=N)?1QcY% z6eoYTNr2q63PO-sVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBT zooSmS0<<}^o1W%S@Y(^w*%1?*+DT#;V$)KAR3H^d1yX@jAQfmTprHP0XSalD5&`}; z&L#Mp?UD#*pDceqJUl=1B?#B1{cULcAp!%HaKC+!mi=X=7aY1RJacK0f=T;Jbj`yr zm^yl_z{<}34M%cjQaa9*OmTV_HWev za*c&<-1Zx7F3^AfYrG^1PX1L`!s8$ReA{`cx&>=Y_yqa%b8Bu2o-sSK!K^UM=uIKs zOu5}>s_?)*Q6=9e@~1uY8ykMH$7g#y@D&z(u}5{`WZNu$kc)KKF3G-*{h2lBk)k#J z@T`Rmmj%||Ho)f=WySu8blP*R1)tMZg6PSqS68fYL|M?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdon5Kxd2Q=B#zw*EFofZVhSvaBl$&(~iBC3 zHzm52vY#@@b)9`Mv4ZLz&8{*7aqvq9Xqp;2=kvE#sE~SB@CE*Rm#Lv}`>F_#n^r*x zGAj(P+y`s+rV#s;t?BTh~Tcp>=r77yJ-Qsc4zbUBy{Y`p&&?URv6xG-je*n#@_%w zl<{Y$XW8dj#GjZrvy8ut{EjxYw^#SYYw%E(>)Yp-W9Dn(c`oO;IOpPn+7?dp4H2Q-M?<6-WgJ6ksKKD3giR=rrz`>x5;AI<58| z@yy zl2sVOx?DgB88OAlS4Dtg_5k;b2X|^RDH*eRc)AikXApn5Kxd2Q=IBd+awX7&6(ZwG>3xM z4iL_cnBde-61xzamI|Z-sX!`_3Zw$5KvMw)^;bK)B}|hD@V9X;!QX6`L^%6o`Sao7 z`I#?4xHj!?L*ow-7^sB%?Sr)JFDt#^&~4$FON$gt+Fzn;9)7{p(KG$YJfeb6EjiX8 zGCa9F&)9nTJH4$*6du3J#|*-cxQtEcV?<-r--o%b^zX8Nqwbe$EOg_x-)M7z{`+6! zB~ftlufh@@|M=(I&P&xTSYyH`$fuuMb5rn)*_jPyg<(c-3h`#j?LJe52lk07`96_9 z?V;b;@QXb@+v9<+u;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?`FvEo`_fu=chAKDQ_< z_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_q)|si{HF8E zUGz;H7Y&w+vTez9dw9Vk?j^Hqxo6Iz@AA&)s7f>@F7`hGE>Lys5Qn1>i!H$&JwBFVWt7P%JG+?Mv7}8RKR3H^d1yX@j z;A>PsXgXf5Y?c^9`V4YiY5&$r-n3BH%*zr<=*cY^plk8cxe)8G*1D|bAUK6}xquQf zVv3WmiU7sz1eq0v8NDgQer0PqJTPHsS6W?g?b;w$&fBJv0_sW`0tzx>ijzM(1jtRR zAP1Val#`YUqynixDv%01Jmmk2yxJ@{T`f~4N(H_?1(tR8*VlM=YkIQS(4G))bi z^Z8pVR7kxm_yYgE%hXV~eN_a=O{*XTnH7dt?t?XZQ;7Y_)^vDa!qBd?y5QQh?+qQ~ zy3V}))e4GrFi`B_mkiLfkA_Z}x5XqBQoB-yfP!?b{ikq*csH$rynWubd#g!=Y;GL@ zX{kUekP4&%H>$w$=6{^(Wnw8hjhi>fS+=~@&!X@0&g`w5JRMSjdr~0pw->pZGw(@v zalgHE6Nmdf#>^LzTx5}8*{_`4stCsO3BE} zM|k4KHYy{gYcacp3gLcQke*H12P3I4gmt-q5;9_nldp;Z#q0!`6^3;~RXM0^9P~_Z zXeWtXh{c3qkObGR4RVdo+Yj+8s#^>WRnuK%1_~ZKVBOybY}%d8+mq0(D~Ey{Xx>uJ z#f-lJd?@43PS3K>vxq-2ab_8R8TlP;YHzRZE7!NLmhMSldGh??PiMX+28x~9+d%$w zNd;1YR3H^d1yX@j;AINr`u1gd`QF7peVMcOvc47MXzzSf7hJnG$ThwXmixB_(bxS_ zp2r%4_Dpf`cLxP1W>Qp#*T9*qbUR^V-6(?VF0gBlPGAj&gJ<364p5Yn|6p%{Q~Rnk1j30$+myQ|`BK5l-F`+=7@=a1PLkM#SWFn&l~xyAyEe!*K5z5$w*}GH zdBXEpW6+){4(_}?2~f=b_IV4xFtn4zF2vr3$!(N*4^`?tG?6`|0;xbMkP4&%sX!`_ z3Zw$5Kq`<5TtfjN$>65MP(6cO3tM-{1t?}e z#6B1$wl**oc+(yyy4LPmh+kdosdBtYQZ=j>YImjv5!|(y-9m-%wVuL3P0K;ga{u<( z73>KHE)1^b3KCy0)J{tUZc_od&9bxVuUvtgw_o`L*tf6yQ-?isuC=|Us4m?melw3j zE?LOd^`vs_#eQfkh!&3c$KM9Ui%kWW9i=BD5ovojma3d4-v6ynX4+x?py z59||F@_izI+C#sw;TL;+w#NfsVZj%BR2NRR&Ef~SNQdo`?CaQ{S%V%aTH_DTTG((| zVC`)Kd~Q)z?2kyNJ=a?BIb9`)o}7Ah#TrMH^}O2k-c+;UYRIt$8B=4L)I8CXQ?s`< zNu!R4_)X`RyXc!ZE*dNsW!sYJ_V9v7+)HNJa?hMa-{qazTQ~WI9F{lh3$eYJMqeCp zgn*oQ=c{nOc_~}C>#aK*&gq95J$vSm z3LHd%a*#T*j8q^MNCmzQ1%x)^<;rFuHKfQO*Om5ft>jG$oBcoog`V7!0lF41oeQ!4 zYOTv^4uVrymkTH%Bc?d{st8cbPLNq)n9-X;>{qs?!vhnBcBRz?*RBn6<-BbwDWI;D zA)p{5ra1YtLx9}03UZ)%OF73jId*yXRGr>aGucZjkP5t9f&71wFW2mq5vNziWmqbZ z3iK6N*4cf>^hgDcqJY$YA0DM5zYeQ2?K%qRb<(^oi=4yHV|(YLx|{=g!ys4ggEfV$ znr}9oFYxgI%c^9)^?fj*iNU#sYu5(3a^5zT6i`>n5Kxd2Q=D#n-i|byKB+(|kP4&% zUxNbjH8gpQ9`zVyWEH8vT`ItbYz}Yv`?9NDqSMtfy&+L~Lu`ho0`IGUklx*JQIO4c z2`8Gy=GGwBb@su;3fi==*$y=j2ft*1rm3NGK7VTx3aMQwLqI{gW@;!-zA6Ibrd1Gv z%nHN$fmr3BvT@L~W^YPp<#Ov-$%QAbhk|TKIMKB44ISjV&b8yNdsB$x>$U#c1+U|q9KXbSsZj5wk?bQC_zDzQ z-u#c#sZ1_!5c|5lA|iz$tjh(IkP%ayTvb$nVs?Ve3d4-v6k@lsnr@NiL2zwXT3vAM z+920*Csg>_m43*1i%9D{;d!hvXwMV}SM!qq#q5Wex0HBc<8J^T%J{R>v+VOM;!jMR zS;k*Ren*?y+pGKHo^U8z?&?!&k}0f4T4oW$JM%R$PFl`ws$_N3$9%oK(zIr8N~mAinyyLyT9D6;AIg56Sk1>-+=YoV%j|RG?`cze zd(Xx)cPfwyqynkHfC9^+b-?olF7g83=dN#wea`j#Sf8J`*zwGD0;|W+G(;{2%*1OAq~`th^(7BSUaB`CBV?N$qWuQPP(C&*2Dz3g zt`^bT`G_kcxM_sO`zi(s;cm$QO%p?Xo#KLt=~^)l!7HrG1(cAkS*YUVt0F)#`!eYZ zUhBq`a!}bgXjw5(aA+rqU5LemVUPsZt_^aH&)fX`Z9(*Pp71=@7_?`KL!D_)xlRJ~ z^h`~wAa9?yun0ptN$f)GZJ69fnfFko-a`}FLn@F8qynixDv%1K0;xbMkP4&%slYW9 z5RwdTN(|LA$ThwXmVZB?ApAAS`D7bhS)hK_dAIdOS#rdXPG@j8x!G6%hJr?|f7jT)Q^N zmHS{#B?Z)#G6WQ4#1yC7-3NPYQTX8sq#&F2IMKC1uAH|`B?Z)#G6WQ4#1yB^h2>%t z&I*H@RzVK2e@h{0slcr%Ah%X<)|(2L0&*iN+)AA{B4?{iZGi{F&b9iho!v(#x~2bS z9)n!6knNJ3fhTLM4~Q%jCDhzw6I&oj1O{!VXe5{1X_@-c((BQ9eT`WVp|_4i?}EB(9d->CcL z8VlXH?Kj$7p#T2Ycu5qT{Hw5p$3Oo0w)0YT3)YzM3G(UZ*4z|4V|HePSz(ybn?k&q za`j(~YdSozPgKeGiTr5~{lwBAxbJYr*Gql^}X@>eUr%98uQuYS(*H&4#NX#~NfzjcHQz zL{Co5-qs|IIwImXonP*vZ{oOUuw0aFOQzey3m$PVnPtm8a~6GU1Gc&e)~5ewNwv+6l-RW1y0hV&eyGv2XAY@A zDv%1K0;xbM@D(Z`^c*i&HVdgCc?P+zw0~ggRu^2mHprFpwyC6mx>AOKf{d8r zivf#xmc9NXmB<=siqoy{g9%Nz z0x8I*Jx+9OkSphHQ%M1Jr3?WD88O9ab7AXmV+6=et02q!bK&{=ivZ=IvT@Ke!J(Zb zb|E$`6-WhAfm9$B_@aP(d797WDxh=elRXtk1&*#j{=V#LSLk%LOqnPZ_$n0;x*pt= z=nBMs${^Qu_QAvos(UoM$_&K8FBza|YUrHL-&&zU>RrJX`0rh&hQjTuB0z3h1tG|+ zFuZaftl67F>{qs?!vhnBcBRz?*QR}M=pfg1=IyUmP^^Q2Vh_J$fTn#kbjrLfCZUkp zl`;erq-*Uzg(JkfX%*z{^S0evO(JA->j1b7liMis9;(!PXd-(^1-=pmmN)<7bSo1} z(P`YgLC&(}t$r4Lmv?4w-Q?+z3fz+ddB45L)tq@x%KNQziP)?-l+Ao0$*fMxEMj

5cdFQD%I`xji1c&=Gu9Z-o+%El<|;rj z`*O1`c&+s)2bGP3o(T@^B(V#zm@o{I;M%o8uJL*MA$~=5i@~94x~t4U!D9!k`}=@R zo3ejPMqvo+asee|#1to2m6HI)><5~+6nZh^ZvY?4__Ncq?DH(*PfVOy#$QH$N1NK) ztNY6J?W?7G5?G!*zxdOcuZe+Tr}j3GKV4FRR3H^d1yX@jAQgC-0=d3@nO?qk@lRjo z?7ggS1v%O~AJqldt_^aH?}IgVmb=B^;O_XGw;v4@Jlv82x^`##U=2AGEe^2{R;Xm8 zQo)aF+chSmI|-D~-2}38K2Qv)8{2Q0w_-fIw8c(dVBV^+%$c_)p%}R@We6xp*Gvt? z$yY^y+E>sV3SO`Ly+gA%h1jobO^3(Djn9oA%6^?#&Bs~Xg^4rE>~rJqX;XW9&&D!$ zDv%1K0;#}&0?R+P20X9iA`|oIH13(}1XhplX)}9!&%QEuDsXiL@_u^}$!Fe^?&W?f zD2-6exnhrCgJVcbHY*0XmMN}e?CgBRl@Z)DLSuFVg>biIfToF|Q})4%5knr=b)N7% z))=&BibI`gPq|J4^z=+ks~~Tmx3CC9J4x)aghP>*3fzzaaznLdsi{CJkP4&%sX!`_ z3Zw$5Kq`<5+^+&cYlE8-Ln;h%jqigsc9w?k^<^V83GD2Vv3W$_b5PaS_L`8J{W~OJP1R((&~b1*9N(A-ZqsKP*=(j zP>>N*oNj&Ijx?G+slag*kmHn+m81g03dpc$I;H}LQ$VT6U+s9mn{LxwJ^Zr2Qqj=HVAi9X->Z z%p)rJ)RJQjBEyr*^Ng*Rzth{AMB(wfe9R#Hh|Ac7K1MV~{e770O8+kVH|l=5#zHr4 z`;9gi=)eCpUJ?Z-|0*ou@sEGL?Yvaof;A?5f_(b9H8%y%n4Q^RRv2dVrVwwY-2PKm zxR`ySO1@9zPkZP$HvD3b&-QrWD=he8kLtq7wpsij7wNEFl6@WfGi%TzMQi-wSqmF3 z3#`3ufX^+;iv1DkwC7q2KBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*DwlbR=ba%%Rr zCTY|W5x?pDauh({rWCC9Xs{zCHm$ey!YWz(E)5uJ6o#}^ zAQeajQh`(;75Ewz5SoscE1M<8kUoQ4SK7a|k~b~XHS@AW5_)n=2IyM6bS}jDtFM znBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf^DPl08f{q;4T9pAJ9 zId5iqoy{g9%Nz0x8I*Jx+9OkSphH zQ%M1Jr3?WD88O9ab7AXmV+6=et02q!bK&{=ivZ=IvT@Ke!J(Zbb|E$`6-WhAfm9$B zNCi@XR3H^d1+J|?{=V#Lil45QDHEjvU!?*<*Mpl9U4ht78RWXoKA2cRb&qCOnSnU? zB?B}~4W0A(TPsvZy({pomm8?kZ*uf|IMcf>bWo<>8^=^dgdTKx<$!y4jSdT|suv z2Z|we=kP7_R*Ywtw%ExF%v&{50d z!1GEjGBJ-%??Dp0#{cc@3$9`eC9prUhcPo(g?+zEA|LBIEJ)j zvtp2Inc_;u&dx_%8Np2>G-fwY2zN^cXqp(h-w1NK6rx%O2Q4cG3Jxw;2q;L`j8k!{ z)ohXo(B{m#|D+%UnH7c|Ae`-4F$ck^og{W4mO5e&T|B0 zL8MgPJ(^u*1_~ZKfNj|!o3ejP zW?=~Hasee|#1toA6#31yX@jAQeajQh~!NklzD!wM%olTBb~t3Zw$5Kq_z}3J7(&8!ig6*)HKk z*9N(AAFQdQfVxtKfP##e;1Qe+{iKq~MRD4_J{uXc7Ho#@K(+c=jjWVxwJ^Zr2Qqj=HVAi9X->Z z%p)rJ)RJQjBEyr*^Ng*Rzth{AMB(wfe9R#Hh|Ac7K1MV~{e770O8+kVH|l=5#zHr4 z`;9gi=)eCpUJ?Z-|0*ou@sEGL?Yvaof;A?5f_(b9H8%y%n4Q^RRv2dVrVwwYT;1td z)8T=AqDsC`9prs3qGf-1ksaIudZ0*h_aqnyWX2>He3xk)*xeQOp}@?dU9&^ zwkB!R5fQ)X{Bjq46URk^<)Um`GTk0t@Q8cKEL-lGv*^3LGkfbMzmNkr|93Qf$K0<^ zofGe@-TC6R*A&&IEB47e2DxM*TNf_Mu^%fyyiUW_4i2vIw)mqV3c6ztfr5M2zH2V^ z+=8`Bii53g&W^i+3#ge74BZB7brY;jDOl~%U`I-9T5kih;jw}oQ-Rx3pxjouS#2ti z3Zw!zu7FT~yj;#z=h8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0 zs~`uOx0I8X3Zw$5Kq`<5JUrz8i@e$_I9)AMCQ1cTfm9$BIJ^RK_zJVYRA8@woKKy{ z_RdFjIS2HHL9W~fYYJI4-)uNv;Nt<7Rmpto`(Q#7gL4hnt_^bKylpBepsti5pdcfr zINkcZ9ceUuQh`(;6-WhAfm9$BNCi@XRNztyMjF59Tz#{=aFVMJ4F8e&N-kr400_qN}=oQe8iRYYB}REyTO8sTQWe` ze4XNgrOUWDoE^iuTtG*;&yKz-aoQSWS&BVgxerF9FvKnRm95)uOZbaO$k(+O6l7cq zU%S!|@iZW~Ycacp3gK?a0A0JYd3zE%cI8kIq%qJrxs>U4jOmJu?iCu`jxbZiD z4`uw>=~?!97V#&p=`7Txfef^4P~Cz{4&9OSyrycH{G)57M}X&?@M z$pB4LL+8v}lTb+QN*MwQ(lt{omkDsS=@z*Gt2CAhFqIJOYN-i=nk51#BxlUM? zsMBii5zp+cmpk!P;I0(N`|U+8=gfQ3z1(jFr4eegL$u%E7}Ao>ib1YrimOHRc0S_D z2yPmo@xF?ILbzKpK-0ufU#GZWV!Bq$L+}dgasefzYZj_F`Kky|%)U(eg4en+r5sc? z4q8?W6dc+~Vi#gb7klX9@x_G`U3)=6#-;GpuHD%D{B1#`b)N9t)k#4{OmT8GKM7FG zPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfmC2z z0l{@}Q(}m9kn1}8w^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV1(c8xQ=EKN1Sn=d%08Iw z!3|VeU1+Ln?XHFR)y1AF$G(Q^24UkjA_R9WX17owe66Q&P}6eIvz)iju3%3vaA9yY zSCII64c|_nNlOJ%fm9$BNCi@XRN$}*MnBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugV_4RXpor4ewfmGn86;P`8S3A2UOt;bB#<^r6+a);zPnJI)9-g21vbb6};vat-6n}`o zKqc01AEae}S?L9bZVS&`TBKmo{t{jD@C&Anp6O5K5fyxD$*~5J;mPHB#@5T<>1|D- z@c3OmW)ObFWo$wpBO0UrKFoEcf0z9mb-!F=p&PgTMw<)t-~SpfiGq`V6_)V$$3Ne8 zUaD@v8WTQ2KKROi#@6fC);N6gIuJ;c1iYi?9Z%0j})!(hi5HpxGb>twgEo3C@c0yq|=^jE%=E-FSc8nIF->Zo=*g+s+nS_NM@0Ol^UGcIO&k{umW#4& z$#i>o!6WV^vuwF%&Z6(~&g`w5{6Y@g{NK^^9do}vbxypqcIS)NUQ<+;uGlB@803PB(!xk6y* zHejopZ8e)DYG{ylZ2=GWVkk)0+P$qw6rN3G_1bqcq(drjKn2PHYs!*Rfm9$BxL*Z? z*5c*LW{EMR!XVeO^Pq5UlGJs~y42aPYHJX|U5nW*R0wxV2IyM6bS}iMEhq=I4i0)I zID~b%fD$rdic_s-!zDnQGrJbdq2RRxgtH?iIJJ|+F2rKOFi3)H*9N(A-ZqsKP*=(j zP>>N*oNj&Ijx?G+sX!`_3Zw#Gg97>gBCmFpPgl#7iBf@7AQeaj4zGY5zQQap71%2v z=Tqm=-EdLx&2|YVx;Ds_`(RBa1=N)?1QcY%6sOIFt$XGI=4&)-@-Lh7(C7f?dF zW@;!-zA6F~vlC=i7}jk{<)E^0&@>|#9NI}@7h*AC7$m{9Y2O<<$aS50`>PdHHQiNa zpxDDN8K7w&4V^M?ixn!Q-W5zb%mwre^@jGJ!V$t__P5X5V&nr!ViyWbO9fJaR3H_& zQ3aMa|Kn6I6HC!)+`LiGvgNIQ7JZj@W^di(>5vNClLC3ay~x#^c~8pwt#gT%%_RqU zr&BXuNHVLZGK(1AnXid~y32sikc;3&GhE_gk6>eNxZgppWkx9(;uiU@2NFltPBz7Sd6NW(&T)Q^N zH9l`Y&$Ea>F>z)Ye;N55 zZEA0??km@~ua@pfV0rTV;!kJ3CI*U~+S@?>bV&tLfm9$BNCi@XRN!R_;c_zp~Gx2Uyb-}f3gIweLV2#SU#o*xX_?)+u3=}-vk^#Dw`?n8@NLAym zV&)(?xtc3T<#Ju{z=Xl|P>>A?Cz{4&9OSyrycH{G)57M}X&?@M$pB4LL+8v}lTb+Q zN*MwQ(lt{omkDsS=@z* zGt2CAhFqIJOYN-i=nk51#BxlUM?sMBii5zp+cmpk!P z;I0(N`|U+8=gfQ3z1(jFr4eegL$u%E7}Ao>ib1YrimOHRc0S_D2yPmo@xF?ILbzKp zK-0ufU#GZWV!Bq$L+}dgasefzYZj_F`Kky|%)U(eg4en+r5sc?4q8?W6dc+~Vi#gb z7klX9@x_G`U3)=6#-;GpuHD%D{B1#`b)N9t)k#4{OmT8GKM7FGPLNq)SnE*^DjNqq z6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfmC2z0l{@}Q(}m9kn1}8 zw^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV1(c8xQ=EKN1Sn=d%08Iw!3|VeU1+Ln?XHFR z)y1AF$G(Q^24UkjA_R9WX17owe66Q&P}6eIvz)iju3%3vaA9yYSCII64c|_nNlOJ% zfm9$BNCi@XRN$}*M znBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugV_4RXpor4ewfmGn8 z6;P`8S3A2UOt;bB#<^r6+a);zPnJI)9-g21vbb6};vat-6n}`oKqc01AEae}S?L9b zZVS&`TBKmo{t{jD@C&Anp6O5K5fyxD$*~5J;mPHB#@5T<>1|D-@c3OmW)ObFWo$wp zBO0UrKFoEcf0z9mb-!F=p&PgTMw<)t-~SpfiGq`V6_)V$$3Ne8UaD@v8WTQ2KKROi#@6fC);N6 zgIuJ;c1iYi?9Z%0j})!(hi5HpxGb>twgEo3C@c0yq|=^jE%=E-FSc8nIF->Zo=*g+s+nS_NM@0Ol^UGcIO&k{umW#4&$#i>o!6WV^vuwF% z&Z6(~&g`w5{6Y@g{NK^^9do}vbxypqcIS)NUQ<+;uGlB@803PB(!xk6y*HejopZ8e)DYG{yl zZ2=GWVkk)0+P$qw6rN3G_1bqcq(drjKn2PHYs!*Rfm9$BxL*Z?*5c*LW{EMR!XVeO z^Pq5UlGJs~y42aPYHJX|U5nW*R0wxV2IyM6bS}iMEhq=I4i0)IID~b%fD$rdic_s- z!zDnQGrJbdq2RRxgtH?iIJJ|+F2rKOFi3)H*9N(A-ZqsKP*=(jP>>N*oNj&Ijx?G+ zsX!`_3Zw#Gg97>gBCmFpPgl#7iBf@7AQeaj4zGY5zQQap71%2v=Tqm=-EdLx&2|YV zx;Ds_`(RBa1=N)?1QcY%6sOIFt$XGId~j6?nM=4&)-@-Lh7(C7f?dFW@;!-zA6F~ zvlC=i7}jk{<)E^0&@>|#9NI}@7h*AC7$m{9Y2O<<$aS50`>PdHHQiNapxDDN8K7w& z4V^M?ixn!Q-W5zb%mwre^@jGJ!V$t__P5X5V&nr!ViyWbO9fJaR3H_&Q3aMa|Kn6I z6HC!)+`LiGvgNIQ7JZj@W^di(>5vNClLC3ay~x#^c~8pwt#gT%%_RqUr&BXuNHVLZ zGK(1AnXid~y32r1$3@Qgd88RGaj{3RF*n@rAlEXZl#KCC)p_G5u8iQW#q1U;gu5jJ zbZyE$7+HlOtjh(IkP%ayd{qP}W?ydB1+Q1`-&&*)-cAy`Ea5LAAz#;CP>^vce7=UO zFduT>BGM`c&tr{2d!{(Jnx6zHWghSb~8ktg)Okp+BGK(1AnXid~y32r1x}*ZBKq`<5qynixDsUVHa(#P=Yf&yi z5^>zc`qt)|&>+pkyG_*v*RBn6E&pOt_}o32FB?B`W!+-h?1l;+Zpi>$%l+F2MWlKK zyNa2E;N)tqAeGB?S^wE8xVjz+vLWF_)0km{T-TYmVg+ql*t`Y|#KA8aplNF8oOx>! z3aMQwLqI{gW@;!-zA6Ibrd1Gv%nAeVt^4*tn%3-13H2*m(>2Lo3-Y<~L)otrtNA#K zyD)KPnSE~jJ#A`l@7Y-9P6bkdR3H@?P+(cK4tQS4MJDFaY1}i{3Cj|7TJ1gJnZ5OL zC!Px2l>&Lcy~yR9c~82R`>mifLTz@4_8S~STC!O&$hAyywTRx%M_d`fO(QhkS20is zcS{Cnni%Tq6cpoDbILKP=p6#30=J=n+(wyMWh#&gqynixDv%1K0;xbMkP4&%cdmfY-{7Xi zkQ9Sl*V(_N;<`t(tIR;bV+XJ;J7iP#Z^S`D7 zbhS*GC>2NrQh`+9Midb0bT?cSWV2nuiLMQDMnBsKP^LBatj5M1*slYK5kb2)gMmm=aPkNm*fmQS=xPgcz))~hdLIH_{ZM{#UFk# zP>J>12Wi<~R(ipq+rl%K7AcstzeLwO{DP^YXZn+QLfwi{{@VP}A((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-udB#k;E;y0aN?xJtvxM;9klx<6< z+rtYUaW9!=%RO@zeV2D;Z{6exK z!bLguV+DxUX}H?K!8P6%e>6lvckCfhaPQi8&841Ouy#ptu+`1kaaV8wHS>X?+kmZZ zg0(3Ht34X*NQq7BZD2M$R*+*VkP4&%sX!`_3Zw#&0s;^(S2hc&h+}hpkn2kOw^rJw zh0O}tK%sWGWPq;4OXotYzgp|EnuFjJ*5v|9$cQOUzA6F~vlC=i7-saQ5c`#_>F~gW zpN(!heWe6z9h$&9~><}O~t%4kA-cn9lDv%1K0;xbM@bHlTFY;=$ z;B>W2nJ5)V1yX@jAQeajQh{j-2+ZxBkLrSJ*9N(AAFQdQfVxtKfP##e;&ki#U_ukF zKnk*Hj}u)Rm zQ$y!`{?_UdQipZ9fD+O*Q$um`RS}?=oglNquzny`IjC$LG|k8bhjxL3tq3>zqLpq zyqzR=Ar=#cK@wcMHpn$TZ$IR`Mf7!^@I2NSv}cNgJ8w?{6tf>_-csnrjK2YVDC5sg z&$7?6h(9rLW*L7O`5kR)Z?Env*SD{h?nz*I^8DgYXTBx|ik;fqK>l<|1yX@jAQeaj zQh`+9WeVi__GNnc-o-zCnX`AXzO{KK$U!slZc}x^wQGZ1u9+H&ldp;Z#q0!`6$S*{w-3@Z zBNrUnNn#gbFK&Ep{80Am#A-gy;x1g%S!SObe@~m*+j};axl@5uAQeaj1{7HSu{Gd% zB^Q~PN2hVmTqi6`)M>T%h-dcJ%bj>Ca90ZC{q`c4bLKtiUhcPo(g+prHdPl~o5tSA zAlEX*6+VAYX5DCMR7P;q$P%*~D1^Hu12jzx-ERcBTnbUGgM*e80|f_{D+CmzYsRTK z)oM0L1ZZ<+y$T9KkXd2a0m9jy6>|`r+DT#;VyPqc(8c463n#kvf`W`o;qx_Ig~`v~ z7DQU*;CZYuXwMV}SM!qq#q0!`6^6AQ<)E^0&@;iIog{WS&)B67c+ygV+fYDmqs*)_ z6-WhAfm9$BNCi@XR3H^d1yX@KS3u}*a8qJPib1aH?B7yx-J{u6W}x7)1K5@wvMKww zWEO_7E*DTjMoe+?RS}?=ec691c)i;`*kf*?hIXaZ1=p?(a^<{jDk-3@lp&xXBc?dr z?z}COpOy-w0;xbMkP4&%slW{@klzD!wTpMUTBb~t3Zw$5Kq_z}3J7(&8!ig6*)HKk z*9N(AAFQdQfVxtKfP##e;RB{>66mUbT=o}c;hp^k+k{_(d#@rPdwRAT-1L0a~gm0ob@w(!iQMG7YEFVQs* zzhLU0%m%Z5etqhkcxUa-7q7jhs4iWxPv$YmB@5ZQa8Zu^SOMa78m@M5aE-Uc9}Q8^9eW5A z+`IN&bE)SRtX)zZY;|*X+!b6v&3s_!HejopU~NjlYL5mxQexA38<-7`737!-qynix zDv%1K0;xcxfB?kHmCZsb;@F%Y;#z=h8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2Vv3VL zI|Rs0s~`uOx0I8X3Zw$5Kq`<5JUrz8i@e$_I9)AMCQ1cTfm9$BNCi@XRA8C{0&{!k zqq^YQwLz}j2Wu)Rpsti5pdcfrINka_n9zhPkb-R5<3!g6xpLk%l@w4{$`DYH5mTHt z7q_PwElT-TYmzgj_6(_Li-iaq?20h;#F z&?)n_SfN7dUBMUlZ;9Z!~k zhIi&`VxaCa;4|bRc+m`(xY#4um>ceQkZYMyO2&An>b&t2S4MExVs;A^!rhVqx;AAW zjI6>C*5v|9$cQOUzA6F~voAO6g4ZkeZ!J;?ZzqXeh{c3qkObGR4RVdo+YdQ!5q+H} zJdZU7?U~}>&fAj!#q0-~w-kCY<8J^T%J{R>v+VOM;!jMRS;k*Ren*?y+pGJ^_3f*r zdlFclJiqwUnXid~VyE^tkUw2gfm9$BNCi@XR3H_2nF6`KeVJaqckxeO=Ip(!Zv{Ep zJ0H~r*RBn6jqigsc9y%v;Nb4~oVS$>6g=FL0lJp^w-1U)RpYK=<{&t^nkz`RY0ytnS#2WeWfHzm}sY)#iBe=W%8#t&t`PORqREbhX@nPv95@%OZ; zy}f5+nL8Co1yX@jU_gQ8A6o;SS8|bwd2|~0%yj~*$M>|Ey}f5&nL8D@x&nE>y@=#9 z?@9M^zZH~5sCc)jy5QP0HY*0XmMO0Ab#^}D$_Q>6p)tFGLbzKpK-0v~{YH?>r4ZFR zIA~chP;hX$LO?;fW}J#st!9%%fHr5={U-$>$gD8z0O4%Uia7{Q?If`av6wInlHl64 zL9X$6o1eceh`!Dfp2r%4_Dpeb=j};=V)nPsTlj^cog{Wy!l6h@1#U#`nP*JIg}_xjR1RZ6ymADBO|(x;AD1 zmaM`M*5v|9$cQOUzA6F~vmas~j1pS{De$H}PIRr^wGh9$*i+?rlVtZ>hMczrk*=|S z`ygamFHhlMO{*LnXx>szS}KqVqynixDv%1K0=KC^eh<{uuG{HqnKDr-kP4&%slbgW zAk^93`KT_qc5RR=_ivj@3aBe(2q?&iDNg?0qX4;S734tsU_w3ZN~;U5T^r=edD~P{ zKwT+AKtV=Kak}++JJM+SqynixDv%1K0;#}Ps({kBzuNJBH{Dk0w{b36n086dz>}rj zhll59zWmmF;fR0yZBYE-7Xy`8zkQIF{bi*W9J(z$b7_%+N&8E5&BHI4I(nu*nMYLc zsU^o6M207q=NVfsf2X%KiNfP|`ItfY5tp$EeT-;~`ui}~mHu7!Z`A#AjfHO9_8V<3 z(0~7Hyd(-v{#97Q;~)Qg+j*(F1#3+B1o`xHYizO(EV)x&5cCa54Kt zm3*JbpZ3siZ1}|Ot{XV#!ciq`nUvlcd77Fc`R z0H0fw75gL7Y0tG5d`?#hq9>m**4b!~HY-JDs27LND_Z;L+~thnqd3xR@r*S>2m z_1uEBONxW7ZqAOof(xjb4-DM~Y;_Z?O(|II(O^ePY+7&Yg;lcnT^cadC=6+-z)=(^ zM=2!hNCi@XRN$*nK;#z=h8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2 zVv3VLI|Rs0s~`uOx0I8X3Zw$5Kq`<5JUrz8i@e$_I9)AMCQ1dqJ_VL__Se^Vc6_@E zNd5QW?e>tBe|-wryfr(I?VXS6f`8WrxpE(@sic6qQigznjF{qd>-%6r6RtoCvT2VK zT^r=edD~P{KwT+AKtV=KaoSwi`r8-*a?>ivvaT#VUw;vx98@+AdL}rulf*8>rlkU@ zKq`<5qynixDv%1K0(YeVYwN>X{=V#Lm*{l0Om9e3-VmFislfXxAfzAMl;~E=4&)-_1Lh4{7_R#2>ifnpE8WPql9G<3?mEheFm+LbZ{6r^kI zKZPU2yJ;2V?en(XTTLQlbL#*|O9fJaR3H_&Q3aMa|Kn6I6HC!)+`K`~vgNIQ7JZj@ zW^di(>5vNClLC3ay~x#^c~82F`|YKhINa|sX1rnBwHCB0w=aL1u+v)k!(1Y#j7VaA+rqT^4B`Vh=U;F9nfj$X-y8@hP0O zYd7}8ZYI|tg1Z*8Tc{B3rUmHQoz2^m(6K9rf*_??VR*NBOY#dFe*^eX#-E*@WuIpe ze`4ayGX661JKEIVUfmb3!9!WDZ=YX|nXifGxt!zToXb;c_uVSGx2Uyb-}f3gIvqMm=wNvr|SHH)3~y3F>Q831rN7mfUe!y zK3GEzMT-ONg9-Jx7fwMoQ;HK!V}=cKU1#2k6|`w#^BOP^2ft*1rm3NG=B-I6q;{nY z0R`!rsi8RestAyqRzV0dD-681?%M}xTC+DL)URw!*Cc-}$mhlnWxr0W=Ho2x!o-QEKAgBwfBf;_SVatcq(vL z3grFvBA0XKJ?UQVw}R3Lwb>!sZ*UB0$!5hM*D}S`B6>R?ab*NIjnH^s#Xuq4Eg7I` zVyLfETre?RE9N11g>|`r64EsbRh)cP1Sn=-CVjzc-I!7iDjNqaD+USAksQdc<$Cpn}7sX!`_3Zw$5Kq`<5qynixDv%1K0;xbMFs^{$I=Cq@#5%}zo&8%X zu6s1Q$_x}db^zP5LpEjqmdwHs*5v|9$cQOUzA6F~vma$2%=X|0Dy=Rw)wOolLj3At zPnBa|!*zqO@f#6>yB4!ss1Ux^Q#hz;Ip|r=+h

Cm6UexSA_Se7%NmC(xv&0;xbM zkP4&%sX!`lSOxNXpssdlPFKs6iBf@7AQeajZbSj0&cRKIp_T@@a{soerhvLqhJb>M znBwH`HVKfMRzV0dD-7#?p>j~!IOv(+&`uJ&5Q_=JAPKHr8|2D)+f-6OT`5CAK}Jk* zsxxhqM1VGDcGJ@w3SK)vI6Gp3Q#(oQLhSnbIX}-K6}S}zmizv#l$&*?0;xbMaMKDX z)%&ZR-4dqT=x^g(vXJePoPj6HpAQeu&wN>2EgbQWzYU5%L|~v2>$eZmvcIhKfLp^Z#yqlw_uG4pCF%pZp}@> zGiGNtm=%T@y(z?-DaSM^oL$rL9Q#C-e4ogl_Rw!^_{AQd?eV}@Sn$Oj)rFI7v-m+S z(qX$K`#Sb#)}Tj<*7(D-7B*ZKSbN(5pIej_`y9)a-3d(x@XMe$)BoF8U^piw4U@*|uc5J-px%_mWw*+%sp< zcX?;_)=hpP2X6lFX!?%1U!OWB-dVfz#cQuAs!LbwlX(ns$wIa+T$E!!R)Bb&hN~SM zT;px=M?(~J#~uO&_pW{SZ0)5)?2;7DZo1?|(`{qolh~Tcp>=r77yCnm3EnYeoV%HXwgIWg%Jrf+lx?DgB88OAFRN(!heWe6z9h$&9DK5s`FO`lXC z6-WhAfv-V<{C|;GyUM4lWy(aUKq`<5qymRmKn`DF7MKd`6_E3(^XP84DEMZ(gcDsG z4A3++bk65*tsWtDSeFYZAzd>y6enL50gBlP zGAj)0Hl=b<**IvLkqZv(B(V#zm@o{I;M%nB4ISjV&b8 z4DZa>#6aC;z^CIPXZ$?U441grBiNW5?st%DnNdo{c&F;T@e@}@aMxmX3l+lMk^#Cl zWgm>J!VuQw0!qk;DNepB0u-|^H|v7eEB9|LQV4G+iCvcP7m<*!YcD9sxD-BL!&R6M zId2hZm4oN8#-Ke@99+#$0u-|!V%}2Xg^j-fd?@43PS3K>vxq-2ab_8R8TlP;YHzRZ zi+jSMY*~#=sY#}=8flqD4DZa>#6aC;z$aZ&fm9$BNCi@XR3H^Njsm&9y~MRBmmrBa z?qz)|G}zwxs4lp6ZICPXZ<|UAs4Ha%D9DH@PVPR~g8;c{734tsU_w3ZN~;U5O=B_+ za$RTMiWTHvq2;Xs2ft*1rm3NGe(zus3aMQwLqI{gW@;!-zA6Ibrd1Gv%nAbn?%M}x zTC+DL)URw!*Cc-}$mhlnWxr0W=Ho2x!o-QEKAgBwfBf;_SVatcq(vL3grFvBA0XKJ?UQVw}R3L#hfel2sSu| zv}ChlkZYOZO2*F4M_d`fO(QgBH&6(7O9p6~7`opGa=8?uS_cO$D+US{RBNY{*0 zajMm9k_gb|%)0-iAOx8eh8-ZB?O8Dg!Ks}jb|DrMhCvcsyEe!*K5z5$w*}GHdBXEp zW6+){4(_}?2~f=b_IV4xFtn4zF2ts#0;xbMkP4&%sX!`_3Zw$5Kq`<5qynixD)1Tw zgrLDqi6Q<$uJL`a#?JCkLGF&vd0WZC1q!!hfUZs1za^_Mgmt-q5;9_nldp;Z#q0;# z2OFrgy3kbD+Fc9rtBXBVj(rX5hUFW6@6cv9RPb2qDIC_RLi41*-Nc5RR==WSC- z0d=Je0Rq)!Ze8he;el#{LOYrgtJeUKOY{RpZOAmYt#NVH2x5Qfl9dFK1j>{veF9<-4>p? zv`E3E{Uy5Q;TKFDJ=34eBP#gRl4A`b!;{PNjIEcy)7zRv;qkkC%pm-T%h-fIMl?qK zeVFS?|1SGC>VCP#LN{*vjW!qPzyCE}5(Ov!DlFmgkAJ@Hyj0zSH70z5eEPXHHwDj_ zo!MYk7-saQ5O1d3?lV<*V4tXx?-Tjc9{P<9zu4omJs$W93%=N+x^S{>7C*>EI&7C@ zU&sE;8uUof8h?1!!iLKNYi}FibBnTKe?&U%xz>Wu=_*0=Sch=82x1n!T+_8g)d(Z#uu+Mc>46(O|hK+m=kXhZj8JUNXy;d*&?qF7M3V zy2&r(u)JAci0#ER`r?Qq1mwg!Uxo9}qTd;OXaj@0R*>P8J0X6f1q1%A1Zi2Nb1*<(8>_~}C z>utTTN*2FM1BM!fAuSa+iUQ>*g=8J6Kq`<5d=&}^UB=6m%@SiskU_31?cZ9-n-=Pt zd08R}J-Hd zN~;U5T^r=edD~P{KwT+AKtV=Kaq?$}0J&)un z5Kxd2Q=D#nA53V%6-Yrg?Qx=OgIqapn@S3(D`f~M$cQOUn+sci8zVq&S_N6wm4)Z) zF9MW<%Em#@1c!E#*oD}%R3H^d1yX@jAQeajQh`+9t`uNxeR#{?mtE}=ovxPY4T;Jd zVly-qcwYsC^n;rc-AdU{8RWXoKA2cRb&qCOnSnU?B?B}~4W0A(TPsvZy({t_Y`#r|Y7m{3L zjyfd8Id`#BGhY(}MP8scIqz%O80B}gPsWv?If|w zBF#hWp~n8DAo2{^3kotmg|l|;#(vn%28qeqrNp03XWuv(vNe^DN>|Oq^N9Uq*gMo7&r}`{FftD9iQj^UE>wHSs)`b6lKr zc`6l11yX@jAQeajQi076i=WfXWO;bbX%v-BRNFCPY0!m2NObx}!S4Dtgc7n_b0|M^b z2Wgs-3l8lhu?w*mH$FFhDEoC{H6Lej7q00nv(Jscr%mncJsZp1sX!`_3Zw!93M~KF z8t}Z5i%iU;)3|4@6P6|FwAy>bGkfdhPCOO3D+Tg?dy&gI^PY4s_gg_}gksJWdjuOC zLt3&oGRU<|aV4X_C$ny}G%6#wX=I7n4HUxNk^!0~hVD0lTrP#E*1;U0x&x$z+PVFSI3$fG@d+6fv#f1}HdqF|QrSSP0 zuEON!Zwn%=a_~IX7_?`KgRA*TfMRxn%nHL=k8)7iIOv(+&`uJ&oM-G(2Rv!1z-=fX zw^3$RnF^!=sX!`_3Zw$5Kq`<5qynkHohu;pH@GP=B*h@tb@p$mxbD&HDl<^<*a2+I z4%w9bTQUnnSeFYZAtRVj+62Dx(HHkA}mSIQ7j zkP%ayZg<`m%1=uLQh`(;6-WhAfmGmz70B;_y4uA%T`f~4N(EAZR3H_&5e0-g-3=E7 z*=(0^qHBX(xewM&3{Ni4Gqzs-PH$@xg~#vmF@x|UE@Kn=7||H@_hGIp z{k!bnsQcv_3*ETwH`-jF|Nhr_Nfey?tFVN}KmPf)^HOyS)|l`K^6BT++!Q=xc4mWF zVVKdILcE!Bb*E!ZhX?kFD)~NQ+h*~DT%^NxN%nQ@ z @6s_@xXDw{FEU@;r0Y0}VEA~gE)1GTB_?)g1L{Cn=x?+tZ%6eYydT*-Pa5dyu zgN&&$O=_O#$*I}fnxs)jMEs`n%U$$M92X6ii?VIWbbENgBkm=$Y`JI7qVMv~?5&&p zLJr*g-_i6PbH6@yPQ0^r=Zn`~Q&g9(*eCNCq`5#R`RBW%?jB-p(nRwfUd<$=R&N% zTI;f!gWwd_0737B2E#;)80;xbMkP4&%4-fhO zA}_WIP8Z9RiBf@7AQeajZe9Vo`3keZRN$z9EQgkDd-73TmVn-7kSph4O(Co1yMgl= zJ|19MmCW}(2NRkYTx+;?ZICP1ZBt1Bb)^ge1sO5L>E74vNTcbK3Zw$5Kq`<5qynix zDv%1K0_Rd7e_wVkCA&PQuEz~HlpClaOGpJ?r+^UV4qOyuH!k5o(->|Ia$RN)CRWg{ zh21#RKpgy%0h*?U&iVYUNhqXtr3?WD>6)pbIQgmwkegOP2r?^dwhzQAJC%){rZsz0 zLMxYB$3`wZaXl1dN5X-oeQ)R>*Jal2M=NO8!Wq}?C*z*7ZcFprTGocfpiOK4DI6iT zeFn{;;Ptw7+wM&vj?dTjYZtuIQh`(;6-Wi{P=WQ$|8^>uiKXZ?Zr-S88Slc^w3)qK zv#-pZ3S3-)yxyKg@|oA9yxuyeh<{_=l+Ao0$*fMxEMjHWyM+qjZpi>$duQwR zBy{Y`p&&?UR@iI{N!h6yv(q!dp`9dlA@=OX-vGWTk zSNFwh@TP3N8<|p*Okp+BGK(1AnXid~y32r1x}*ZBKq`<5qynixDsVdrl-N2&abp{k(=TKQipZ9fD+O* zQ$um`RS}?=oglNqhWFNE`yfp-a>1dUBz7V8?8fKDZ^}MStme}!?!q~pW%jx8YueP_ zuGv`TP6bkdR3H@?P++}j9q_!6i%iU;)3|5eC#<)q(`xS#&+M(2JMmQDT`7>)+q0a` znb)NEa=jIlMyTC{=s4gQ(vsQ8AlJIY)gpqK%rm6GMHS zI#M=S4J`H$d&G6Q1uG$4vrxs!S4Dtg_I1%0ytdP$>{K>(T2>4c9NI}@7h*ACGf0AK z*9N)9*KL0OwjlbpPIw+`4B9irp{}&2Tqgl~dZeaRkhiZ}ScFYGN$f&wS}KqVqynix zDv%1K0;xbMkP4&%sX!`_3Zw$BQ9uY997+uF4|0vq!QL{zrDAvDv&?r46g=FL0lGG2 zeoIzi6V~MdO2~*QPQEGv6tmyZ9BiP{>OxapYj-WgZ!Y#!+4nW91Iu`fPjJ^_b_*54 zw|WXYH7z?m%XRzg4E6*A7dEcu3KE|$)J{tUQh`(;6-WhAfmGoB70B;_y4X29T`W^3 zN(EAZR3H_&69t4i2Zs_vEe&$z{I;p4fVxtKfP##e;^a@81jtRRAOx8eHru>V*{N*o z^h|JQCy8B%#e~fu39elm;Aozn{}oFsX!`l*9s`r`*%BsTbOR6zm9XsN_I%H08egz zK0G`>^54zX$`Swg%b@r}1O_Uxe)}LT`_oD zQ%jC5hzw6o&oj1O{!VXO5{1X_@-c((BQ9eX`WVsJ>Tknb7y5VEKT-GVITpHc+i$eF zLjQi#cu5qT{Ijrz$3Oo5uJclL3)YzM3G(^p*4h+2V|G@9Sz$AyH-&h&s9nk9A^!dv$E~UbiaGXJ+39QY`tdAqVMv~?5&&pOb+Xt^_kdSOrtN3xP^dR zc*|K>PG0+-qPlcX+sQlzxnw2t?~`~{)_a@9b$4V7S~=n$yeds7Nldo$i*KC^vHsm!m(?5u zr?4&;P(nsbaq?9WpqQN?v%+RZZwj$r*_I9uOxUz5tuDBBZICP1ZBt1Bb)^ge1sO5L z$zL4;mk0;xbMkP19J*1yX@jAQiZI1?1){%mPz^ zqXM!VTDI-UM|D{OdYeJ6oP#xmteWoz&S&^|fMr!O-}@X)Xku`!;o7x9u3WcGB?Z)# zG6WQ4#1yA{U$-NTrcWx63Zw$5Kq`<5qynixDv%1COM(1-*}0VL@|?OJ>5vMf0&h@2 zh;;`p3bGrQaG+@nw+6W`GY1nZXxGAS9BLpAe#rn$Q$y!`{?;TEQoB-yfP!?*)KHv! zRRqXQs~`lK6*k)kVwIiB#!l0ky(yuU%dKN07oNBt3bG^NK-0cAbdc*Z>-M7+v}@sv z>-LjzPg%F6d2TIhLu1gUwf_{35ZgY3=1}l@-MVe}rVz*HYx}heUTLX7Dv%1K0(Ypu z`sRN-mCM9ZbQ(8r)U%9t;cME=-mckK=1v7Ju0URI&m#HEYf@fsom0fWF>lIdzK~>A zr)3r~yfa@D19g`HpCK2)i)MBcB7eSvYu5(3))l4jbtWHiW!+-h?1l;+Zpi>$^L2^~ zmM-J!usDWwxqyyxFOI(EaoQSWS&BVgIR_(B*u*XPm2G1;VliPeNP=tE2D!%9?T2_7 zbc?|u*1o%xy=sJjgKq)RH03Zw$5 zKq`<5qyo32K;GY;<6M+;kVG8s<^EP^aBwIQX|X##$TdC(YfP4RiKCr5qd8P&5kk}f z82-TAJDYP(lw2$d36yF{BO%-?DDScn)cc9bDM$ zhw$7j8K7xu=$v(H^$4lMx?DgB>6)pbIQgmwP|Qw{Sz*I_>#=>1rWv{5&`uJ&5PNpx zbK^H5ndQJao1rdN!u# zL^JOb)?3u)DKEA^v$u;ik%>})TTmdcw`VyEGp|YS<$5b9jZmz)Vvk_JF{CB4kwLC? zi7OfXnann5X;emV)5sFD8z_XkB?B}~3_T8lTrP#E*1=B8ih+WI%M}6&(lz5$oN6_@ zBm%TMvYiD5A;_$-IRL`Zo)vQtoZ3lZ7h*ACGf0AK*9N)9*KL0OwjlbpPIw+`4B9ir z!Cki}0gBn*zHZ?cHti&_%NhS$5>_Ti> zDsX=a$o-X^6{i9Zxo&e2+_3^m?f%`);TERb=C9*ivXUK=EWnf7pAV0(uqQ|UySZ99 z;vat*6n}`oKqc01AEae}TImIcZVS&`TBKmo{t{jD@C&Anp6O5K5fyxD$*~2I!95uY z(z8x)TM~uG@A5H&@FOl`7y1~{*y?Y?To?Lx**{VD>p2#>aocaSxkCSb(|Ab~ocyz} zhQ~kt{_a6Q!@68R3F+GBpId8Fh>zJ>4Q7SSjNTOD-I6jwtJKwe!8JX2;c#V+%5-#xkjSq9>r znBwHCB0w=aL1u-`jNTMtzp^bI9+ij%)O1jtRR zAUCvbDJLxzNCi@XR3H_2c*y@3d9hV+x>%-6lnQ)(3at0pUti*kMnBsKrb1uPi*@ei5MTR5o^cCOEW{#4g09r2?ryDv%1K0;xbM zkP4&%slcTb$lsS;O!3pjGQA;Dc|&Z5rUKVhKuAA0l;~E=^ z_$320O%0v%`CBVgNPQ^y4FA2$)KIv6RRqXQs~`lK6*jM&gEf0oi2cg8ba-IGrd?@u z!L@1M8#>5!nRWZo3W{|wQ0(EC4A8VshE7?x#UvC`yHbXLf^@C@r*MRLH?4xaeciTu zt4V}xZXEz=sX!`_3Zw#es=)f@e>>I7#8Pw`H*b)$Y<;VrMc?I}*;_YxI-~;cNrAlH zp5<)Lye8%K);UECD{jhWzK~>Ar)3r~yfa@D19g`HpN@+x__C!LPI0kEFqj+ecaUpc zQA);mQnd^$tLbzKpK-Z?s!N@9X!n#~Q2^lfP$yY^yV)pf7UGUlls>)7f zW2a|=Lpw?AvP$z1d#JJhR}guI>;(lGpTb$Yc4I%}x<#aIo$%b%NkK+TadI_32~f;V zkXd1~)uZfGHg_V zGoeA6iKk7~1=p?(a;^VjQuyi=#f@!L)-9&ZZm8hlrv>R*&Tk(Sjp`Zf2?j1~4w`fD z1t$0&Nc{8BrDZV=a$RQKiWO8fJyd2O4t~i1O;baCojR9*CM%#7D(lbVi#7xlq-&;z z;^eC$KnI<6U7AC|i}%(YARO&l*jqPpq642BzbX4Tv6@e_xC;wsmf7dVuW3_zyJlmV zI~7O;Qh`)pK!Nq9b-?pNE;2EXPUD_=pTK?XHEm{Z*X%2ErvevOAg{M)k$mPg>AhTU z1*H+HGrSqnlG(^0*Sf^jB7&LBHfU*7MsU-};!k{FHxo8)$pB3gLyv&wr&`S}i2&`6Y-d412r?^d4uEj9XT=-@r$Z7Bj+)gW_#R07^D#s_ z$Thxh^Yga_;kR|d^H^iho+%FQx;+U{%>MRu3%{^AB;nwwt#ypLr(Y_N3Zw$5Kq`<5 zqynixDv%1K0;xbMkP4&%C?ISOLy23OgS};bOLOkTXBjIQD0sN-19Z*TsWS@ivYLb7 z6xQVeO2~*QPQEGv6tmyT9L#2d1C>@6n(A7+YaxDfv8T$uuVEcn#>;@U1t?}G$gHs0>QQzo8#_G{ z91cl(QO|H;|3H%K_L)q$eZmvOlf#flF5LE=_MRR3 z_nXE`qTsxpYGtQN$xhS${;u;@!vJeIkF@ zL%+4nFZTFij|aZOf-m-{E*xx|#Se0k4m%_{)^R+t1wB%;VaH{GwYMGcrA1k> z-y@xlTwB3Qx=Ii|IrZv_HI69jakcZkt7ga5kYfunrp7Xw$sJAHKBFERO=tXi3 zC6OVo*E#9y!Um$amdvvCnmLQU%R95TZt^oZ@LqF8(<|nFed=6zi+0P&LknS-S3^8D z$D?T{LkGEJCGzi+c6BVSyCYlB$`SwIZShBg6_-P0Ay9Dd+W(rjdTznmCB?z+ZWhNw z!3EUJ2Zk;Kc6Srpn^Lgaqrr}p*o@vDnRA;8qynixDv%1K0;#}T6%YvG+m+po)KIQL zt_#g?t>j$`yL)#7g`V7!0lF67Iu~NM+i+#4*1=BC1c$IL7f?b*OmV8!?6?GIcjVB5 zITXAOfN*rg1gArik;o@L!t(=(e?Fds#ZL#ha^1!f8X};slp&xXBc?dr`??)zG<{Nm zR3H^d1-=Fa^8ZC%>@1%ymMIgZ0;xbMkP4&%sX!_)O#y+~9k?jSZd}5Ft_^bK9IUCN zfVxtKfP##e;Br<(cfm9$BNCi@X zR3H^d1yX@j;7SVQ@5?SG{OMwuGEpkNr%Pf>k)5k+kXm2h>Y3azHZ~*8Z{@B z3Zw$5Kq~M~6jJlXSc*>L=8bxmt#9?S=)1f#d+R1ohg9G_DUjFOvz*PD*QC7O zI;Uv;N4kSt>Cns^HP-DfDc{-vGWTkSNE0ox38A&Nnm;M{OnI>z9t5Wo!Z+#{&YzNQh`(;6-WhAfmGmS3grFm z%k=WSi+}h$N8iQ$t*tXb4w{LlP1Ob0t_^aH&%qj%b&J8lo%k$cB?AQyw`72>`SZe# zlwDSH5S+rgTtEpKF~!MOMSx=V8=8X+R9anVs%f0CcGp7u=3-BkeP6>mu#A@h!A%oG zV|D{^sPzI>ABe-c~iP;Sl!u_-$EfWK$%)yEgLmt=V7OaGH%|aC?Uljq0*$FZ$Y+g49 zBUJ2hOv1rYvpNLdcBRz?*RBn6jj!AM{B1$>ZJqEu))=&Bii5juPXZLPzkS`pFKiA; zI5=u+9i#5)mkOi;sX!`_3Zw$5Kq`<5qynixDv%1K0;vEB2%E!D!k8dn&q%ZBlM3970=aH)=2T=MsX!|56)2$e=-=%eZoRv5{5sAhE7>8*0zA33`|$Am z$bbJRSUKV!e;MR|*rI=f9kV_ne_H8o^|Sf*vVa+aI2_u;a48+S?BJ(xR-` z?~zVNuC3rDT_uQ~oO*S|8b_4%xZ3&NRkP!2$gu?(Q)8LbJkgU=)LRsAk>l%C^dh;2 zlE{$P>zwp;VFOWIOJ>=6&74Kw<(=7EH~E+mDf|VyRJ5pjZdV6HfZ7ProqynixDv%1K0&i77Ac${Q zcDFi1xdyo|G{3cycP;Gh-3=6aa!Us2T72tVh}~|(m7Q7#J3SK|!n#~Q2^lfPsaCV& z5}@6YLks3m@Hzm((Ge4z4oOBLpZEyR4e7absOq2?w0;xbMkP4&%slYS^1ZH>Oq9D6*2?x41 z$dz-jrji2cN*MwQGGdC;?!?xqwg9 z`E%~N47L^&qFP6n)f@z;ur3!+LPkt+s@3ec1Za2U(1JM>ybgeHbi@RwLz0om^hpI$ zfm9$BNCi@XR3H^d1yX@4DUiP}yO{8&i)G40slZpMfY9|}DB;g{x&ko(8sxgn{8p?W zf8xU!$4uC`B?B}~4fS<;>m1DL5mJYBxquSVHB&=z@>LO_n4KWA!seB8Fe4Yj4@o%q z0uy`>B>ws6(tbbAb(wYh(F*d<(A(GTC*$^YdgHn+;khLp7N4(2ys>TnDI6g(W`Fy- zjeBdRGnF)z6~u^3Lq7n>-y-f%l|9UT@EG zHfLUw@_Or>qV*r?4sxYKGhawDtEV!H7~Yw$iGjMyfX|SN;6*c?;mvTrgIw#1Qqsqh zs%7vKS4MExVs;A^!rhVqx;AAFMpj`H*5v|9$cQOUzA6F~v#%HHg4ZkOw-zacAChqJ z1t$0&Nc{6LL_5eezHUF{x<&YHo$x%?7_?`KgS&1|0u-~~(7L72vl)K__@<0MJ3Y(3 z%p(5G!kK0K`Q7g-5%jYlRA_4+WDBa{*nT-p15e9DP-U@R*$- zv%-e=)?@o1O*3-A;gF;mH5ue{<2Pj=Csy-m7I)#C&NBPl_%&^6Z`W)rbEg8SKq`<5 z3@EVPv<`S)$VDdR(P`W>?-SNr)M>T%h-dcJ%bj>C@U9fd>+M-i=ge!;d%4~UN+VQf zcr&CWvynlrb&0D*G$vKc;3uw(;HDAkPkboVOxU<312jzxJr06gE`_Mp!A{GHfr5j} z6#@#kd%g*{1Jr;a zQ@ni51t?~}p><24X{kUekP4&%sX!`_3f!jx`8`k$nfO!JY(zS zk9+Gy?r~u^E@LOqKGNCK--fv^^zX8NI_FsE!foGa@7bY$ziGTA3eMZ9R(7hC>@@A~ z?>aA4w_t5@Zs6yiTWeEri`i+xtgtzEZ*acAK2s&%C-R3q^jq8fVvjHOc;G86_+pRh z!ojv#{2&+UutSn#9mgYE&?7}_`@^#qc3c)%d)onDT9g(0J<{pOwH3Uis|3-LQ?IUA zD@V0Smi z6DyEXu<|5kM@no)Z;#BmO$AbcR3H^d1yX@j;H?S>1o7?4?p9|g*C5x0=C@Yzu7%yb zyMaPaZpi>$i*KC^vD>N*obG+yjx?G+sX!`_3Zw#Gg97>g zA}@B9PZ!ISiBf@7AQeajQh`(;6_}=g!0ZlO6l6Cp;Xv00xpEHHR8l})DMLU(Moe+q zo!C0n79cmRf)Hd@*yQ{+&~OvxEuZs2VE+ukZYFHp69v#Uf6iT(!PbI8RO{%nnuFjJ z*5v|9$cQOUwVEB50PT((S}=!#*8vcYj+o$dNHP+cKB+(|kP4&%sX!`_3Zw$5Kq_!0 z1@iZ07Zd(;u}qmL75FL@5V}4LCH(nLS0DyJgIt%H--;FFPkb2TmQnAqfXxV1n;~#6KTh+V97?F0*bw zT0#C9di%QlWZb?^Z(O$}Jh!C7;`8;0H@59Rg(F19>~CMUac_;96G{bAfm9$Bcqa<1 zZ~nK_jZ7>>r*ZQ}J+M<2=FDqSUT>XKwEiRAL9TRY z<_k$?^;BjN!#ndeF;I6I@ELLuylAE~yczCykZWC0O8R(GwG4jZ$_VaS%xHsfyq-<0uZr)SxhS;U`NIJ1mDzkEfT+S{x9 z%KO__OZOzOJb8Zhr!!v@1I145Z6JTTqynixDv%1K0;xbM@G=GR{`O^h`QF7pe4eB4 z<^EQXqdobkF1U7WkZXJn)|f1Ji^0L2_$*^30|gJaWPq;u^TK#=Ctb$XVQ~!WaseIX zUL1W@;uGX#>OB$^L2^`&e9{?@WnB#%LR0c?fqOFeN}|mnEi(4 zU;~v_7ngfEqAlikGjs0LAP#v~DRhEfq)w zQh`(;6-WhAf%{Y-zX$4K=k0W{OqnPZNCi@XRNzh&5bA7CKB^0@T^r;Y{~Tk^!3v`1 z9BjArguTJFwhN4Q2Dx(GMglI!g{>=P2q?&iDNZ@R9g!-1Qh`(;6-WhAfmGlw6;P`7 z?{>C-3RD+doA#@rgIux_9g-}-lUutF56_S6|BL$l0jPbr>1@ z+c4LK{$2J@=Nt=Nxa~XbJv;R8H;tD>!FfB?%1)J%ou>W$UFW6h7OYLq4gCCbYi$Z{ zF*_}o6*lMY4bB(XXR74;ME`gTZ#&>ii?U+BM>-w3wt|;*l^}X@>eUr%98uQeYUg`b&5o-f#};Hv zjb&2vL{CmpZ&AQSj;~kIi{u(gB12xUbJEv^4McG*nPuxWa~6GV#9>-f44mRy%=pdJ@ME-r!u8zfZcVr7%IpQC@E&gb* z;&P}g1Pbn5`(LD!5b74JAYHS&8^!5RaN($#4-8!f?C$1xVg*tPR-VM{NQuqp?U6aR zsX!`_3Zw$5Kq`<5yj1~#AiiDM-RcbG8sxgr{MJg|wXnN)H&E!wEg7I|@vUv91lWW*GwTFs72fOba?Eto^W>i`HxM@(=!BpHc(;v+mikof20Nm%@J zkSo`1ETJI+>Pi^`3Nm7f)4i|Tkw()e6-WhAfmGmYP$2(b0+5OQ7VuMqynix zDv%1K0@D-_nB9Skg6zg69O&90SI)tjN(!heWe6z9h$&9H6I-X+0_3Ju5Q5AKo1EVU z8g9b8<#Rp=?4Kdn&4i76q5!()&$;U|*jiACY8_oxa}b=ux?DgB88OAFRK>oh$V#1#;mMIgZ0$-&9 zLf40(gg@Wu3d8_tkn1w@Td{)ti4S8OGhySF4A3++)Ys{)b1e7+v>#R1{q5^E?yXUCLa9J1kP4&%??i$1&Hr|~k%^_~G;ZFgXW9Bz zKa0N0JF~ZL@^nZA-jf1(y*oOw;k>#cK&)_gUay?rTBHzuNW#GvnBaRL@z2K)?I73qy8V#r7U8#b!t+>T(4Hv{?z%k* zP|SWq>y|>#X8aA{n==0F^ep=_i}*7OXO{8jm#=73dwX?Xd4KzA>7E3ZC(qCRbmnVf zpxCLs4dhRkR3H^d1yX@jAQeajUZz0a-@Z&Q-@EvS&vW#>+}{dvv?m|c1=p?(a*fZy z8k6O2F*vvrpJl9Mpy1(_4A3=yUKkJVq|3NEERJDaE})~_i=(efoVEs8mST@Oms55s z8#_G{91bn?qMqTx{(;0lAJ0OMyPU8=uFI@jv4X0mhsq4Z!7mx0X=hUV|Ief3LD;AkL`mr&Bz6ZLy~6HWRTB|-;{lvSk0$d+=X*G z%j|RG*R-j|u~M1Xcj&}rAD?H7Bzat=nQ z*yEUlgQI4}3%>12s|&7O8{`^axB2OB$^L2^`&e9{?@WnB#%LR0c z?fqOFeN}|mn0>vkEO=dW4rb|v8rqds7hJnG$d&81sic6qQigznjF{qd@9TD?(ez0L zZc2figWc5W$YN4~R3H_&t^)ZzP#4qxbg@itOSJy`@NFa+oeHD^sX!_)qJZG!4qOyu zH!k5o*9N(A4%SpsKwT+AKtV=KaoU~OI&c;sH?4xK@6UzjIlm1w+=R*BJM7j8YbIV< zpgmI@?tR_%noK+uNCi@XR3H^d1-@bhl-~Wjo$U^@y5QQhUkx4Pl9lL?WC5Ps!F_mm ze&oM@6s#QakG~9xKm1~#66==?(y~9T^nydTg=a1;QZQ+MiLQD01ye`Q^e6L(3O=>u z*n-IL*bGo>qS1ntrTH5E@LOqlSu!m{x-~Yp?ShTopUTS;#U+nR~S6J}H9@T||ZL|16F4AF#B*!|AN4B6xiq`grXD#fwEU@;r1HQBz(c86q;JxOGrdQ1U`qbAxY3EpYukU_|L;~d*PlP8F zReyVOxP`%^X(vMmxnw0eBw2u69mgYE(8>}2;BE0ogB6!UWg$>-@7n*Gw|cu4wt}@w zii6$VERKhQ3#ge7kfdvNcN5&3Qm_&qvm+%oqqj%q+@=DlKq`<5qynixD)3eX1cLZ> zWp}GHlxvXdLi1ZIdDp`3-rYc`h1lI)ZLOorY7T-^SeFYZAtR>N*obG+y zjx?G+sX!`_3Zw#WRDgSwo45Rbkrz8fr;BBJTcW#Iw{L4Pqf>!YAQiX?1^7p0S}JgF z3fQ_8YIX-M3bGrQaG-00Tsa48Dk-3@lp&xXBc?d*PHdfO3y_;uLDuVB;d#z)0}VG} z-tsvg1oqF+dTTFuxF-srYyO5yb3GJR5kR3H^d1yX@jAQeajQh`+9N($uf%PuDT>0+5OQ7Z8DDPXe< zq3gp?!k_PS1!4d+$aR_dtyn?+#D_7CnXqw7256cZ>g)8@IhfTWqz>zH0VSksriS9= zt0F)#J3(fJ%`4|%MlOUOl5lX;&b@BGx5S5n;&W@>N~ykvn=o&g-+pYe8z}bhO9p7# zCqv`j3b9)Y%1-T)ot71oP;hXi3;_k{TKiAo2=Q)O1$q0rjeCPXEfq)wQh`+9wiH;e z`)4a^y=grg-zvu!OKVC0RN$ftocqO>*W0t4zM0pg zbD#2;HaxSpm)27K`S*j2|$<_>~cwzO2H^cqnIKZ{8C^hf@ zCPZ#*gN@*>afK1Q4(uVocx%X}%)!VkYz_r)b-92NGGdC8uZjT0?CZt4;N>q9ke&$+ zha|nIXSlF`Ao0(~vrvC`kZXM1eu!TMeg)5t`e$f;YY?2>lAp2oyS`3w!Q#^#k1md3 zT`r(U7XQfN=&EuOB4YL%TDKH>Hsfyq-<0uZr)SxhS;U`NIJ1mDzkEfT+S{x9S}$U+ zjz4?gdR0F=FN89M)kw=MVt8l1CI;#*13u}J3Zw$5Kq`<5qynkH?I@7l=SXQrVa=jl@Z*vnB78!aJOWDuD!E4SVIm)i)+q0a`nb)NIzuuNbV_}O>y9v>8z%isH!-_$!b&0D*^d=v1Wdt{k(0Hz5pb+ks z4A3+&)YmC4n3%2=^ANnkx?DgB>6(QqPQEGv6tl03zTmYDrj(t^#!kzMfr3LjN$j#r z;VfP3p~n7SLF5^-7ZhZC3TN%wjm^*B7DU?C3C~@f6lBB{Cs*^60LAPCnH4r$J<3jH zW2a|=Lpw?AvaHy(4tUa1f%{NE?xW1CG8IS#Qh~cypxk{2*}&JRfP9TjXV0lXDv%0X zO#vbE;80?y$U&~l%x|f<&S(ym87O!h0LHRIHf4TGW?>W7tq=33ohJb>MnBsKT>vp8e^hpI$fm9$Bc%uU4jqw?t z3Zw$D0{J~qu{LK$PG{yzx>O()SQU^|A{Dq>1(wz84qTL;cHiql=M+x7J` z(ro&q0ym>TuG^bA6PIWGARD=i-Ah4-#$po{xwJ^Zr2Qqj=HVAi9X->Z%p)rJ)RJQhBEyr@ z^Ng*Rzth{6MB(wfe9R#Hh|Ac8K1MXQ`r9zqh5lXkPt^T-j)iXA_8V=k(7)d_UJ?Z- z|17NG@sGd1>%3Imf;A?5f_(nDwKfIMn4Q&NR@ltwO(EVbxjO0C(yiGaK2s&%C-R3q z^jq8fVvjHOc;G86_+pRh!ojv#{2&+UutSn#9mgYE&?7}_`@^#qc3c)%d)onDT9g(0 zJ<{pOwH3Uis|3-LQ?IUANy)baSLiRfQ> ze7%akGI~OoOyJxob$fF5pIl33*?P^KMc?I}*;_aHnH;$IU(xi6xnH09YhQTsD4p`7 zeNRzcaBbSj&_OO)iMAUTW#5k#AYP}=-40O0cw79@aK-1q9s&jTuKllhtLGN1T~ZwE z?q+d36kI^fd|>D@V0Smcy(tB&JsRvtiB0QmY&8ru`dDdhQ-M?<6-WhAfmGn@Q$T1r zzFpbfNDZko$aSIlt(ClMVRwgYpwN?BGCLNaH?4vYWLDU0ABa_UDjPdZYxbsuRxY=WRhkFE)%8%29SH}T_PwElT$fq5AFZHW z3uj!npNxCTx-BN57`ZQH2q;L`+J6d1h;N@kb0~PdZr!$fQ;6g9_4sR-f>T;5kP4&% zslYudu)g`7!pj!+Mu?_|b9&X71U3+Ki_9S%d%Ap`gX;#>53rX3j8ne?g!J(Zbb|Loc z#@_(GDdW#h&$2JGh(B{qXBmGP`HD8Rw^#SYYw)IQy{b>CNv5zGX_-X~@66Z4K;31) zCtXs3R3H^d1yX@jAQiYB1@iv(9Ot5(gCyd3FZZ`XgM&kfNQ>R^L9X#RSYxuhOC0Ug z8O@p56G|_)XcziPe0X#a%e3v&=p>eodR&+cg`@+^Ik+kP4&%0}9Oi_0&N6 zql+95o$ZL8jp;ej%=?7(7WH|`i|x=16l<>7 zBN%WDX~}G4kZWDyN=APsvkh7rl@Z)Dvc&8L3gK?a08JA^kAonWOChRtu+y?)py1$g zg@A%|%{UdOTFowr0PT)!XF)*-GAnEjfN->D#T*2uc9PhISWMUqlHl64L9X$2o1ece zh`y~8p2r%4_Dpeb*X>DwV)nPMTlj@dJ4x)ahC`8-3fz$ba!0jhsi{CJkP4&%sX!`_ z3Zw$5Kq`<5yk7-`)&_?XLn;h%jnBc}GQXu_cjB{*l?)U-+>!yhHf4TGR$&v?=q}) zZuJy)YFc)Bmh1M}8SDuLE^J)Q6(l}isJ$+ZPp)s5un|X!}2tTg4Y2M zj*gh%)J_t+5Sx|?+@At+e9tbkIxf46hEh3U5W>o}LJWQQaR@Z|RA z!{aOL$&vqVu2zot$6p4;A0jYNiS^qDY1yAvdcmRF!ZVi^DVVgsMAtn0f~lit`jdG? z1)o}SY(ZpjPlkf@tkc_;MB(wfe9R#Hh|Ac8K1MXQ`r9zqh5lXkPt^T-j)iXA_8V=k z(7)d_UJ?Z-|17NG@sGd1dl1mDE*DTjy7u|!*4h-}V|G@9Sz$AyH-&h&s9nk9A^!dv$E~UbiaGXJ+39QY`tdAqVMv~?5&&pOb+Xt^_kdSOrtN3xP^dR zc*|K>PG0+-qPlcX+sQlzxnw2t?~`~{)_a@9b$4V7S~=n$yeds7NldoqrGsfmGnDP(bK1zFpbfVhjl~$aSIlt(ClMq24pEw@5-yZpi>$i*KC^vHsm! zm(?5ur?4&;P(nsbaq?9WpqQN?v%+RZZwj$r*_I9uOxUz5tuDBBZICP1ZBt1Bb)^ge z1sO5L$zL4;mk0;xbMkP19J*1-?E7*8A+Qukq~o zeie}V@5B4;AuIp-6tHz`wrtyzkLrSd*9N(A4%SpsKwT+AKtV=Kak}?8n9zhPkb>;m z<3QI2xpLh$l@w4{$`DYH5mTIYC$|1JMu6P33bNi;7M^dv2vBw^8#_G{9NI}@7h=;= zfm9$BNCi@XR3H^d1yX@`r2zNVH*fj-vWuOf)5S7nqEz6kR6yu@a469gh_aqvq9Xqp;2=kvE#sF3F_#n^r*xGAnFeIR|U@rV#s; zZRzm9giX8B>Vj+2zBhD`>oV*1qZJhEV4&E;FBza|pA4O{Zi`7Mq;{nY0R`z=`%mEr z@oriLdHcF;_g0e#+1xq+(o%s`AQeaj?o@&G&Hr|)mx-n5G;ZD?XW9BzKa0N0JF~ZL z@^nZA-jf1(y*oOw-p7uVZ!H*s^n$C&v-lC!K)hom^i&UR?#Yhs|t^YmuxR!BxO zoZ@1SU@$k_?;zK@qLhrhe1s=%Y@;$_x)!rrs1WX_1?kz8IT%TWO<0!;C?O-JIQgmw zP|Qw{Sz)scRF$2|#!k-!hjx&cy8(O!Nb2j5|0N<4HXQyY`ms!N0Sva$dzl?lEo7&r}`^x*std3e#rn$Q$y#>Z%slWwJT)^C`i{#4aLbGp|YS<$5b9jZmz)Vvk_JF{CB4 zkwLC?i7Od9laIJEf}2KY%x<6%?v@PDG%<9_9IO~IUa$LTiIVi6IpRxyI*U zjmh#*LGHw787o=1K;f1Q(6uS^Te1q9ur3!+LPkt+@>LO_nEfW^V3gPrNP&0laiDAM zu7&u`#hxnryCjF-GUU1~h;)hh?SqhMJ3WP+Ev>S16YI86Nm?q93Zw$5Kq`<5qyl%W zKz>N*obG+yjx?G+sle?hAh%OWR+0(~ zD6i-KoB~Qk{@srEyXkiQzm9Xs%5+Gw08ei1K0G`>^51XGSC06{Uk1e=elbvq z_1gz&*`HQ=!J*s2GnW=An6$q{*F5}!siSB5lX*l1pIUNkL1cJxdY-ZM@^^aMk|;cW zmya2QA8{GG(8q|zR(~7jy3oJN{)xI@&#};r+kT_X75ev^#!I5$B2Pms?)x7McM8MCt*%nF+sy(z@IC3pOk6)t9#}|7%@D&z( zu}5{`VB0Kykc)KKA<40h2&1U3SQDxg6PSq zS68fYL|Koko$p;WJFbQtTaYm|mPySMJvlXd+mbZuh=|{Ge7%akiQ}xna#prIneKPb zxW~0*maW&!S@d1rnZ0$BpUGi;vpy5si)r-55w{SK3vW3K%gJlsQ&gAkX*-$6AeXFU z{(Ta!%6f0JxbBW@K`Tf6gSW*W4OUzZm4!gTy=(t#-s-spYnK!UySrH&4+R%cGaneb z4A|XGaBoV%YL5mxQexA3+fJ;K#qZL9p+;dyO9gI4fpRN_WF4tMDv%0%6$%Jl##~}I;1t&70!qk;DNepB0u-|o zWLDVB=uIK^E8EiHfeD*-rPT%3t_^bKx@{^cpsti5pdcfrIQgqXfZVhSazpEua?(

(8v|9yDBJ!Iuyp8~dS&6aI@ z@=;yz@7f?&&cT{W3aBe(2q?&iDNgr32NRlb1yYb*dmQN6AXl#2rji2cN*MwQGGdC; z?!?yL#t4v`RzcSL%EI&Q7XivnWn-sjf_Ti>Dv%1K0;xbMkP4&%sX!|5t`y+j z`sOWvUv{xmbh=okHzX==h|SPc;JOM3=?8}r-Ab9K402s&4klJmozWaBGY|*AWPqlr zp>sZeYlRA_4+Wp$zjv7$3b(I{0J&)ugdnrR=9P1>W^W3yU)h!p4@}s!E3GcLHtl;u z2e~e@Za-Qn2Z!RNy@+kk{L@oXwfn zq<3+>J$Dl~_j`<)FC;n38g)pDbL?z~X1*o{iabMavdlGX8%!J&gq1Bk8SWQHmP@fm zFyz}@%t7(mB{{@4*a+@g%xA zfUdo>b$b#zcI8kIq%_VGoeA6 ziKk7~1=p?(a;^VjQuyLY)$)PUxUz0BZFWNi54U81uD!E4SVIm)iyNAQ3H7)qPC<4{ ziUUn!g$;6DX5ESvv}siAY$tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{ zYvsl8pZvCN$cqynix zDlnkHdeb`Kc_9~>m`A5^&%94qZ&9b!-XosbTQ7IwsldBZAg{M)Ih`}FN$=%)D=3Xn zy9v>8z%isH!-_$!b&0D*^d=v1Wdt{k(0Hz5pb+ks4A3+&)YmC4n3%2=^ANnkx?DgB z>6(QqPQEGv6tl03zTmYDrj(t^#!kzMfr3LjN$f%_>0%FEJ^pjyK-XSSkZ~z|wQDyv zKYv>gX3%AQeaj zQh`(;6-WhAfm9$BNCi@XR3H@?S3qzb97+ta4su;)eoMu5MsujlK*8exFqR#%Df3%0 z3!AVm7f?b*OmXs65ulj;R_0(fgBz%{y3kbD+Fc9rn~ObF_I(Yv0b%1eA_R9WX17ow ze5mk0;xbMkP4&%sld%DklzD!u~T!p zSf)&r3Zw$5Kq_!23J7%$4kdtq=33ohJb>MnBr7d+AfIz?T#Flr#Te74uEiU z!~~~ylGugV?e()f&rK?DFAA*J{d*}l>r4ewfmGnG6;P`8?{*HiFx^If9p{pj?2u#u zp4|R?czAx~zniO-BmVK1LGgzO3{+zM_CZ?qrNy)DaQC>G*mTeG|u7gXOGjdotbco^g+B$t+v1nX~A-yfb_2CO?w{7ym1oUNQIU zQ|H24v|CPI`<|k@bj3cI#~_!iWZR94vhT+V5Uk`#{ay5vC9?CwT!@VP=@=rUk;H~VUKNz~9F?bZSw?#WP)uC;sHk|;d8%IdlA zW=Mxr;06^aH&|1alnSH*slfYHKxi$#UD@4Y45={4wN4%s&RvpvAG6)+9Pest5W!uG z*)3EEcS{E7T72tVh}~LHc4{5$^h|IF>v91lWW*GwTFs72fOba?Eto^W>i`HxM@(>P zCy8B%#e~fu39elm*1yX@jAQiZI1?1){%mPz^qXM!VTDI=MMZtID5)O22kSph4O(g}?l`;erWW*Gw z-HEL;a{+SGDhNSlg-yv91lq-&;z;^eC$KruT(W`)f*rc`z+8#_%ia>1dU zBz7Sd6E=e+xHj#3LkGDovu;0HK~>X3Wd@2p{E`8h_Q}vG>$X^-Lh3`oq{Cc57pS*s z|0x_HJZ69Ux-CXNkR*1Yz_e5#6-WhAfjd=Tee=Ja>SbanI*pq*>RGnF)z6~u^3Lq7 zn>-y-f%l|9UT@EGHfLUw@_Or>qIJ0BAXhpx^Mxd{dMdMs;hp)K7^u4p_;g%k!Iv$~ zaEgmPg2CKyzk^)sic&Jhld5I#6IVuX*J5@H6~f(;0lGG24n|gC6V~MdO2~*QPQEGv z6tk}v>w?!S=eHIqgtwE#E^GLUNXXZ<7ZhY%3ZJjxCd`Liw}`aK!Sh&S(4Hv{uI48J zirH^s-BRM2jlThWQ^ud2o@HNV5r1ak%rgEm@)d1rZ?Eo)Yr;+0dN(qqCYi!&q-7Q{ zyfa@D19g`HpL9tDQh`(;6-WhAfmGmj6v+GAbDWEE4w8uDUEJT=IujbCnRwb%U2yH% zAlLdYCWX(P$^19?i7V?C(`GkR@Ni28=vvNi9~6=58SE-%4uX@bxq?(K*98wu*ti}F zvLoR@(^z4HT$fq5Vg>D5*u4e}#KA8aplNF8oONpw3aMQwLqI{gW@;!-zA6Ibrd1Gv z%nBRcTaWF7G_Bd266#mBrE8ME7UXl|H)S6uR`Y2VcVXeoGW*>4HEn8d*K90vrvj-! zDv$~cD6rnN4tQS3MJDFaY1}jK6V_YQX|?x=XZF_1op>tnt`x}Y?O9Ie%xltnx!wv& zBh+p}bR2LDY00o+kZWDyY7xE3M_d`fO(Qg(s~9MRyCnlOO$_yQiVG&DYsEYSudpr` zP(r$9p^B5QiU7sz>!L4sZG$Ogr?RorvSOg%&`uJ&5KFq)LsyUgTsY9R7ZhY%3SaHo zjm^*B7DU?C3C~@f6lBB{Cs*^60LAPCnH4r$J<3jHW2a|=Lpw?ALhO=md6Jt{AQeaj zQh`(;6-WhAfm9$BNCi@XR3H^d1;!N+TnC2|L#%^bmzm#Eah=f|Dl<^Bm`U5nW* zR0!YdDeTm=?DQ%XQ$SrQLqI`BOmXt3O#vjKL%FQ}cfm9$BxN8NJ>ixT&!!1m=(O<{8WF_z{<}3w?}eZ1uNct_%IU?4PLn^&AV` zxa~LET%muzX}lx~PX1X~!{Z-+f7f}bx&>=Y_yqaE(VIfNTXHOu z!qF`q&#})`$@hu;VGsS*How^8i#;Cr3Jbp2qq=agZ5BVsMLO(|P_pX{9S3{01$e0?-q~?j9 zoSMCDNg8!T#BVyjUPa%;an@itE8Ct-_q%7@<61Jy)@$Z0`Y!Lx-nz-p!>FG`!ov!8P6%e>6lv59}dOaPQjx zp51#X5r-s&qq{CS&@{WdQ5<}(5E!})*xk*(nq3k#G)TL(fQNfB6r^kI-nJwP&#tn1 z?zrnW+8RV~ z*J5@H6~f(;0lF67Iu~NM7L=V@2Rl6z9KyO>KnWQ!#i>@a;}W3VkwXjSQ1Chc!qE{E zoZ3lZ7h*ACGf0AK*9N(A-8PjJP*=(jP>>N*obG+yjx?G+sX!`_3Zw#Gg97>gA}@B9 zPZ!ISiBf@7AQeajZe9Vo`3keZRN$z9EQgk@J8)6(-MEAUT^r=eIapIk0d=Je0R&#q$+_VZpkXd1q^V>kfO_=<>!)~3hX5y6v+B3!B-q&rf$;4BER3H^d1yX@j zAQeajQh`+9oC@Uc%g(80lk3z(Zv-qiQb(4N3cOqaAtauw?8YTscIJSCT$h>OiWRhL zp-w89vY81Rw`72(siAW|e{1y!sl&QlKndxZsi8Rest8cbPLNq)vyCa0oyx{e(~Mkj zXeWtXh{c4>APKHb``*w&uFI_3k5*9C^iY|BVh_J$fTn#ibjrFdR;ZBrP%!B*7tjUj zZQ6edM+lGE-@a~(kq;z^T_`Xu6-WhAfmGm56M^hSc*>L=8bxmt#9?S=)1f# zd+R1ohg9G_DUjFOvz*PD*QC7OI;UtIE;-1R4$XWa$*i8rEMjO__s{RoH}exquQfVv3Wm ziU7sz>&3d@^~(9JMGE2VB(cjH{vs0cb?pTO8JEK6Yq$yXA=fP;ZF2BD))=&Bii4~9 zNq}PZn^?D$cxK~o0N<4HXQyY`ms!N0Sva$dzl?lEo7&r}`{J5#Q?}lXOsPqxuo`KZ zMGWuE*Tg{GWxyw0Qh`(;6-WhAfm9$BxE%%Z{`MT_qMU;y;&>PLx3r?Y^>Qbk3cM=?@_Kug(>e2+^j@yF zg3<`Jn-Cob979?%tQh24m$+I)Z}JgWMsU*zjpr%`3gK?a08JA^eVyWhiRoG~55X&} z%LSB>u34z!6Iz6g&<9W7#2_ zGQTCWunFsO0VQO_6enL50gBmgWe#RDxPeNm3r%&c-L(+Ex!6->-`8*(5H@}zLU7k& zb_*54w|WXYH7z?m%XRzg4E6*A7dEcu3KE~M;mZj$X{kUekP4&%sX!`_3f!y$`8`k< zJ2j_^Wy(aUKq`<5qyl%MfKcb)P-3X1L9U$NHq{hRSIQ7jkP%ay{ArT_xoH)IAhW_| zn-?lOm5rUA2@dTfu?w-7uo)!5wQGZ1xo(?E3aBe(2q?&iDNc2z?UD%4?#N+znnS_s z00>7%OmJ!^iCu`@UO&t8+@u2cqQH9Hzn5~e&Qu^3NCoa%0i}BXZs%|d({1$EaV}ZO z4oMc^$?eaFhv!HBySZ99;vat*6n}`oKqc01AEae}TImIcZVS&`TBKmo{t{jD@C&An zp6O5K5fyxD$*~2I;mPTF#@5T<>1|7*@c3OmW)ObFW$Z#9BN|)%ZJ6sq|1SF{>V7@P zLN{*vjW$>4-)|Z(iGq`V7S{0i$KT&|UaD@v8WTQ2KL6ZWn}TP|&T23#Y-aSP5bu^8 z%cO90OUHBUGgb0^B7fLJzqQRT_V{9t2fo6BFZQS|9BiA#4|0(XJ0v;QaXhjGJyNu` zKRjz;$7O-Fw;k}MMOm@mBb|<1Tfs}ZN)SCc_3DZ>jwtJKwe!8JX2;c#V+%5-#xkjS zq9>2o&7A z_P=NMUP{CvN#W?OOAa*6?rszZpDP50E(3OVv#(~CL=6qnZY|*9o(u))TD!L`iNdq1 zte*RBhIB{;Zcu@8gEeJIsX!`_3cOzhgx2EQmEA4IkP3rb>*PV<+$E{^G25-q@vgQ8 z5!|(y-9m+Mw`72>#kbCd*sTR+r`Ewv&jg3CE*DTjMoe+4)$F(gXm{k$f;kkt4uEiU z!~~~ylGue_DW$O-H6nr->;Xv00xpEHHR8l})DMLU( zMoe+qo!B}v7a%vSf)Hd@*yQ{+&~OtbfA6qcC#;!xWr6lgak%$&+iNoMR3H^d1yX@j zAQeajQh`(;6*#8?`TMeSs@dc^HPHl9fmGm)3JCG>TxB;d>84^1ILLLG`K?$%yB6xC zk|~>+uyIQUXqp;2=kvE#kB~a7%LSB>u9+H&ldp;Z#q0!`6*k+LQrW3&>@>~D1&4N$ z*o9b3*bI{3+O+Qt9pt*qy8UPcRZS0-87TJfO9p7#Cqt*K+hToOw;k>#cK&*5Q(aTrnBwHCB0w?w zda*8ey>fnQkwSPoN$f%_CTs>taP8V4*Z8{qkn0xFw{^nvSYyzhDGu(sJqb|Eenab) zLeFOW4d9zH{_OND`!b98GYe;y@t2XWXj6N8bzgaZ`)cW)1ePby&;E4gYhs|-sl5&4 zPnT366-WhAfm9$BNCjS|K;GZJOfTQN_=nGP^j+NF+By^DpqY5uR9$fG+922X9IR1U zw-_AUiO(`tGEnevO9tp#&Tk(Sk*daB#mqr)ay3_w%H_H|JQSQ>M6v|5HY`Rrn-X;> z$d36yG3392W&Dc5t!ZNDA#EXKeQOY&yCnlOO%0v1Zmk|6by$}RC?Q=lH54ab6#y5QP0W+Q`K>k?P^{F%%)XlYbNaMQ>Vvl}RcyCnlOO$RGexxyCed%JF=Yx1tG|+usHz2(Vi7^5S-dcVi#hmBlghM<3AS;bnOKN z8JEK6Yq$xMpT8}Lw8_EqSYyzhDGsjYCjpAt2{J2ewtAGE%EnI51c!E#*kxIOQgNNp94a$V z@HhaBWru9a{FcnZCalW^l#mfqoP1RTC}v;hPX({5&A}dX3pKPWtuDBBZICP1ZBt1B zb)^ge1sO5L>3-L3q5QN|AQeajQh`(;6-Wi{Sb_W=sEeJv)5S7nqEsLiNCi@XJ5fNW z(;c`d$ZlN1fvyd5MnBufMv7C&;QDNhzRghbm-&!d`J?%=X3$9%o zvg-neny&2pH$#B6p(t|zm0mbid0}k0U2>hpH$#B6;R6Z z?{*F!o#=M(>o}LJWQQaR@Z{F+!^86<|9z-q<%oa$Wl;R#7Xy`8zkQIF{b{8a9J(z$ zb7_%+N&8E5&BHI4I(nu*nMYLcsU^o2M207)=NVfsf2X%CiNfP|`ItfY5tp$GeT-;q z^|xWJ3;nz7pQ!uw91GpJ?Kj$7p?|+=yd(-v{#jVV;~#&2*LkVB1#3+B1o`}PYi$ai zF*~cltgxBUn?k%>a&^+NrNaaJOqG0}$RGC5Z*B97J-*oEfv>ROi#@6f2is=xgIuJ; z4oQx69FJ^4j})!#56@cIaamyPZ3ld5QC95tNT(y$R`8Op5=2iN@G*n*6yu}o^7=*g+s+m@tJM@0OlQchYbkP4&%sX!|5@R0v6@?xvtbg@jCC>2NrQh`(; z6-WhAfoTc|%Kq`<5qynixDv%1K0$-s5`TMeqo%GYi zGG(Gv;Hy+X=(;`ms4loRjd{u-*Jb8lVg>mVA3o1%CT!f20h*?U&iVYU)gz=1>v91l zq-&;z;^eC$KruT(W`)i6fmmgyva!=NBNrUnNn#gbF<~=Ef@{;hH*}EeGVAuE6;w4n zRA!*q!!H@2X`c+8vTlnNDx^LXe1`v)*#1*EaayIIx3AlFZ#9XKEo>h!Efq)wQh`+9 z4i#A6{BNgnnOKTWga+q0a_nb)Me-a4mf9WFV@ zl@85(A<3+s$}D1dXTBx|>MjF5LoR|B&2Wl~J%Yj9aKD3G>xxn`#*?aL@Do=?aMxmX z3l+lMk^#ClWe!GGVH4Kn0!qk;DNepB0u-~a7wdx8E9bWsDTKF^#4f~Q!e)>J*RBn6 zjj!7exo#1CTPHk^H3sdO;^3~^lK{o+H?(dk^lZl80KO^X&rZ*>FSCe0vv6h^e;N6T zHnq1`_m%gzua@pfV0rTV>`!ODCI*U~+S@?>bV&tLfm9$BNCi@XRN!R_ znPv95@oU=D-mckL=1v7tfm9$B7*JrnX&vyqkc&*rqtm!&-Y2ZLsMBii5zp+cmpk!P z;9V(@*W0t4&Y9Pw_j0`zlt!rCgy=Zn7}Anq#UR(Z#ML5tlaIJEf}2KYJXbML2zN^c zXqp)6>l7DEOxKEe2wq`bE}(>T%|aC?Uljq0+1Ev1@Y)7b%1&itr)9-J!J(Zbb|IE@ zv4^f6|G99WYcD9sxD>wHwHuqCzb%NgtrMQRIw{DADNe5DCjpAt2{J2ewtAGE%EnI5 z1c!E#*oD|7-SQ+isX!`_3Zw$5Kq`<5qynixDv%1K0;xbMkP3_|Ah-?=C5BiBxh^xm zrQ$lHIaFq#;Bf#L%MRI;`7N1+O<0!;C?O-JIQgmwP|SWSb1<914OCiPXsT=Nu7&u` z#hxnrzJ}X?u<;uag1Z*8Tc{Ae)l=B1Y1!#nuG?p4uqPO}uyHk4kobHJUrwM&O9fJa zR3H^d1yX@j;AR!b?}575sX1LNQzl9UQh`(;6}S@xggOU@5<@Kwa^?KCsiuIsQigzn zjF{r&Pn!hDO{*XTnH4tMyinPxZ0z(*aA+rqU5Lem%^(S`T^r=eb=y=@KwT+AKtV=K zajGkAmqdVeM-I!=9130sKsY*Lf>S$5>_Y7J`dOamCKb3B1=j2Sy_B1ErUI!zDsa~d zDAoISJBM4CZlk}BbID3}NU{J=Zht;JJU{Z^&DF{g|M<(G_(KE+DzSe1AT9gTN-sEc zTX^QuA_bH7m*|>@Uods_On)+usNhpejxC4`PfpJ>wqE{DZ(9QgsW~nD7bm`RCT! z6g*>gR)bk#Gov?!c(>$OCWWJ0I-X;nsgmy#`NJOit!;j>#}|7%@D&z(u}5{`VB0Ky zkc)KKA<40h2&1U3SQDxg6PSqS68fYL|Kok zo$p;WJFbQtTaYm|mPySMJvlXd+mbZuh=|{Ge7%akiQ}xna#prIneKPbxW~0*maW&! zS@d1rnZ0$B|DU}xMsDQj*|7f4Wd|+?4qUDc93Wf=uJRH3C_I9XfFt+_8~6ZU^u>D& zhkKMC4>i-P(E!~oiA@f*Kowh@d4U(eJI!Hliz{Bpft&vgO>da{^{I2>owYk(y!M)+ zx^%@pna3cPEM)7#MLG6k1&G&axZ1(NHQp9~Hbg;p>>*Ha@7fQ~)?P})E=l3+rb|vV z%~m&xgU=NLL$?82-E6DbBvC_yv}+4^xEDh~y4LP(O``B@Dy!GNn;{)ifdeW~4p>u` zlnSH*slfYHKxi#qu56YVLn;h%Ejtei=O#&A$E-`8{i?PG5!|(y-9m+Mw`72>#Y^Wx z?An5IQ0w5JXM#gmmkTH%Bc?djYBpQ~v^leD!5j)+J3u%)VuDjUN$f%_CJcilxOQ!j zE9Y%fNda}G3;_ihF~#ZL=j}+N>5~ei0;xbM@GU5i|1a`tSNU|cOqnPZNCi@XRN(Lm z$l)u@0#kv#0&+fe9^DNW1>bC!aH4C2T)7X{R8l})DMLU(Moe+qT-ds2Emf0h*?U z&iVYU)gz=1>v91lq-&;z;^eC$KruT(W`$whrc@3p8wX7@a>1dUBz7Sd6NW(&T$}cz zp@Ur4nYSOUpsMMvG6TgPe#rn$`()^pd0VVdA@#0c(qS&3XQ(%{{}hf89<#rF-WDSt zND{kHU|K4W3Zw$5z?~|vy!juedYM>?PUGf{dX_D3^|R=^yfb_2CQpY{;5{jj_uGqH z&6)S4yx%&PXxUtHkQ<$v`9hLeJ(XF+@XmZq4Afl)d^#?2#?K?oaEXgOf{nT1eh0ah z8Kq>5cdE`CKXGLQcP(bOP$Aqc8K7%Z_QA+13}Ia^poEN=;^eC$Kr#Drvo3hOa{tyM zh46Ng*kuWS5efOa_JV?pOX2f1T!s0N^A?d-Id~px4B9ir!PWdEKr#Cv<}D>&*!Ua3 zhcf=`^ep=_i}*7WXO{7ok#A^IdwX?X+!GFE%W7mwO)`bmNXsl@cxS#Q2I?*YKIxJQ zqynixDv%1K0;#}p6v*}MC9Xxe1WCm4F4ng;&x8hPCf;qTF1U7WkZbuDlfvij$$Z`T zi7V?C(`GkR@Ni28=vwaIJ}4s9E7(=c90Vs!3aMQwLqI{gW@;!-zA6Ibrd1Gv%nAeVt^4*tn%3-1 z3H2*m(>2Lo3-Y<~L)piP)qI-8U6?qt%sw}MOPku;EgQ?+sX!`_3Zw!93M`A(0naPB z$izH4jeF)gVOgS1tG!1&v$tOE#8ZKHr9j?qFLF6&-jm+T{Z>#Kp*A~2`wfmEE!nIX zBd(0#rV$$Ns~9MRyCnlOO$_yQiVG&DYsEYSudpr`P(r$9p^B5QiU7sz z%cL)Ots7IyL1p8hWyL_jp`9dlA(nKphb|spT{zLT7ZhY%3SaHojm^*B7DQU-3C~@f z6lBB{Cs*^60LAPCnH7e$9_662anLitp`9dlA@-c^{3M4|AQeajQh`(;6-WhAfm9$B zNCi@XR3H^d1;!N+Tn9HLhFAx=uCsqj#dVKnSDAr=#|~gycF3me-;!Av!n#~Q2^lfP z$yY^yV)mo#gV`S3K&91%rn=VdT8Lj=?5T3>Yq)L@Hhv>QaMxmX3l+lGdI|?MEeAcz zdHd`N_5=eL23K%R3H^d1yX@jAQeaj4y!cc#eIhO1@9z zFMH^BHvDFfFZOugD=he8kLtq7wpsij7wNEFl6@WfGi%TzMQi-&SqmF33#`3ufG;h| ziv1bswC7q2KBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*DwlbR=ba%%RrCTY|W5x?pD zauhO8s|E(*TcF5yJi2Dx${tf{1cx>AOKf{d8rw7IZ#&s>1qv(oRK0+xf+k!7R;FIPYaiT71D+a+Cg_5laEuCsqDR?wz}x>Lz6o0%}UB?B}~ z4W0A(TdPM%9oFRnN=VmC4aLbt}|~xT0vFQU1bJ}J^YdZn)b=iDf6~ip+f3i!KA}nK+jNbX#Xi3Av|V(`@Ahi zK9D4Kp}@3MAQeajQh_^FV0rUDPW3Xe6rIM+8}%$(-s)%3cX?;_)=i!cslaievwAACh~b_2ni#0N4ES_h? zZ^a7Qw6J*%7>I*kGCI7bQ<@}b;7blomP8~cxG?C+=-_G?@EEZ-(KW$&b%kRm;0@tG(v55i1r&CLt3&~ zG03${akYrv&PQAs!A&DH-d8bD2zN^cXqp)6>l7DEOxKEe2wq`bE}(>T%|aC?Uljq0 z*_TOQ@LD&fl!MB~LCcDPf_RN*Vh>$BzPfOtYcD9sxD>wHwHuqCzb%Ng&J&)y zIw{DADNe5DCjpAt2{J1TYdy+AW#gb{f_Y50-T6rlsX!`_3Zw$5Kq`<5qynix zDv%1K0;xbMkP3_|Ah-^0N(`|Ma$RTtmWt~h&8{*71&=r77uk{oTYFZ9@ zmh<-673>KHE)1^b3KCzh;oAu`X{kUekP4&%sX!`_3LI8}{2r*QU7FL?GG(GvAQeaj zQh_^BK&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5n5Kxd2Q=IBd+awX7&6(ZwG>3xM4iL_cnBde-61xz) zzJAWnb4Ug5MSk;tvrRsKom1gS6~#E4|>*ZQ+?qixf=SU!rRse!2@S48o7Nj7{icL}S!HhPkfv@3Q|z-7nWz=*Dfo(dGjE`=7>3 zqTu9Tg(W=x@!#(|FIBf-jR~J1pMP!5O~Er}XEvA>h8evn#G5I{G%1{2)A1bpOqG0} z$Y1u*?`-(Z9$)P7z*kuC#U9m#lWnv3K`zo^yCnNM_Gi|hM~c??)3X*fTozb++W=o$ zlok6k(rM4N7JN=u38E*bUR|-q5oJBEcD*;%Y`7Y7tU<=qm?kw(^yJj+ZB5dsBO-p& z`QweVeZ$b&WU%{?tJmu zYl`a975ii!gIuzZtqT|B*pC$;UZ>$|2M5=9Tm0D&1>LcSK*7ChKRjD|DG|FQg|nM3 zIngv*-6#$|R|pK<25fb+t!9%%4Gq$+E#To^3++8RV~*J5@H6~f(;0lF41 zoeQyR3(7&QgM*$44q;s`poEN=;#8~Ia0$@n%&rA6lBB{r+c5bBaNm{Dv%1K0;#~apg{h=$g5rD)73I%qEsLiNCi@X z!z&<%uP_Tt1@;Qa`P6xIH(V5avt7c8t_^bKK3G#p0d=Je0Rz=s)xoH)I zAhW`d`?rCHt1$U{hs``;&crhdv}cOLz0ccTlZmGSsX!`_3Zw$5Kq`<5qynkHB^Aiu zmt9iLCfBKn9t120sUyos1zxUz5EAdJY_?0f?Cb*$a$RTtR;-{+3w5WGT{bgea7zYg zni@Li^S4%ykUFf(1(cAknHq|duZjT0>;#z=hIN}#IjC$LG|k8bhjxL{`PrW zjC>$T>_UNQsX!`_3Zw#es=)H*f1K)NVktU}n>XrNw!GEPqVMv~?5&$T9a4e!q(I(p zFLE_!-jniv>s+E`bICz&bZX`cNoMs_W)Z_X^EEM0cNy^MxX2klk2J$2F7^mE=7#$n z@Dx*SD9r7UdEo5yyL3-wF-3cRs2Mu3a1C%Kh7>k^<^V83GD2 zVv3Wy5B4BHZdwI7&_0+@PrK6Uf@{;5jDuX)nYUsE`B!LpYrw%T8K7xu=$zj>n1n)V zSIQ7jkgk~;ij%L30J&)ugdnrRfPnkeoLF$+btW*+^Ik+kP4&%0}3pQ)&b8exyZykI*ohgI$>F&POH5~JhQi6?!;4p zccnnyZ!dB=XWoq6Rt$12Q(Vc|+4+boBe-dV#_R?P;cm$Q zO%p@+8$m9YLR9PEpk>8C!NKJU0R`!raVk!=noSY`+MHSUpA>{3v%;_ggtI*><{&t= zlf*8>V!|*;f@{|XxyI*he*U%~`Z`Z|9%~HRGsVH3wlGugVv{WD! zNCi@XR3H^d1yX@jAQeajQh`(;6-WhMqks@JxG6EjKgcz{57yXO9xBM)@i}iRS-3#q zmJHCfDf_o%6^5`b7f?b*OmXs65ulj;K>J_=l~xy;>RP*NA%1nSr^>OfVcoEN!|xs1 z?1l;+YdwX7nwEo}<-C1%1$%;l3xlh1hrHuN@$q z9WlYFog{W4HZ2uM1yX@jAQeajQh}xd3hJ+Rc1xHh5#aCRT!O#ZE{SmV$@1sJ!}Bv= zgK%xyKZeF1A}~-1_uB_)+22-r!J*s2GnW=An6$q{*F5}!siSB5i+Mx^pIUOPL1cJx zd7iQL@^^Y$lPElXmya2QA8{F*(8q|zsDBJ|UFqLt|BJd`uCdUK+kT_X1^V|tjh95h z$-fFqc>LqP-*;ZBZowK8K0!YJ+M1h!XUxuQFe?l*dQ*ruQ*QT}Dm<{yRLS>={ACaQ z&W7LY@x>kwe1!#H>``4f**1$GgMdYE4YA~`M}U^z*aZG+LVIT9u0P+#HRJOURWiI-=zUVjlz(Y3LHg&a+E@{j#MBO zNCmzL1%xi+<;rG>F(k+!*Om5ft>jG$b?Gl}?mMIgZ0^g(pLf3Bu@s%g%^Tz_Ti)tt(RX=g_SQ|F4ynL@WhR6R7OnKVs;A^!u_-$J)5!*Mp9u2>v91lWW*FFUljq0*$FZ$4C{uf za!}bg=$YWqP7=EiiwVOZ39elm@6nra%8vE8*0zq;5{<=EG-UZ^+h-%69+ zKpbj4g@c-wgO;g*bM|jdA0hLqQ{mugT`r(_bQEKAgBwfBf;_SVatcq;I&6v+GSMK0&ed(wNk-wH}2RJ_|%U2tt0dn1Ef z%M@4m>Mn{K+o+7-rjaFPH&6)o(}J{244kqLR*V?(xGuL~C8TQ>syO+o2vE#UkXd1P z-98whVvkOe*o9b37}}Lq7hJnG$TdE1^Yga_(bsvx^H^iho+%FQygdm}%>MRy3%@Y5 zlf*8>rlkU@Kq`<5qynixDv%1K0;xbMkP4&%sX!|58U=))!A*%F{z0zseXzIe-%_!= z<8$6tGEnevO9trLl>J+>3PV_z3n(EYra1Yk2vE#^pnb4`N~;S^b*Pi^`3Nm7f zQ=Ms>Bm%TKvzwmgQ1IFT!r2iMoZ3lZ7h=;=fm9$BNCi@XR3H^-DxjeLYG=2EX%Yec zKF%fho9&VaXP+#8K0G`>^EC+9ru}1R{2>AZm2kg(ke2;zr57B!Ej)8+k%CG4OLWb{ zFPJ)droWg+RPd=K#~MV2Czt0LTQ7g7w>62v<9GR(LHH4uu?c;QXpH*DFxQp-UG~4I z`{f!7-MH;H+FYQ2|I>I$6rB94u!P4y{`-CBrRo-}G2s*B^RKPBDR{>0%m%Z}^fbs3RhN)A{8t`X-Kx2Fpd+wq&|Jyx?#X^f_v9~XfE~Kg0)MEgRO4Pj=O>jsF@E8-3DxR6Rb@sSnbhZM@np3Z|j9sviMyZ zFw`gvX{o?b6eve2BPi^`3Nm7flRrBI$W5yt2b#B(la>mk0;xbMkP19J8akd=RX3fR0gJCE(1kLrSd*9N(AAFQdQfVxtKfP##e;&ku( zU_ukFKnk*Hj}u)RN&(i^hqwHF+0`!5>1vtYkf^*NHbYZ^+bSTW zAKaAaR?2?LAlG&F!Ndxxdo;Vs48*}N8K7xu=$y~rTA@PfUBMUl?_H*b!tJXfKyF$E zA;_#SymB9`*_%S_SGK0Z0~3aJrPT%3ru}H>AlG%~?MEvp*1@tGW!@H( zP)O}c83GE@wf3LF5#rsn3i9@O+wQF<5wf{;0Hmb?sX!`_3f!pz%bWjks+WnS=rnHL zAZOX~RzHir%R95TZt`?U1>TbadB45L)tq@xdKdTGOE+=2-($>tA<0GNs6$ema~C@` z^EEM02(1(6x6qZ%;zUt{e)2lxBtDX7iTh7dHL| z@S%)9J3Y(3%p(5G#F=IMW#k*$)ZSj*7q7uXS*~xNUyhltiRZbTj2IkP4&% zsX!`_3LIB~T;E>e+LTL>L>%v8eQWbfXpm;&-KOe-Yu5(3mVYrReDO}z`2(kMW!+-h z?1l;+Zpi>$duRJ#4LKAo4zUkbsAQy4!H;X(HD;JQ36#*?^t5w6Pz=4d296usl&QlKndxZsi8Rest8cbPLNq)K)`+bAWbuJ!J(Zb zb|LoS#^=TlWgjP2^Jx}$;hN4e``q{~ZEA0~Y%Fu90;xbMkO~Yau>50d!1GEjGBJ-% zs3$?g3JoT4iL`vteAt~)J_t+5KA4ghb|spT{zLT7ZhY%3ZJjxDolR}5=+=l{kA7y5hsX!`_ z3Zw$5Kq`<5qynixDv%1ia|ML{1~(;!q!{G7&i*YG*FBnDWd;f!JAiH3A)B&)OJ-pR z>v91lWW*FFUljq0*_ZvNg4fOV!5(u9HMA?OF1U7WkSphHQ%M1Jr3?WD88OA_e&=nW z{Ipac6-WhAfm9$BNCoa#f&3n*t6jX))iPzGR3H^d1yX@KQ9!8E-EdKm&2|YVx;Ds_ z`(RBa1=N)?1QcY%6sOIFFFOGYCK8GB%-)5sgv*80Natzsvp?b-!F= zp&PgTMw<)t?|&LEiGq`V6_)V$$A7=?yj0zSH70z5eEzjHHwDj_o!MYk7-saQ5O1bj z-RW4<;emaoO1@9zFMH^BHvDFfFZOugD=he8kLtq7wpsij7wNEFl6@WfGi%TzMQi-& zSqmF33#`3ufG;h|iv1bswC7q2KBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*DwlbR=b za%%RrCTY|W5x?pDauh9I zn+l`?slc5pAk-f(S2hc&p;iaEuC#w^C2v~TtdI>9dU8t!=vusVF2wq)wJxhU2u@*L zE}(>rnBwHCB0w=aL1u+vMsEtSU)h=t4@?-^l~xyAyEe#`^R}s^fVxtKfP##e;^fZ` z0dmtS$bsf9<)ozosX!`_3Zw!L5BdKhuQm%#SId-%Qh`(;6-WgRuYerB!YnWq*ef9C zQ|Gb0^HE*S0li_6EBC>gLRQT;8_pN_cz|V9GT-|?n9#)FT*I|%gIqapn@S3(D`f~M z$cQOU_dah&8cm;6AQeajQh`(;6-WhAfm9$BxRe6<`?5g(Jkaub?>;yk0kN+r25o@%37N?SfZYDv%1K0;#|q zDzLoyAE$DeSc*>L=8bxm@h*Hzo7vkf`^wy@z||GV`|U*}pLtKp`>k_{_&4UEY~~9| zW_4O-5yLz4H8D_k8Sv@2$QeJ6G_%L_67}n(iI?8=^^i_$|)*#DL?D5KdFd~H^Zo#i?-F92TUqnK_uDzfj z<5KwAm41k)0l{61*)3EEcS{E7+B=)KC!u3k4h2C+pkyG_*v*RBn6E&pOt`06f- z8{4R?TTGkXP{G4b3(~W9whz{jLy_V@`(Q#n?uAp3&6MIq)0m8dT-TYmVg+ql*t|Lo z#KA8aplNF8oOx>!3aMQwLqI{gW@;!-zA6Ibrd1Gv%nAeVt^4*tn%3-13H2*m(>2Lo z3-Y<~L)piP)qI-8U6?qt%sw}MOPku;EgQ?+sX!`_3Zw!93M`A(0naPB$izH4jeF)g zVOgS1tG!1&v$tOE#8ZKHr9j?qFLF6&-jm+T{Z>#Kp*A~2`wfmEE!nIX zBd(0#rV$$Ns~9MRyCnlOO$_yQiVG&DYsEYSudpr`P(r$9p^B5QiU7sz%cL)Ots7Iy zL1p8hWyL_jp`9dlA(nKphb|spT{zLT7ZhY%3SaHojm^*B7DQU-3C~@f6lBB{Cs*^6 z0LAPCnH7e$9_662anLitp`9dlA@-c^{3M4|AQeajQh`(;6-WhAfm9$BNCi@XR3H^d z1;!N+Tn9HLhFAx=uCsqj#dVKnSDAr=#|~gycF3me-;!Av!n#~Q2^lfP$yY^yV)mo# zgV`S3K&91%rn=VdT8Lj=?5T3>Yq)L@Hhv>QaMxmX3l+lGdI|?MEeAczdHd`N_5=eL z23K%R3H^d1yX@jAQeaj4y!cc#eIhO1@9zFMH^BHvDFf zFZOugD=he8kLtq7wpsij7wNEFl6@WfGi%TzMQi-&SqmF33#`3ufG;h|iv1bswC7q2 zKBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*DwlbR=ba%%RrCTY|W5x?pDauhO8s|E(*TcF5yJi2Dx${tf{1cx>AOKf{d8rw7IZ#&s>1qv(oRK z0+xf+k!7R;FIPYaiT71D+a+Cg_5laEuCsqDR?wz}x>Lz6o0%}UB?B}~4W0A(TdPM% z9oFRnN=VmC4aLbt}|~x zT0vFQU1bJ}J^YdZn)b=iDf6~ip+f3i!KA}nK+jNbX#Xi3Av|V(`@AhiK9D4Kp}@3M zAQeajQh_^FV0rUDPW3Xe6rIM+8}%$(-s)%3cX?;_)=i!cslaievwAACh~b_2ni#0N4ES_h?Z^a7Qw6J*% z7>I*kGCI7bQ<@} zb;7blomP8~cxG?C+=-_G?@EEZ-(KW$&b%kRm;0@tG(v55i1r&CLt3&~G03${akYrv z&PQAs!A&DH-d8bD2zN^cXqp)6>l7DEOxKEe2wq`bE}(>T%|aC?Uljq0*_TOQ@LD&f zl!MB~LCcDPf_RN*Vh>$BzPfOtYcD9sxD>wHwHuqCzb%Ng&J&)yIw{DADNe5D zCjpAt2{J1TYdy+AW#gb{f_Y50-T6rlsX!`_3Zw$5Kq`<5qynixDv%1K0;xbM zkP3_|Ah-^0N(`|Ma$RTtmWt~h&8{*71&AmBX*uXw&f8~KuqPO} zFu0m4NPNA9Zzs^Cr2?ryDv%1K0;xbMa99QMd!Vj%X--$ml!;P-R3H^d1@1%vq0Yfg ziJ_JTxpM!usiuIsQigznjF{r&?=}gLn^r*xGAj)0exY(u**NH#;LuJIyAX>B!ypN+ zT^r=edD~P{KwT+AKtV=KajG+IlSF_vXLi%m9131LKsY;Mf>S$5>_Ti>Dv%1K0;xbM zkP4&%O$8LxU+wIcFij%B-^aNGf3sZ@;p~&;&xeQSXTApE+O&TRjXy+Spc3x457M%~ zt@MIJw}odeEmAOPe~GSn_yto(&-54bhzdTnFFOGYCK8 zGB%-)5sgv*80Natzsvp?b-!F=p&PgTMw<)t?|&LEiGq`V6_)V$$A7=?yj0zSH70z5 zeEzjHHwDj_o!MYk7-saQ5O1a&)1+{AO~-TWGgb0^B7fOKzq8>tdwj9S17Bgm7kg9} zPPWbB2f0Xx?UL;4*q>R09w}PmPtRJ|a9LpOZ3BF1QC95FNT)s5TJSktC5WD!dUeGb zN0jxv+V$R4v*BvUu?87aW17@F(UViNw>3$lj)?e8=a;+an>a2SEEi?llIiyFf=Ap- zX4!JjoJHT|o!MJA`Gp*~`QOm=hPhv#Iw#&)yYt0suPLfaSL~B{406drwk}+hV?S1a zc%6o;9UNTaZSiMA6m-WP0tNT3{qStQwwg^6 zH8e=Owt$CwF%+b0?cUZT3eTppdhHDuY7~aFRNyEIl%o`qb)*8RKq~M}C?IqhFIP58 zj3Ge=xt5&=g>#dnu4C4v&VE%}g9z?g%xT`kia z5|uZ^W@svKTLpyl@xIDtyQEtw`+$R7*V(@nD`?X~-KkvOYH;vN256cZI_LAZCZUkp zl`;erq-&;z;^eC$KyF$EA;_#StlN~zL1p8hY0ci0(8}f3v62fp7 zb)9+p(F)qMaKU-|$+)M?+tR$YmbIZVXw%w%3P*@-UqN#yc)f1kwtG{E>S;o8YEp29Rx9lr(rvg`3An&&qk$mPo>0R7!FWm(H z#yphGd?CrKPRlG}cxS#Q2I?*YJ{=c1Z#mf5+xe(2xONR;HoHynUXgh!e7t;wCvI$m zjhL>*>=r77`)NUX7WY;NecfIWk-`wx9Nd(MwAh><ocP+%PF7{M8_BE^* z>J9t1(quOfhgwhJpr+-ZWoqD@{ae#V$h_)QICxr@3n*S)Gp!XTUvmM9*$FZ$3~N2g zL1p8hX+|zMw3Eax#9rL^-1wpFr?Y^>Qbk3cM=?@_u`f%Q^F&^j_|_g3<^T?>1Ez zT${$;$RO7;#TCA~i{i#MDkHdQWQo}g6vF+qAT1LEr|g3jBZfS#%Pm+5>6(QqPQEGv z6tfd#Rv2El4@Riiqmv|dAr=#ccBRz?*RBn6jnCWs{B1$>b)N7%))=&Bii10EPXZLP zzkS}qFAVJ@u?w+jsX!`_3Zw$5Kq`<5qynixDv%1K0;xbMkP5s;0U>B`Q(}mJkZXJ& z>@EAZRP65foVS$>6g=FL0lGG2|CX%65Z2`aO2~*QPQEGv6tf>_A8eq~>OxapYj-Wg zuP*jfIrcTI8ZIaoC0Y1?9UixXnkdI|??5}tQ0=k2pA*j3CN1SeN>1&Oa0 zYNw?FsX!`_3Zw$5Kq_$m3gq`dUF{m4u9hhir2?ryDv%1?i2_2MgPRgVEe&$z{%uoD z0d=Je0Rv7h`>N4+;1PGWq(`g1&3}6&s(<$1=|%irm3O``DlT|Q zb)|oo{V(c%xyC{_Zu^Zk7wF&rG+q(~C;uue;qj0Ee&2bix&>=Y_yqas_?)*QzhRg@|QjII~#tp#}|7%@D&z(u}5{`WZNu$kc)KKF3G-* z{h2lBk)k#J^sI#qmj%||Ho%t_WySuCblP*R1)tMZg6PSqS68fYL|M?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdo0#g5dc)vYl<=>tHHgC<&V|(YLy5QfnL9W~fYbq(Au9P95 zAS0$Y-TOY6(1a_Hf^6F3MArtna^5zT6i`>n5Kxd2Q=B#zw*EFofZVhSvaBl$&(~iB zC`fu|D_hgyfeAyq(&~b1(|$B`kn1}0_M;UP>tLYR!!H@2X`c+8 zGH;7XD5Q3!3;_k{TKiAo2=Q)O1$q0tZTD7_2-(~^0Mb%{R3H^d1@2UV<<0*%)yu?E zbQ(8rkh5%gtDi;R<(=7EH+edw0`EzIyx(5rYRFSCe0b4_O%e;N6PHnq1`_r+`QP`0c_rqm=;SdFyIB8GS7Yhs}8GT@UgsX!`_ z3Zw$5Kq`<597lm%-(KQcluM9A9PeU%Yx7KKkY?iDrs{%g*9N(ke=#Y1br;2rZB*7R zrp<1s;NhnQ=~?dIJ}4U1E7%hZTo_!<6{K>xE_h(V;Cd*?hJ+JMV=@kMU1#2k6|`w# z^XfDZ2ft*1rm3NG=B-I6q;{nY0R`!rsi8RestAyqRzV0dD-681?%M}xTC+DL)URw! z*Cc-}$mhlnWgjP2^Jx}$VdBg(``q{~ZEA0~Y%Fu90;xbMkO~Yauq;{!Jg?*;6Z7aa z?wRX^Wr;ei_8#%f-g>zcPX*qU0(rl^$mN`QPkJx+TR~}r+UyYRH#mm0WV2$BYnkF| z5xt#{xH5v9MrgdRVxSQ2mJHA|G1S*7E|{3E74s0h!n#~Q3F(@JDo(yC0u-|^lfK}! zZcHf$m5qaz6$1r_c9PhISklEFx_Eqb;Y8P7P>^vce6?#gHa~w`5NVw!Ja=_ckP%ay zT+L4c6tfd#Rv6ZLl!MB~LC*w-c9PhI*mJt`lN?fkR3H^d1yX@jAQeajQh`(;6-WhA zfm9$B7*{}W9o&={VjbkV&i*YG*FBnDWd;f!JAiH3A)B&)OJ-pR>v91lWW*FFUljq0 z*^jahW_xf0l~xy;>RP*NA%1nSr^>Of;krTC_>Bm`U5nW*R0v<|DICfm9$BNCi@XR3H^NtOEHxP*=M&r>kYkM5#b3kP4&%ccOq$ z=isKqP)mbcxqsVKQ$SrQLqI`BOmXsen*_*Bs~`lK6^3=cP&ue<9P~_ZXeWtXh{c3q zkObGR4RYnYZ7M0Cu9P95AS0$Y)tRiyNuZVA(E^!ITtS;%%t&cKu9&xeQSXTC137LNGG zKL*7gA}~;i_1gz&+22-r!J*s2GnW=An6$q{*F5}!siSB5i+Mx^pIUOPL1cJxd7iQL z@^^Y$lPElXmya2QA8{F*(8q|zsDBJ|UFqLt|BJd`uCdUK+kT_X1^V|tjh95h$-fFq zc>LqP-*;ZBZowK8K0!YJ+M1h!XUxuQFe?l*dQ*ruQ;um;IJ>6fIrf<<`96`q?4jS; z@S8op*yDk(u;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?{R4Eo`_fu=chAzO*PS_GhHi zo@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_q)|si{HF8EUGz;H z7Y&w+vTez9dw9Vk?j^Hqxo6Iz@AA&SkNbCW#svq+MIU!@U>^(zSMPYZ8TLQ(3+C-3;lF3LH>@a=@Chq*Ndk zNCn=n0zzx?a%Ho`7*b)7YuR~FI5$b^I%Zw!>{qolh~Tcp>=r77yCnm3EnYeoV%HXw zgIWg%Jrf+lx?DgB88OAFR zN(!heWe6z9h$&9@K5s`FO`lXC6-WhAfp0;9{C|;GyUM4lWy(aUKq`<5qymRmKn`DF z7MKd`6_E3(^XP84DEMZ(gcDsG4A3++bk65*tsWtD zSeFYZAzd>y6enL50gBlPGAj)0Hl=b<**IvLkqZv(B(V#zm@o{I;M%kw4ISjV&b<9- z1yxOVl^H1Z@Jj}0+9yM&%-dpx3aNJmlMZtMJwv^r{ikq*@RZ!~khIi&`VxaCa;4|bRc+m`(xY#4um>ceQkZYMyO2&An>b&t2 zS4MExVs;A^!rhVqx;AAWjI6>C*5v|9$cQOUzA6F~voAO6g4ZkeZ!J;?ZzqXeh{c3q zkObGR4RVdo+YdQ!5q+H}JdZU7?U~}>&fAj!#q0-~w-kCY<8J^T%J{R>v+T<(;?GQ+ zS;k*RzM)O+?bUtd`u5e*JqavNo?raw%-6&~u~T~+$e%8$Kq`<5qynixDv%1iOo3eA zzDzIQyZEOsbM{@VZ*86la?nh?+f-d}?b;yM_&!*pvTiXrxH~@QZ6yN*54U81uI2vi zgCbJZxT}~s2u`l%3R1aTmxqUf(~C&X0j+__=w?%*b_LlvA1H==-LQ;bQMfft4Be$I zge-3j!gIG|fTpRTbLOqpBcu-NasefzYo>|u`M1VGD)~lc(1eq0v9Uz?TSuqE}shuQtA(lE~ z4_!RIx^SXvFDS^k6h2?WRhazzZ9$|}4xYyvgZ4~ua5X;(P|Qw{Sz%b~Q4T5_2R#!U z+DT%U^Nd~Ufaka-$1Ux>Ri^jQklaI&Sz{`Y3Zw$5Kq`<5qynixDv%1K0`Fb{p~JyV zi6JotxyJWzCEi&cDyZ(!>?*Txfno=+EjwgW_HW573}Ia^poEN=;^eBL0u-|^`%eY0 zo9%-=<`!ybS6W?g?b;yM_`H3~?;WVty+UW%4HP`wk^#E*&gN}H4n>PY%-cdGX{kUe zkP4&%sX!`_3f!>*`8`lqyLhLoW%>pZ$v4pBL0Z&<)RASR0`F7-p)YqMNI^E6NSx@} zAXn~#HI)=lSIQ7jkP%ayHW#+;kPDETRzVK24@Mym55mx{w7THhwLz|&w@oDl)Ri&> z6lBB{r@NlF%j;*P+4M;Tj-i0m`~ETN$tqHT5d~z#=>R_WQs)7rdTR;#R)QgbvNVjfY!rm{GHy`Bnpq;L0^gSNeC^|Dx`fYb37_nnujTd>B2Pms^Qw&teb z8M8AR%nHMd-W1}^l&d=(YdSoz&s53xiTq^`{mzEp?D54O4}66MU+hs`IN3IfALJq( zwo9_FV}E81dZcKLKRs(wBc1kKYr*Gql^}X@>eUr%98uQuYS(*H z&4#NX#~NfzjcHQzL{Co5-qs|IIwImXonP*vZ{oOUuw0aFOQzey3m$PVnPtm8a~6G< zcV=(hYR9I?amjky{4!xU9nH*F~}tg*}8C1j=flU5FlQs;c5p5 z*VMv(Hbg;p>>*Ha@7fQ|rJh@`c1dxt)y>&)S8xF}^MRq;fURzVwdwy^Qf;#%B{r?M z?reC>pJOVJ3Zw$5Kq`<5qyn)50u(P-HVdhk zb0OAWt#w(=L2wG|asee|#1toA6#okTmuvRQh|??M zGAtEH1yX@jAQiZo0&=s=-&|t7pN@r6+dCiC1=p?(a^*f)Q%M1Jr3?WD88OA_-uJ_1yTV|0pVnO=cBsd+BEi42Dz@Y4<=TS zzvIK_In9K@Eg7I`YUrHL-&#FF>aZ>sP(r$9YA8;=DgqR<6J%Bx)(^xg2bGP3rWv{5 z&`uJ&5Q_=JAPKHb`_a%ruItR(k5*9CbXS>yVh_J$fTn#ibjrLfR;ZAASMUY?TVnf9 z;lyc`g5ExF+r8B!LbkAdz~h@7zr^=ap}vpZGw(@xzjZFrvbp3SH##-*g(S0jDzk{;o%xy=sJjgK z47mtiG{Yq>_6RoShWj1lT4t1zG2W?S<0roly&%%MU&`}XW6+){4zA`ZKr#Drvo3h8 z^(Y6Gjf0*E4(%kd3$d6m43gm5wLz}&dHW%LMRkk8p=!FT%s|0o2dw*~fK8jSe@jMT z2CD%}K(SMM8_1t7sX!`_3Zw$5Kq`<5yi9>y-@Z&Q-@EvyFLU-?tZ!|e z33AX(yxUY=aP8V4*Z4kIqq1%>IJi4L=j}%W1rN7mfUdo>eXxcciWUdj2NUXXFPwsG zE;=Wg#$+7iy3V{6D`?Zg=GAE+4t~i1O;bbX%v+OCNbO1)0t(VKQ$um`RS_UJt%49_ zRv371-M0_Yv}SKgs9)Kdu1Wq{kk5@D%05o4=F=?h!o-poDbILKP=p6#>N*obG)eOlZOtNI^F3aiVL3Tsd!>N(!heWe6z9h$&8+ z3(LhQoD~K)t%4k7|8}W^lG0LvyHOzL?cH3eEcD(Kkb5ime(TjcD*armzuH+p%28dq zZT)T@gIuzZ?UI~&3{Ni4Gqzs-PH$@xg~#vmF@x|UE@Kn=7||H@k72GW{k!ac zQTNL=7P@iUZ?w5U|Nf`(k|;R&S78Z{fBg6R&P&xTSYyH`$md^Mb5rn)*_jPyg<(c- z3h`#j)qgRr>F~flQzhRg@|QjII~#tp#}|7%@D&z(u}5{`WZNu$kc)KKF3G-*{h2lB zk)k#J^sI#qmj%||Ho%t_WySuCblP*R1)tMZg6PSqS68fYL|M%v7j_G0BhfOwsTs~sF%Qw#gq5Cz?_ zhd{x-Yd({rvGP2wat!{*tFidv*9s+j;X-C zDNydM;H)2&)AaR?wz}3(nh5#yw@;mgcp!tPPDpo7VnQI6`du z3YtT~>vi+C-J3!jU$6DoE_fZ^Ar)3r~yfa@D19g`HpN@;1 z@$*PCn;jy5f9D*~8wR5>Yh0;xbMkP4&%sldw=$o1{Z^zyxnfBG_K-^KdY z=9wS|&2%^*DL7NsfuTBGT@Jj}0ni@K1-kO9$YFEk-P>`;f8j6#ziU7H36@(zO z!oYj$zI~9UHG5M+{mRyKP4d@*d~WHi^o?NPIT=B1sRvZSG#s& z^Yga_k=A*_b5|z?88OAl)%+wtF*`wKg<-8nIjC$L^h|JQCy8B%J*PWA$srX;1yX@j zAQeajQh`(;6-WhAfm9$BNCi@XaRmg|!A*%F)ot5kfhH{#NCi@XR3H^d1yX^-Dv;j;b+t=# zx>}}8lnSH*sX!`lCkhC44sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-sVOaMI zm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S@Y(^w z*%1?*+DT#;V%OKt`FRehz`ZE2-1qOL+^jPdNCi@XyH-G{-e2wPmN4B$e;?0AP z3_Mx>e0X?%=Ii2W;fR0yV^I7d0t1y;zkQIF{cWWe9J(z$b7_%+N&8E5&BHI4I(nwR zm`7CbsU^o6M207q=NVfsf2X%KiNfP|`ItfY5tp$EeT-;~`o}QWmHu7!zo`4=8VlXH z?Kj$7pnw07OXMh6Xf%+t+^?9#_Y@nv%)Z=H-&gJ<(MXg zvuiq@W1p##?-TjU9{QaPzuDu9Js$W93%=N+x^S{>7C*>EI&7C@U&sE;8uUof8h?7$ z!iLKNYi}FiON+8%e?~g(xz>Wu=_*0=Sch=82x1 zn!T+_8g)d(Z#uu+Mc>46(O|hK+m=kXhZj8JUNXy;d*&?qF7M3Vy2&r(z|H@LrZ>#} z`qVk`&f1+XUVBYZUAkhQ%wv#C7P58Wq8$6N0>tYyT;!RHErq1%A1Zno8IlBl6U+O-8d+>4mYoNMbCaa5W7eh4epOq82<}?U zZlOZBTQWe`;-zyTc5Oj9sC97AGr=LO%LSB>5mTIMH5)Dg+ML<7U=9VZ9UzMnBsKr^LC`s^hpI$fm9$B_!bn%{}*|+t9-gz zrc9IyqynixDsXrOzRG61q??L;z(KC-?B9wNv}vL4RIJd_hb-92N(lt{u1)*V&_S;2%-fGvP}Ou-nSo*tzhr=>eKK^)ye(F!ka|}z=`a`2Gt?W}e+owk zkJ;ZoZ;O!+B#B)pFfA2G1yX@j;7%1--u#bKy-X}cr*ZQ}Jvk zx#4~Xxt1BFWQ=#J&Ko~*WdwIEX17ow+$|ZPYg6{Y$SMqBT`r)6jF{r&t0F)#`*O1` zc)fD})*^-Qc9PhISWFlONpS7jAlLZ3{gCq((bsvx^H^iho+%FQygdm}%zmJGOQ9Dt z{s!=&j6XX)%f8Ga{>;RgW&CC28`{*~Ufox&Z(l9llfd%i`Nf~kd`%1#JGHlg{OOVk zqynixDv%1K0;#~u6v*}M%k=WSi+}nuXWzy8*5;WY2hGI0P1Ob0t_^aH?}Ife>lTB9 zyW?}-Rx(iVa7za0TJGOIC?ZvjyNa2E;N)tqAeGB?!2=Tp*F!-zB%Ej(lW~yiI`dYn zpiK*#SEqqE_$320O%0thZ%slWwJT)^C`i{#4aLbaq?9WpqPD` z^aZbVV@f%wY#g+#7$`Wjlf*8>k}mep#pA0BC%X26f{aVyt6jUX`T5&|Nb5Y|xvP_c zjF{r&YJL)+n4KWA!m!q(98@+AdL}rulf*8>p3|M5mb*4_HU`U?$PWjGf?o@0c^_-*_8cTG7CdkmkTH%Bc?d{ zst8cbew2MM+k+dZw7Sq#*VtLik!w;h?7Fpl3O6 zpIyP8VBo^wYOWyh^%}mNK$DgVqynixDv%1K0;#}Z70B;_y4s~VT`f~4N(EAZR3H_& z69t4i2R9{#S{mfa{oAIR0_sW`0tzx>ij%+FBtULj1tG|+Fs%E9%0Xr0pl5zmIdtLbgkC2A(W`K0G`>^L25x zaKu0UF)02Jfq_b_-#$po{xwJ^Zr2Qqj=HVAi9X-=u%p)rJ)RJQjBEyr* z^Ng*Rzth{AMB(wfe9R#Hh|Ac7K1MV~{bQKxO8+kVU)23_jfHO9_8V<3(7*p_yd(-v z{#97Q;~)S1zVlLb3)YzM3G(^Z*4z|4V|HePSz(ybn?k&qa!ixL*)<){vCmY=_lf*v z5B<)D-|X?l9uItl1z+q@T{zh`iy!179kxrduVa5^4SJ+#jXynWVZ&vCwYLrMrA1k> zKO>#?Tx-GSbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh&ED1|jXEOYH=SSZ zqHp53Xs}$AZA+%x!wVj9FPUY_J#!X)mv?4w-Q*W?;O2ir(;Mb~ed?TeXYI}xuf3+I zE?u!t<}t`63)#AGQI7ps0pfKUu6A&6jkm?04N=e?dk7TVyY|DgwU-jHOHw$y>5>yo zv(=5_;B$q*&~3n0H`{79Nz~9F?b-q!?!{1$uC;qxlPEl!%IdZ6W=Mxr;D8F01J;x! zr2?ryD)4?45L%0uE1M<8kP3rb%g%$sxk*yjG3!!izpAZ41a~cFw@@M6Eg7I|@zS{v zySAVl)H*omncxuCu3a1C z%6Z#VQb1iPLqI`BOmVvRc{|c*`lJG>Kq`<5dMINbZZ?KPQrDv%1K0;xbMkP4&%sX!`_3S3fw{C(La z)ogN|n&?5ma*#T*j8x#|3J4+bzRG61q|44e;2_s^_HV@s+O$x2D%oW-69%_rfTpRT zb3T7-^$4lMx?DgB>6)pbIQgmwP|Qw{Sz%bWDV2lD#zE7JTySV7iCu`rgkg{b*QWhw z=pfg1=Iuu-sA{^a%s{b+Uot?`J{dY?-WDrVNWCkVbeIe18R`w~KZPTN$Lw#Px5dZ@ zlEf|)n3f8p0;xbMaHk3^Z~n)rUM7~J)3|w~o@L8h{Ve(}@66u1$APh}P{yfa@D19g`HpN@;1@$*PCT;gJnU}J8$-$AZr zMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{LLs*v!C?O-JIQgmwP|UvEtP5VR+`qL* zA-tU=c3Hw-L_)rxw*U+46cWQY)ClKG-lW!*LCKt zSV5Z>Hm?B#aqvq9Xqp;2XWp8GLTXpa5Kxe=nHq|duZjS%M)ErZsz0 zLjB6tbWQTtf_!fLQ1)?RHJ@g27beauv(Js+(x&!y%f>QyDv%1K0;#}&0?VRx!1GEj zGBJ-%m6GMHS;)03kS}_m7E3C@}l#s4jsN&?SB0w?w zGU*Fm>&BFFP}w+WSus#>XeWtXh$UU@p^L{?7fy8T1qB(G!dJU?WApR31(DWy!gE(A z1sO5L$<_QMKruT(W`$v`M>(i$9P~_ZXeWtXh&`t}Kgl5#NCi@XR3H^d1yX@jAQeaj zQh`(;6-WhAfpG-{*TGGRA=W{z>+IiBaowZYRc4^zu>;ta9kMC=w`3NEur3!+LPkt+ z@>LO_nEfdGV73Q0P-%6csjjuV7UEYId#W7!8m=3Jjo*k6+_jk9LWS_Pp29&*%R$d_ z-afm6J;A_*!PQ(r;_EehJAo!G6-WhAfm9$BNCi@X!zz&919i1abGlllOq2?w0;xbM za3=}~bq;Py47D`KmHW3%H3ig_G6WQ4#1togw@HB9vPi^`3Nm7fQ=Ms>Bm%TKvzwmgQ1IFT!r2iMoZ3lZ7h>1f z&-r-{sldG`u-y0WrQEDD6-WhAfxA{fsor1h?3OUyMt>jYl7(!S_z{<}34M%cjQYng*OmTV_P?n6gvUSr`+eu7>K3dq;S=QZudTT$c*g9^2D8F2qc??kGv$~jg|llqo@1Y>lJ67w z%O3ij4Zqpri#;Cr3Jbp2qq=aiZ5BVsMLKMkWM9Yr%o_Aa(Hehx*20F%0&8y@;7g0L zVt+^b^yJj5E7mxotmoCP_okW+S3{09$e0?_q~?j9oSMC@Ng8!T#BVyk z+(qBSanWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx-nz*z!>FG+gcA;2Lj>KO3T;JN6JLxOeS`XKODdVwa?FcGD#% znr5pT#lhzafuY-gt!}o}Y?7#8LFIP58j3E^Uxt5&=g>#dnu4C4v&VE%}g9z?g%xqOE}TBL9W~fYbq(Au9P95AS0$YZ7yuxGZ!E?t%49_ zRv2>sHqdYtCV%g+nJ3JdcxHk2OmVpPdE09;@l+rcNCi@XR3H^d1yX@jAQiZz0{Q#0 zORCxAIyKRQfaM@{WErWz%M}np;(e9Pc1f3=eZWDk>+Ii(6|`xg?o_hNW+n`7$pB4L zL+5<{*6I;bhjqDt64EtOLviv|5ulizAhW`-Zc{1;m5qa@8M)xlP7=EiiwVOZ39e21 z(a=Gz>&)AaR#4S+SDArg55Hu9rhPJW%DgRBsE~SBFzGNC&@T!OSEh*ImnGp&3qxrte(m&Vt8l1CI;#*13n!WIpgP%X1K(~9>K=kaKD3G z%ZySo#yeH#ji0zOg1Z*8Tc{B3mJHCfDf?h#6^5`b7f?b*OmXs65uliTxmg#yUb%m3 zkwSPoN$j$Ozlelg_hi0q z{KS=Yi)phPDtNdh19UC-Zyywq>J{uNW)6artGR+yF4txKXRF}qdML<-gcD6;h7EFE zXWohxv}s}U8ZZzCzhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q+Xrb{ zvo|HwuWU`%B!4Z)=f)3ZA17AxX%=^3;>V^XN40nd^jQi8`(J9`VfHdbtx%1>ThcdB45L<(zp>dN21|L1~2A>=5lYIEJ)j zvtp2Inc`{@y`7J^GJ=~%XuPjtpb+ks4A3+&)YmC4n3%2=^ANnkx?DgB>6(QqPQEGv z6tgdrzTmZPOeqJIjf0jI0|ke6lGue<(#0OSczku?MA!bmy*Jj8mJRnG6MyV9l*BikWJaYC9^Pub-92NGGdC8uZjT0 z?92XB!RyWT!5(r8HMA?OF1U7WkSphHQ%M1Jr3?WD88OA_cIR!O{Ipac6-WhAfm9$B zNCj?Kf&3n*i(S0q#WH20R3H^d1yX?x6A8iq}lXI z1+GH@srUWss3)sP1x6H*5vTM?1+G&8r7VB7v-{{ow}W5Cxnv>RB{>0)mUbTwPtSb* zP{+a%|M=6O_`@#-DzSe1AT9gDN-sEcTX^EqA_bH7m*|>@Uods_On)?wsNiEujx~r3 zk1o#>wqE{DZ)*~T$M5nXgYY9RV-xxi(HQmDVXh1PyX^0%`{f!7-MH;H+FYQ2zG}QA z3Qqo2Si<8Ue|^(=sk#MgO!x@7`>8cI1<#nB*C(`=~&a@f!$Fh-zW0B zJ@jiEezwQGJq~<@1z+q@T{zh`iy!179kxrduVa5^4SJwxjo&|MVZ&vCwYLp$-=eJ8 zZ;?)WuC?G(x=Ii|Iri#`HI69jd9~}ksb<5~kYf!prp7d>d7>xBW^ZefMja9HtIjWX z(N}StHCWEdwk6Z;;Tey(m&~%|o;i!Y%R95TZt^oZaPxmd(>Kih^3*x;PTHL=UVBYZ zUAkf)&0~;D7P58Wq8$6N0>tYyTgMFQ zE4YA~`M}U^z*aZG+LVIT9u0P+#HRH&FdH5!$T1a21yX@jAQeajQh`VT0f?6?n}t-w zu{l4;b)o%RD{a%lW`%5^P`g_)K-c1>b0OAWt#w(=L2wG|asee|#1toA6#n5Kxd2Q=B#zw*EFofZVhSlKZ!)kd_Lh z0;xbMkP4&%sX!`_3VeYIkk!=6^kv%fwQ28aHo{vut^*pGDv0o!MJA zc{-#5?@58Y-=5`a&b%k({noie%jS}UywRzdFC>}OQ<+5!@66Z4K;31)XUIkHq8To6 zu}82mH{9=r77yCnm3ZOT3vS%o32%LSB>5mTIeRRkzz zUvAa~uNUs$TBH!(P7=EiiwVOZ39elm|zNwRtAUK{N4gQ+2_$YlB?l`(TaA zy2aq&?)aRxl?)U-+>!yhmixB{MWm{6S21%CoLtQnq;k0~heN^XStO@`*1%+Rvnf%# zg6y0R6hl65SjMj?+?pna?$Q=QmbV7sxmz+o)6~#8^VaGSQipZ9fD+O*Q$um`RS}?= zoglNqfPnk_Y6>jn9o=m3^F8&8J!1g=;#?>~rI9X;XW9%f>QyDv%1K z0;#}&0?R+P20Sn1A`|oIH13(}gk_03t@a-A%-(vr6Hf)+l>&LcJnc&b)61$vc>{16j zX{o?%C?K~{W>%RBqynixDv%1K0;xbMkP4&%slYo|KAOKf{d8rw7IZcjKW!AaMLQtwd~(oDMCH%N~;U5 zT^r=edD~P{KwT+AKtV=Kak}YwyS#oznoXZn;5rnLdf&f}da{aCU_=2KaY~<5;5rph z%JNq`yN^zEJNRXsOBS+Sk`wS~Y4_pq^vvfEbu1k5k3S8HKm1~#66?1Q(y~9S^nydT zg(og8QZQ+MiLQD01ye`Q^hfiE3O=^vScAy$=<+;a>*eqCwkA<{{4O6d2tVR7HlYs@ zjZuFc=DN_o%l?kKU#_vxjoW^s%?0}BtHw*B;N)M0B|QG|*EgM)s#~zegpZKBpIUQM z@Qm4+4Q7R5MsEu7X3Eu_jx`+~*d0~!eImcxL%+7+XM5b+He421d)ol_Ey{}h7U{I-S_?jQz)DaQC>ilvSeHF)9gXOGjTQc1qp7Dr#$t+v$nX~A- zyfb_2CO?w{H~%*@eZ$-@Pn{F*q}}P_wbvBYr7QN)JO;UBAzK$N%CR3SK)g=F)ea7> z@wWJbAqu)<4}pSv*S>8o_1uEBONxW7ZcdK7f(xjb4-DM~Y;_Z?O(|II(O^ePY+7#v zv*DqF98-Z*AQeajQh`(;6^IlNfOxsGSx7}3oAZNQ7uvtI(l#w@R>%elwYwz)bS+*w z7h?U@T9?%v1gEer7f?b*OmXs65ulizAhW_Sqc?@vuWU_+2PO>dN~;U5T^r=edD~P{ zKwT+AKtV=Kaq?$}0J&)ugO}GLn$fi9`bZw9;=WSC- z0d=Je0Ru+NO$W5ytxqpiaX{kUekP4&%sX!`_3Zw$5z!#`M{=V#D7yWp# zOqnPZ_#zb$x^C}$R2N*E#(v5m*Jbv>#0v6veE2-4nJ~B|12jzyo%8uyt4By3*5v|9 zNY_ja#mQGifMRxn%nHN$fmr3BvT@KfBNrUnNn#gbF<}@a!L@1M89K;ynR)xs3aXm! zDl<^*;g<~1v`>aknYYCX6;kgCKEr=YZ2u{oIIU99>*sB|x0*!A7Pb$VmI|Z-sX!`l zg9{%=B-#kn-(^&P6Ki9O9p6~8aij*nuJ1X zSIQ7jkgk~;ij%L30J&)ugdnrRzG~QP+PzZNR z256cX>gyC2Oib5`c?e!%T`r)6bj?B)Ctno-irJS*U+`Ktrj&!q#zD)9fr3LjN$f%_ z>0%FEJU+W{qHE76$hZ`~+O->-pT8}Lw9XTryE-Yzh$&94=0^dF*$FZ$3~N2gL1p8h zXM#gJN$f)GDc$Kw4yiyYkP4&%sX!`_3Zw$5Kq`<5qynixDv%0{DUPZ5~kbeFXLRYknNJ3fJe)p4~M5`J}<5oj`+u)2E`vDFi?s0+XrdcA69z7 zq1(a}mli3Qw7*2xJp6*Gqi6b~c|-*tTXL*HWO#IWp0M@ucY0fsC_H|b4;h3XaT%M? zhls|gzYcR<=-*|3N8K;iSm?%WztQFb{qt4hB~ftlufh@@|M=^h&P&xTSYyIR$lXt^ zxhZ(Y?92wU!Z4#Zg?KaNm?nj@YdW4|cT~yuiTrL4{o00~?Qw6917Bgm7kg9}PPWbB z2f0Xx?UL;4*q>R09w=Jl_fJ~ba9LpOZ3Eo5C@c0`q|=^jE%=nK5=2jqy}DwJBg%SS z?Rsyj*>E-FSc8nIF->Zo=*h9!+nS_NM@0Op^UGcIRUBsxmb0>L$#i>o#v|?}vuwF% z&Z6(~&g`w5{7eqq{NK>@4RgOdbxypKcBhNiUQ<+;uGmNO803PB(!xk6y*HejopZ8e)DYG{yl zZ2=GWVkk)0+P$qw6rN3G_1bqcq(drjg$k4_tSL)M1yX@j;QcBfv=%Q{HcN~l6$ZJM zod<<;lccU=)}_vVRa=7y?pn-lp+dM@GCMnBug#uyxN|fZVhSLXcTu$o<k_{mdzyxd81P^Uq~{m zr!tEe-kGn7fx63pPsc@0_-UjWE^)C(urW8>?;zJQqm+#CPSt7SC$5a(uEp#YDulZw z19WZ5J{VbrA*{;7o-DSW<$ zt1usO-XhW}2hU@TL3^e+xSAgYC}zKkc}t0BHvR_iRT+PFdY0YKBEDnd%rgEm@*CRJ z-d@}n_k^pmWi>LTCYi!&q-7Q{yfa@D19g`HpL9tDQh`(;6-WhAfmGmn6v*}MIj%)H z2T8>7F4ng;&x8hPCf;qTF1U7WkZbuDlfvij$$Z}Ui7V?C(`GkR@Ni28=vwaI9u$%4 z73?Zz4uX@bxq?(K*98wu7+enp*^qFeY0R)euFK3@v4S=&Y+eHf;^3DI&@?r4&b&1V zh19N;A)p{#Gc^<^Uljpz(<%r-W`%+G)_waRO>6e1g!+}O>6+v(1^L|gRoTah)qI-8 zU6?qt%sw~%mNvDww`?qPrvj-!Dv$~cD6lMA2Rtw2A`|oIH13(}gk_03t@a-A%-(vr z6Hf)+l>&LcJ(X9=dpZcHu7o-DSWkSH#R?iTM%iTCp>p`Qjif-oLtS10u-|oWL6l~dX$68 z#zD^nhjxAmBX*uXw&f6zfuqPO}Fu0m4NPNA9Zzs^C zr2?ryDv%1K0;xbMaJ35L_ds3j(i|_ADHEjvsX!`_3fzbSLY;$~5<@Kwa^?PQQ%wPN zr3?WD88OAl-)#~gH?4vYWL6l~{X*rSvT@Ke!J(Zbb|DrMhCvcsyEe#`^R}s^fVxtK zfP##e;#6naCW!!T&g`bAITXBhfN*xi1gCbA*oD~j^>ccjLn?493M}{iTPZi|Oa)Sb zRN$r+P^$M=JG&)Jx6xn5xnv>RB{>0)mOmd3PtSZ_TrC{&k3S8HKSW@l66?1Q(y~9S z^nydTg(og8QZQ+MiLQD01ye`Q^hfiE3O=^vScAy$=<+;a>*eqCwkA<{{4O6d2tVR7 zHlYs@jZuFc=DN_o%l?kKU#_vxjoW^s%?0}BtHw*B;N)M0B|QG|*EgM)s#~zegpZKB zpIUQM@Qm4+4Q7R5MsEu7X38;53TM}JJjd>+lJ67w-5&b24L{rC-W~_O!h$dMs4kpr zo5c@ukq+A>+1If@vj#m-w8rnBw6Ni_z}njexNlKb?6*j#J=a?BDP1Lqo*a91#TrMH z^}O2k-c+;UYRIt$8B=4L)I8CXW3#t4Nu!R4_*LhZyXdPp&KfLdW!sYJ_VA2H+)HNJ za?hMa-{qazTQ~Wc9Ju+vq3IjuetGJgcqi>n7q7jhs4iWxkLEGRB@5ZQa8Zu^SOMa7 z8m@M5aE-Uc9}H2@9eW5A+`IPeleL!;u}e}oyXle>O|#XF;^1?Iz|d{LRyW&fHc8ab zAnn=$9`40Zkgm0RTazd}o6737?`BAcRNx8~C|6ihmXr#l0;$0JRX}JhUaoAG7(*%y zaxFU#3g;$CUB|3To&Bn|1`*t~nB78!aJOWDuEk5|LhRata!~8wpl5 zUBZd34RYl^SW`&>b)^ge1sO5LX>(!gp1AaZ>sP(r$9YA8;= zDgqR<6J%Bx)@@4Vpt5n$G$R)r+DT#;VliPDB*C?5-x)f{b(wkl(F&@X?kY1-?BSOT z(6mp6PMNpG3KdfC3ML)q0(ydaL;FwR2;njN>*sAT@_{6=3k9a70;xbMkP6(W0?V8K z^;9ntOVMfEyiw1x<*j}eeV2D;Z{6hSkP5sf1@eA-ma94Qo|N}n=MpWOOAhizr)Iv8 zWL8gQ7BRdtUlRj$mjR!Si=6P&NHbjGVvk^BZn)n;u4P6k8RMO*)5cF+8NpqP*)3EE zcS{E7+LV1TvI;|3mkTH%Bc?d{st8cbzTB(}UN79gwMZenog{Wy!k4dAOX{_ONDyPrjT$HbXs{AJ`f zw5h$lxG(MrS7pm;WJ*mkh1E#QEMj)Uf& zi*gQa&Cs%U?sa&oL9+)t=9tyG{;Y8DzVS`+knYUsEZCcp81`Nc(FBza|YUrGK zYZ3~nT`5CALAqvYC{DgA0_3Ju5Q5AK1MjW-_CcD~>`e*vD_hew$zKZcx$&#Aj}xo; zG>f}1ab}r)Zu~86YHx4ZSmsUzQh`(;6&O%pS+ov#UdTlz=Fw@~GuH{r5_MYbJ>r?Y z^>Qbk3cM=?@_u`k%Q^F&^j_|_g3<`J*&*6*a13e5X2l@aGR4&*dOII+Wdt{k(0E_P zKq1^M8K7xmsIOC8Ffm;#<{@~6b-92N(lrZJoP1RTC}v+KeZgzpm{JZZ8wV{b1_}=C zB(V#zq>DXt@%Ze*iLO1PAmdW_YS(UTe*U%~(mGFg?&_o>dI{aY%odo;Vs3=}+e0Nb)dHf8^o%)$`Xjq)tHzEXgEoQe+A$+Z;a8T27(6gMkPp)83FmPdTHCK@MdJW%B zph-&wQh`(;6-WhAfmGmX70B;_y4a;TUMy24N(EAZR3H_&5e0-g2R9{#S{mfa{oAIR z0_sW`0tzx>ij%+FBtULj1tG|+Fs%E9%0Xr0pl5O@2_@tOPFq>zl?LqLbgkC0v;`YJ{+E&`MkJVIN~3F8Wewsz(6I|Zy%&( ze^}`Shi(f`Tw0`H(*6=%^Y9C%j-Kg{<`ETqY{{_(k>SzhdBWDq-|1~lqVV`#K4cJn z#AR$kA0ir~{yNNcp?{bC9d*B4W1$J^lKY_w#U6a4t#|LU+hs` zIN3IfALJq(wo9_FV}E81dZ1{H-#=+#!)1ZBw+(RLqO90&kxqNAwct~_N)SCc_Uei? zjwtJSwd=j9X2aExV+}H<#x$vUq9?~@Z)=i99TD-X&M$Y-S8<#*SkB6}CDZNU8IQP^ z%(CU4Ig7r_JF~ZL@-sPb^M6CrH_ZL=)H(4^+MO<5dreVYx?&&AV~|T0vUTC29Q&~X z#OpL%?cm@VZ;L+|qM$qW5Gc5J?b|17FC}7^q;PiAB`2C@s~g3^=L&(L+kmZZw$*Hs zsG&jHwFNxfi=iN0YxlM$QFu0$)ob6)kPfN96)I4!u%;|26-WhAf%mI`&|17)*(@=J zR2bx1b{-VYO_I8fS(iHdRc#F-xN9-Hg$m(r$pBr8m(GRQwFTv%*1iqoyn z+mS}oClyEqQh`+9OHd&HU*yHE^6_GsGEpj!3Zw$5z||`tS6^Wkm1mF%*a34>cQK-1LFIiJ6^dW6(rT`r)6bj{RI zoP1RTC}tPi^`3Nm7fle-Ug5Fj_Lf?Ux)m{3o< z(&~b1)0m8dT$h=*Vg>nEXnAYE!7mx0X=>=4-#eIuLTXpa5Kxe=nHq|duZjS{RBNY{*0ajMm9k_gb|%)0-iAOx8eh8-ZB?O8Dg!Ks}jb|DrMhCvcsyEe!*K5z5$ zw*}GHdBXEpW6+){4(_}?3Q)}c`gse#Ftn4zF2ts#0;xbMkP4&%sX!`_3Zw$5Kq`<5 zqynixD)15ogrLDqi6Q<$uJL`a#?JCkLGF&vXnL~b)l)QwYwJLR~LJ#9Qzv94a-;j-l5HIsNk{IQ#hz;Ip|r=+b37B zCm6UexSA_SXExxHmI|Z-sX!`_3Zw$5z)dTV-vf2A%Xhq3rc9IyqynixDsUqT2z3r_ zN({9$$d&uIO*IA7l`;erWW*FFf4511+_VZpkXd0^_Y0MS%Em#@1c!E#*o9b37zRmj z?b;w$&fBJv0_sW`0tzx>ic_6wn{ zu+j?--4>p>v`E3E{Uy5Q;TKFDJ<}h}BP#gVl4A`b!=uadgsqpq)7zRv;qkkC$RPZP z%h-fIL^MYIb(rfy|1SGG>VCP#LN{*vjW!qPpRXD(iGq`V6_)V$$6w!cUaD@v8WTQ3 z?tW^`O~Er}XEvA>h8evn#G5I%`%D!c*d0~!eImcxL%+7+XM5b+He421d)ol_Ey{}h7U{I-S_?jQz)DaQC>ilvSeHF)9gXOGjTQc1qp7Dr#$t+v$ znX~A-yfb_2CO?zI@@9P|wrA7mvm>q{ASd4GDx5A}dreVYx|i)}9)n!6kooH*UX^ui zb8_9BS%Vgi_y=!`KNzgI>?#X^f_vA#Z7%iPg0)MEgRO2(j=O>jsF@E8-3DxR6Rb@s zSnbhZM@np3Z|j9sviMzsq@@D4ra-y1g0tRKAQeajZdw7M`gpmrSz-(|I>>dQ{aY(} z(?VS{FKa=eC%0sPuEk5|Lae`9>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RU zz=WY)X?4N1YlB=lZ<|UAs4Ha%D9DH@PX6o=AUCaoT+zIxoU~LR6-WhAfmGmd$p064 zu~~4uSf)&r3Zw$5Kq_$c3dq%0m<6T+dj;fl>NK`@KB~(ppf?P1Meg?cCkxzyjZ48lnQ*23J6`h8!ig6*)HKk)7ab^uZ7B<_V z2IAnC4A3++bk65*O+q2HD`f~MNY_ja#mQGifZVhSLXcTuSU(V}98@+An%3-139Vdi z9V@x;#Pv{+4GAZj_MM@FT$h=*AFZHG3um0SpNxCTye-XZYgro_gEp=Gr*MSW_7yaT zg4fIDZM!#xIKE!%uU+s;O9fJaR3H_&K?RmK|Ldt-CYGYpxOtAr)3r~yfa@D19g`HpT3LDTfvKFHakTA z{tm8P8{}GMl)}f$M|k4KHYy{gYcacp3gLcQke>NEb)@W(f(t`fmkTH%Bc?d{st8cb zPLNq)c-cM}k;2eU61xzK3Bw=>u3a1C8lSfx;%U$=1_%ENEpH8ihg&j0*WTH@JqjJW zawy0Z&0ESjoAEb*ugdtd)3fY;7V#YuXO{7ok>Aj!_V(hwa((+^=^h1^N6*jxbmnVf zpxCLs4dhRkR3H^d1yX@jAQeajo~J;rZ=a`^?_K=U=Q;ac*0+Kj?VXS6f@{|XxyJXw za{sm<`nq4r^H^iho+%Fg?w|n0>{ql8Hc)AGp{b@Z8QWb8@vDnHRgQfP>xFv7{;f3G z4aA|=Q#hz;IcS+0IA{OX^bsbGkfdhPCONOR|@3)_AHlk<~`}X+;0V?5h~tosxG)TjlGdU zu4RfVe03Majcrs$aMQ>Vvl}Rc`)NU1CI(K~2P;Mld0dxUuoBWW3sszaRRkzzC&;WY zylfwgP_aiRN$f%_CJgOLs|&7O8{`_FxB2f+(BP)T5dR?8_&(Tc_HU`! z-SIhXD;X$wxFrL0ZOZ;FS%o32%LSB>5mTIeRRkzzzoLDxfl8|jO?9o^wGh9$*i+@$ z*RXC_GQ|si>lx)>(U7NYi`gwsh+XR`9IQ!r-nE>!Pp)8BF>?@{T+J0EzFw%EmI|Z- zsX!`_3Zw$5!0juL-vf2AYk0g^rc9IyqynixDsUqT2z3r_N({9$$d&uIO*IA7l`;er zWW*FFf4511+_VZpkXd0^_Y0MS%Em#@1c!E#*o9b37zRmj?b;w$&fBJv0_sW`0tzx> zic_6wn{u+j?--4>p>v`E3E{Uy5Q z;TKFDJ<}h}BP#gVl4A`b!=uadgsqpq)7zRv;qkkC$RPZP%h-fIL^MYIb(rfy|1SGG z>VCP#LN{*vjW!qPpRXD(iGq`V6_)V$$6w!cUaD@v8WTQ3?tW^`O~Er}XEvA>h8evn z#G5I%`%D!c*d0~!eImcxL%+7+XM5b+He421d)ol_Ey{}h7U{I-S_?jQz)DaQC>ilvSeHF)9gXOGjTQc1qp7Dr#$t+v$nX~A-yfb_2CO?zI@@9P| zwrA7mvm>q{ASd4GDx5A}dreVYx|i)}9)n!6kooH*UX^uib8_9BS%Vgi_y=!`KNzgI z>?#X^f_vA#Z7%iPg0)MEgRO2(j=O>jsF@E8-3DxR6Rb@sSnbhZM@np3Z|j9sviMyZ zFw`gvX{kUekP4&%sX!|5B`P2^9WPflON=3X2DvV@e`_UgTBvL0Wr-y81toWi}tuDBBZICPHZBt1B zb)^ge1sO5L$)6npmk0;xbMkO~|Q`Trs>HVcjy%an;yfiF*iWu5)y zHJ%;cv;sMAZ~F3m$;AuJw|73O3$9%ogO}GLn$fi9` zbZw9;=WSC-0d=Je0Ru+NO$W5yt%lmWT`TC0h<)E^0&@;iIog{W4HZ2uM z1yX@jAQeajQh`(;6?j()u(rN>%iot>>=GR>mgyCV$}3_sG!=MT1%&j2n-bkh*-shd zy39V9SV47=YkIQS(4G))bi^Z8pVR7kxm_zeHO%hXV~eN_a=O{*XTnH7c??t?XZ zQ;7Y_)^vDa!qBd?y5QQh?+hK}y3D-&Xa&VO7%2AeO9p7#Cqt*q+hP(5sa+{UKta0J z{!=(Yyqi`*UO#W!z11W_Hn$Fdv{WD!NCi@X8&zO=^S_?zWnw8hjhi>fS+=~@&!X@0 z&g`w5JRMSj_oP7HZ_jcyXWo=$3a1u*}{|IesN^F6ng}le5;E&C|;W+yVwRB!Ci~lEmR0!>nR*O-6-T-XjAsV z$R-S7T`r)6jF{r&t0F)#J3(fJVbw`FsB9ecOmJu?iCq?H4zY(C`{#nlGi1*w$oLe_ z+O-?|VKe2VZjm zirKGdA8eq~>OxaZV}`Z67UEYId#W7!8rBQ-ig_zdb^~#!^%M?jS`J#K2F}^PHGPE4 zt4@W3$91`Y;?*_NT5<9<7oeD(AhW`-)}tI$HV&F* zp2ESJgy&t$dHduFb`>)R!O7KJLE`I$+RIcpJSEXJ zc(F{GC>2NrQh`+9Midb09Nd%`YH5%w_ivkO3aBe(2q?&iDNg=wlK{DC6@(zO!m#ca zDhHK~gPsWv?If`av6wInlHl64L9U#)O(g}?l`;erWW*GwI@2~u1ZZ<+H$Bav;I#vU zvm+)rwUfjy#HOVJx2J&IUddT;Dsaeoo0H&%6;NvTS3A2UOt;Nn#<^r6+a);xkCs0l z9$sOO&U{{6EgbQWKMjgML|~v2>$eZmvOlc!f~r?)kU!sB=OkU{tnm$3oC`a{$2KW)ctaeg>KyT8*MJo zKVLOo5(Ov!DlFmgkH5Y-2xwTB3n(F7yZfm%H--3^o!MYk7-saQ5O1d3?lV<*V0To> z_lf*&5B=JPpY3sPj{{#}!54c}7f!a#;s?1%hwYN=>)4-JgB~baUhR5ss@ZTg>Z4VJUAZOL?dc*Y~{C9`a~XU?MU^3Lq7oBT`;%bWF?*q%+J&yKi;fSh=z zt8lt_?KMSp>0Y*@c?@#NLgufNcvaT5&B=9hW(`_6;vc*%{$Q};va2iv3hrI|wz<@E z3)U_v4z{{EIqnKBpk_WWbQ`eMO|UklV6{hs9VxMCy{#8k$>Miuz)+(wq@@C>Kq`<5 zqynkHm#Bcybi7>IEHQ@k8RWXq{;id~X`!x}mnD+WlUp)C*W#sfA=Y25by>|pa0=^k z0VQO_6enL50gBlPGAj%-dQ*t~%GPvvV8YO@w7THhwLz|&w@oDl)Ri&>6lBB{Cx3Pb zkegOPu4vv;PFgCE3Zw$5Kq_!J*1-?85mUZ@**LZe((+cFgz3I#M zB^NI=-`@GCF1U7WkSq7Wno0_&D`f~M$cQOUx4sW1G~o)QAe;6$(X~OYoVQIS1=N)? z1QcY%6sOIFt-p;CAUCaoEbq^S=j$&5l!MB~LC*w-c9PhI*tAq26-WhAfm9$BNCi@X zR3H_&v;z72vWqExyjZ48lnQ*23J6^fZc20oVn1b&>oWUbVg=Pbnq6fE;^3DI&@?r4 z&gXBfP$BiM;4}R9E>lC{_EixeH?4vYWL6knxDVFsO(FIxThrlz2}8Tm>Vj+2zB6=? z>oW89qZJhEV4&E;FBza|pA4NcZ;MGNq;{nY0R`z=`%mEr@oriLdHuX?_g0e#+1xq+ z(o%s`AQeajZd8Hg&Hs9;mx-n5G;ZD?XW8;rKa0N0JF~ZL@^nZA-jf1(zdg&k_{*sQoJoB2YLS)G}8*{_`4stCsO34`SRGl^~ ztLbzKpK-Z@1gOODj!n#~Q2^lfP$yY^yV)o@`UGQ2rRF#9u#zD^nhjxT(4Hv{b*4S$IttL^Gc~P(T+zIxoU<8!1Nf?p zKRZ3k?q?C-F>z)Ye;N4=ZE9~X?km@~FP836V0rZX>`!ODCI*U~+S@?>bV&tLfm9$B zNCi@XRN#3EUs4lp6ZIEkxAFQ#n+${zNcgN?nzhj`_ z;g$^0wcNiwC?ZvjyNa2E;N)tqAeGB?IUEX3&muVmv<4=ln@x$@6=dgppcqnj4qr2G z#dvmUi=8~fyj5eFGjB~oF>+tZ5Kxe=nHq|duZjS*ub?>;ym)Wjw-3^^W^YQUU)h?j zN&Zrh&y8P|eVka$r&-*Ei8IUWbK`GmQ+s>M#xi#*kP4&%slb2&%RjaTJTK%T6Z7aa z?wRWZR*!FKGkbf>zA|?zaB&6letQq6Rt$12Q(Vc| z+4+boBe-dV#_R?P;cm$QO%p@+8$m9YLR9PEpk>8C!NKJU0R`!raVk!=noSY`+MHSU zpA>{3v%;_ggtI*><{&t=lf*8>V!|*;f@{|XxyI*he*U%~`Z`Z|9%~HRGsVH3w?_er z*lGtSlhaxQ%xFH4PhHA}HQ-M?<6-WhAfm9$BNCi@XR3H_2zX}Mg4Q@&d zsW8Yjz7N*eSsp6L-SIhXD_OWe;g$^0wJH0zWEF<6E*DTjMoe+?RS}?={VMjsD6u7w z0&m*mMAzC~3-POqJyni3Np`TIJx1<}KxYOsJ<_X?4N1YlB=lZ<|UAs4Ha%D9DH@PPaa9M;c9^R3H^d1yX@j zAQkvR6;S&2S3BPCrrRq0GR`Fn(=N#gc(kcYvk zS^OXu>9AdreI5HVYtRElYyAF63mYyAti5f3`xa%zev5S4bFBrR(p7@!$+1^gtZ_tH z&#PVUO*I>?h8$~H0p?mUv+-Di@u8Etif_twk?@%56^hSy=0ax z_sm)JUEZ0!b(5dTVR^GY6Wg<_pS;+i#60gd- zwmG?O&a6QTNBo1g#UBh-Ty~X(K*7Ch-!_+eZo%3m#lcoLC&yjE1=P$3hHeA4x(U{% z6s-1Wup=clt+(~UDp~w44H#+^hO|^56-WhAfm9$B_!1QmnvRz%nrnBwHCB0w=aL1u+vMsEtSU)h=t z4@?-^l~xyAyEe#`^R}s^fVxtKfP##e;^fZ`0dmtS$Q8|7%1KKFQh`(;6-WgRhx~t$ z7n=pgi)G40slb<~z_QN%@*2;MZ(4zzw>N$HzU1PC=G!|T)dknC4RYl^SW`&>b)^ge z1sO5L>DKqbgeF{p6lBvLC%QJsmGic#q=33ohJb>MnBug#u=Tew0_3Jukmdck@O=G6 zfO1gTIOv(+&`uJ&5Sx|?qynixDv%1K0;xbMkP4&%msTKuUv@FYj~C07iBf?tQURgs z!A*&-KK`^Ag`ad?cQnO-fy!l^G^)j&(oyN@@ z>Sxh+d1v<4O`Z;^zB!ypN+T^r;YpSK@!-Xi)sPk0_{ z4B9irq0Y3&Tt@+Ve5R&VkSm(Elyf%YZvbDF@n@%J+5IfyJ0{L7<1Zt>p-t`W#eL=a z_QldY3M`MFpZ)2~*Tg`vQ+pf8pDw9DDv%1K0;xbMkP19cfn47{PcPrQ_@~cv_Pwlc z1v%O~AJqldt_^aH?}IgVmb=B^;O_XG_IC^vJlv82x|aL52Suc+aaS>O5S(1i6{K>x zE_h(V;Cd*?hJ+JMV=@kMU1r{j6|`w#vlnb24t~i1O;bbX%v+OCNbO1)0t(VKQ$um` zRS_UJt%49_Rv6a(WaXf;anQ78Z%Sz8a_eZ4zZB$i<5y)LCsy-m7I)!-%`*Gk_*>f4 z-rlmY%$*9P0;xbMFrdJ)XdUppkc&*rqtm!&t`n9e>a^N>#4~&An3X@Cxg40VSks7OFV;st8cbPLNq)c-cM}p<<6tlGueggRu^2mHpn$TZ}aoF z1<}`e!t+>T(4Hv{?z}w;P|W`Nc?-WVw3Eax#HOVJsX!`_3Zw$5Kq`<5qynixDv%1K z0;xbM@Dc@tputUvA^t(G@qMty&hk(}?vBrCTgk!&3b$l{u1(p$C95!mb-92NGGdC8 zuZjT0>{ql8Hc)AGp{cI5yB6YC7kjE4`x@2_%Xk}~;I75&7Al0V^%M?jS`K=a^Y+OV z>*1yX@jAQiX~1%x^W zHzkHz8sy6T+oqZV>Pi^`3Nm7flfT;}KyF$EA;_#Stowz^L1p8hXM#gJN$f%_CJcil zxOQ!jE9Y%fNda}G3;_ihF~zCQv`rEL+ML-SzhdBWDq-|1~lqVV`#K4cJn z#AR$kA0ir~{yNNcp?{bC9d*B4W1$cYvk zS^OXu>9AdreI5HVYtRElYyAF63mYyAti5f3`xa%zev5S4bFBrR(p7@!$+1^gtZ_tH z&#PVUO*I>?h8$~H0p?mUv+-Di@u8Etif_twk?@%56^hSy=0ax z_sm)JUEZ0!b(5dTVR^GY6Wg<_pS;+i#60gd- zwmG?O&a6QTNBo1g#UBh-Ty~X(K*7Ch-!_+eZo%3m#lcoLC&yjE1=P$3hHeA4x(U{% z6s-1Wup=clt+(~UDp~w44H#+^hO|`RS`;YPQb^X33Zw$5z!#x_&}F<_*(@=J1R3PI z(EhEJylJ7XnU^J!(34v-K-c1>b0OAWt#w(=L2wG|asee|#1toA6#MnBsKn`(Q#7u0RU1X^#_K8|2D)+f-6OT`5CAK}Jk*+FaQB+ZX|I z(<;cat}HxXe-WS@R5lKJCOEW{#4g09r2?ryDv%1K0;xbMkP4&%slcTb$lsS;O!4Ez zGG(Gv;EPm1=z4HdqAL*lDT7>>*#{FVsP571Dl-rVzhr=>siAW|e`|#bsdojR;lFp8 z8Va|siU7H36@(zO!tla*kPLn`o|6v+GSS+3^Hds5zSolC@K#Z}qN7n02C zw9F!gcjjwipzbo@Gvp$8(F~Wk*dy4O8}4_IYnf3>#(1adv|$-nMsU|+b_*54-I4*i zHf0}-till1V!|*;f@{|XxyI-1 zhn%;FzRnY##~Oq7OmV0)?J?I;fF7TzX%*y(<}Kx%&G;L@S7rR!=~;F^i};R-Gt2nP z$Zu#svvN_RdFj!L@6HT;uy-jh*FgF*vw8KBxU10|gJaWPq;a{_Q~#scPI+ z%p3$KS91laT&~OEP;hz{$tj>UFd5x!O4P0(JLdz%kh*jDnt3b6vrAj-{RBNY{*0ajMm9k_gb|%)0-iAOx8eh8-ZB?O8Dg z!Ks}jb|DrMhCvcsyEe!*K5z5$w*}GHdBXEpW6+){4(_}?3Q)}c`gse#Ftn4zE=xER zX{o>sDIhmgYnGY{qynixDv%1K0;xbMkP4&%slfYHKxl1nQ({PkL9X$Au*S~vP(kjF z&uLr9!UYPqWPq+s*}o;LFobovfD$rdij%L30LAQAu@6RxErAqx(;g?f*6vz}UtR2} za=b~h`z=Gx+k!}!*uOmpnbylwI9Ssv2Ujs~3zej$0;xbMkP4&%sX!`l!wTg0Kwa$O z9WRzC6Qu&FKq`<5+=v1~o$Z~E>Vj+62Dx(owyC6mx>AOKf{d8r6y>JHD5U5AAcGYfB3~fCDv~rq-B3t z=>>;w3r}2Hq+rti5?%A~3#N{q>5t|S6?|;Tu?CUh(dBu<*2~}NZB3%^_+36^5PrmE zY(gI*8l(O?%yprEm;D`ezg%OX8@K&Nn+x>MSB;lM!O6c0OL+X_uWvdpRkvV`2_GSM zKegtj;2EROi#@6fC);N6 zgIuJ;c1iYi?9Z%04-~EO`zI}IxGb>twgK*2lok6e(rM4N7JN!q38E**UR|-q5oJBE zcD*;%Y`7Y7tU<=qm?kw(^yJv=ZB5dsBO-p)`QP2DxM*^VdndD(l+j z#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6^0qTDa3wdYdSnI zVQ5!cU2yH%AXm=Yrji2cN*MwQGGdC8KRX1-O{*YRG;b*Pi^`3Nm7f)8@j~-^K`#n^r-V_vga%^%nuk zL1p8hXM#gJN$f&wS}KqVqynixDv%1K0;xbM@U9eKZGH8Yzc0JkB|2U#(<>5{SHxy$ zD)6=n2F~gWp~ui zQk-*VJ2mq)F;L_gdXv+>hK<3FgMu)#g(t)P;>dI<_6RolRu^+nyf#U8u?;qYyB4!s zs1Ux^Q#g3KQOLQ_rtE`}O&G$uTtEpKF~!MOMSx;8Gm+qmfg=HzGLFdGX6618`{*~UfdV2!K<=d-#)z@GhY)=b2-PwIhUtW zfm9$BNCi@XR3H_&UIlV}dyZ>U&Os7!yqEQ@&|rJ#qq^YQwLz}sUrY*Lyi;}hz-jJ- zA=0|<#Pe8V(4Hv{zUBfHvtQ9Z*g&P#g{GRu3~P5S#IG*)R5|uFtQYDP^H!Sd2I5fb zDICv93bt81pU;^b>CKruT(W`$v`M>(i$95l_y1&4N$ z*oD}$8=o7$D*HIGnoqO13)gg(+2_XJ(x&$ImW^fZR3H^d1yX?l1z3q*mC3|vbQ<@} zb;7blomP8~cxG?C+=-_G?@EEZ-=5`i&b%kRm;0@tG(yF@P1Ob0rm;6N$hAyyg|F_S zxUr4O2yPl#Vs-;#z=hL`Pw5i0iR zB#B*!#e|_{ql8Hc)AGp{cI5yB6YC7kjE4`x@2_OQv|i zZ#|AOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWR zHfMIz(;Nz3J3u%)VuDjUN$f&wS}KqVqynixDv%1K0!;-J)L-rFmM~2sz+c9>1b?$# z65;Hl<7C*>EI&7C@U&sE;8uUQX8oz(i!iLKN zYi}FizC~HF-y)s%Tx-Fnbd?}_a_rR=YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&XM&ED1| zjXEOYSDjz(qOamOYp|S^ZA+%x!!sUnFPUY_J#!X)mv?4w-Q;I-Sl+D9#P)0&eRjk( z1mwg!U4_%dYp*G)OZT!J&0~;D7BYXG#H+HdZBDM6Gi%Vo5&z(A@dtwymtAEcP;l?s zx6P%VTd;OXaj@0R$#GY30X6f1q1%A1Zi2Nb1*<(8>_~}C>utTTN*2FMkhD}F6-WhA zfm9$BNCjdA1SnpvY?c@?%Ohlv>q7gtR@kP6x@KP1fmQ$y!`{?_UdQipZ9fD+O*Q$um`RS}?= zoglNquzny`IjC$LG|k8bhjxLO_n0>if7rb7$e`}FKcsoh#LM$c>gCw|iZIEkx-hRk=i|Fe- z;d!hvXwMV}citWaC}zK+c}t;ZGyVqfRT+PFdY0YKBEDnd%rgEm@*CRJ-d@~Su5Vu~ z-J`(r==s^7&U{S_6g#!If&A%`3Zw$5Kq`<5qynkH^AyPS?ep~Vy^DYPJZIm_`c{ym zz4K9BaP8V4*Z4kIV`sTr3=Zy&&uLr9K*7T;8K7&qe|u0wsv36{GY7%R)m%X;m+OKD zCJe5Jf^0}Q(KIIGAlGH)tyn>u7B+jq2IAnC4A3++bk4jr35C?Ilp&xXT{ATlCtno- za?>gZL1u+v-A`5yDjNq)YxbsuRxY=WCizQ2J~w_<_Hkl0pJs6vF4!!y&yByOP3`S1 z8_V3OKq`<5qyhs9EQ{6w&kMQ8#5_8Ud*(V}S)xv>y+=H=w_fhVQ-OD-K;Cc9aye(- zlithyR!|zDHakTA{tm89V{c@TYnkE-UuWkdu8iQO5gM}_D1^Hu12jzx^>vC1CZ=n} zJOr<>E*DTjx@Mt@ldp;Z#q0!`6^57XgApqB=p>0_Ti>Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5 zqyjHdKnNP#lo;Y40b8u5) zsHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+5Sx|?qynixDv%1K z0;xb#0R{C}JG&)JlL+vaaW28%Y?nkh`)K*|;qdg#=OA30_NSrohX@Q*!u|F^TK0#P zUU2BP@WiD>3MTC@(KQdhVCv|Z{%9Ui!N-;yYY-V8U7jawz5Jct)+7p#-{nIF;YVD? zCiEeqG3u|wTo?Lx+22w3%QY6daocaSxj_GX)p$u1ocyb>gvUSr`ljs_?+>sFLp!`Q0A+wGBVpGtr9N8C$h*>caE zMc?I}*;_aHnH-ik>oc)En?|1*mZFv~a{fcw79zV8vxuSqK!|yY_8!spl4~T~Zuub#rpu6D{V5^&8ZA!sv zj|MwZV$*tCFRYTq?-C>}6-WhAfm9$BNCi@XSOEcwmn)kkM$GaE8RWXq{;d_ZX`!x} zm$jf!xmz+o*W#sfA=Y25by>|pa0=^k0VQO_6enL50gBlPGAj%-dQ*t~%GPvvV8YO@ zw7THhwLz|&w@oDl)Ri&>6lBB{Cx3PbkegOPu4vv;PFgCE3Zw$5Kq_!J*1yX@jAQeajQh`)pngRlId*`FN;M%o8uG|M}Dk-3@lp&xXBc?dr`aYP@ge#DO zY}(^Q*9N(A-ZqsKP*=(jP>>N*oHiG>{x(K{+_Vak`?si&mI|Z-sX!`_3Zw$5Kq`<5 ze1Qt&@5?TB(T^9)l!;P-FH!-a>-Nq^b-}f1?57NJU1lFltRR2KhtG4G34>cQK-1LF zIiJ6^dW6(rT`r)6bj{RIoP1RTC}t1dUBz7Sd6NW(&T$}ct zp@UqPnYSOUpsMMvG6TgPe#rn$`()^pd0VVdA@#1{GyJ#2_MgIu(<%kMe%`iwt4V}x zVf%n-sX!`_3Zw!zsKD~(e?67U#8Pw`H*b)$Y=8}WF(W#j)B$?GynMDlm%-6&~-DSXM$VKp?87^_LN3bzB-0vXQGNY7? z@lMrg<0r0+;I75&7Al0hB?EMA%03ubg(0lV1(c8xQ=EKN1Sn=-Zq@~_7w+F$q!8Xt z61xzK3Bw=>u3a1C8lSfxa^52PI!|~WYYf^m#lfAoM*)i2uV~&<=-G_F0en@)pPim% z_p^xam^ibHzl{8bHnq1G_m%707fbgjusnKx_NOyn69dIg?QI}`x}*ZBKq`<5qynix zD)2l7a((+ey?pQDpFYpo_p-hf!BbU5>7OY$vDV$nRzQ#(58jWUa)~U_$320 zO%0thZ%slWwJT)^C`i{#4aLb;?+qZpi>m6GMHS;)03kS}_m7E3C@}l#s4jsN&?SB0w=aL1u;FW&2=+iak0> zVi#gDVQ5!cU2yH%AlLZ3&ClN!L|^9#&tr{2d!{(J^Y$n}G5hQ1E&RgJP7=Eio0bZs z0;xbMkP4&%sX!`_3Zw$5Kq`<5qynkHOB4`-1~(;!_y@Vh_rV%F%R>dZJ3gmvB?}iQ z+>!yhHf8^otill1Ygjid<86F` zyB4!ss1Ux^Q#hz;Ip|r=+b37BCm6UexSA_Se7#UREfq)wQh`(;6-WhAf!kLgzX$4K z*YJ3;OqnPZNCi@XRNzJw5b7M zhDVp@30p6Jr?)kU!sB=OkU{tnm$3oC`a{$2KW)ctaeg>KyT8*MJoKVLOo z5(Ov!DlFmgkH5a@yj0zSH70z7-2K#=n}TP|&TKF%3^RIDh&NMi_n9g@usf>c`$T@X zhkk9t&-S>t$APb~;EO$~3n$xV@q=8X!*)sbb?ncqK@SwI@%tw&Y`842_O=1;Ta*?1 zEz)VvwHACzR|%pg$6j5r#t~&buXepR)oi#La;!nd)R-nUPxR#2>}^fbs3RhN)%oQv z`YMjI2FqF5wq&|JJmV4fl3BLgGiT9vd1v<4O@1ba<<0s`Y|p0AXGdH^Ku)~VRXAO| z_L`!)bT8Y{JO;UBA@kQsyejM3=H$9Lvj#03@eke>e=t~a*;N(-1^2Ff+g$3o1#6cS z2V32o9Crm5P%|GGx((RsCRm$Nu-c=+j+EH6-qs7NWbwOv$PS*C3fzzadPB8lsi{CJ zkP6(q0zwDza%Ho`7;1Kq>q7gtR`RBWx@KP1fmQ$y!`{?_UdQipZ9fD+O*Q$um`RS}?=oglNq zuzny`IjC$LG|k8bhjxLO_n0>if7rb7$e`}FKcsoh#LM$c>gCw|iZIEkx-hRk=i|Fe-;d!hv zXwMV}citWaC}zK+c}t;ZGyVqfRT+PFdY0YKBEDnd%rgEm@*CRJ-d@~Su5Vu~-J`(r z==s^7&U{S_6g#!If&A%`3Zw!}1wJ;>1kb3zr!#UTRVt7QqynixDv%1K0;xbMkP5sb z1(x4XyrYh;wiUVB%CfLjAQeajQh`(;P(Xt7dHU=M=-C;QFcnAzQh`(;6-WhAfm9$B zNCn=70{OX`i(SS~7t551Qh`(;6-WhAfm9$BNCi@XR3H^d1#Vq|AJ)GP`ERHHfNbsj zyYkReIsKVTdyb19(CWWx@L-#}Zb7s#A+^hpn2QfXcpqeTWzsAIdm9%&(Qp zoi>1bU^_QIH)YPN+>5xx586iTHD=TPHtp)t`?;NAYP$VP4*#~{+Pd@z!K>!$WjO^c z)8_Pi1?o%Ubar&8ns2yH2M?FJ@chaD&t5h6dwcl93nz+^;n7rl)Iz;ME>rH|#pB$m zsNrO*CTnq@r)n*8q@2q2@TXklA!ajYPGvskS|?@&w%2)`s;3bDf<9)8o>z713(`C{ z?QhdYJWtPWdOQ3F`S*8U{fFaM8?LR(Qv&a}YQA2UlT&?_+0hDYXQ0RBo?A6Pfh*VP z;NdDL&$-z>w&k_r_qLnnk0bZbmmBMbi|etx-LF&C0-v}X4*&6R_7jXyk6|D zQHS=G{O|wQW4zdeKica-Ir)=4{vUgMVJH6UfBPQ>+yB zI?kB(LKpjhbdmi_@Bfeg_!#{6hmUsnKicEY=I6ir3+#Vo?ysi~cB*jdVNsyf4TpvHnioUOV{o=`y0de3}4&hjCyUkqPAPwKe=d5+fzUDS0)1Beb3+aM)<>oE8eJ?uQBY!YyO|Ip($&=9RJ>>`eFaO)? uZelOFZI=2z;52k9w_eBa(jHpxn*xblG>_hU1Nr~|+yDIVPlNyW|NHOS@kHwY literal 0 HcmV?d00001